CN105304485B - 选择性蚀刻金属氮化物的组合物及方法 - Google Patents

选择性蚀刻金属氮化物的组合物及方法 Download PDF

Info

Publication number
CN105304485B
CN105304485B CN201510644253.7A CN201510644253A CN105304485B CN 105304485 B CN105304485 B CN 105304485B CN 201510644253 A CN201510644253 A CN 201510644253A CN 105304485 B CN105304485 B CN 105304485B
Authority
CN
China
Prior art keywords
acid
composition
ether
ammonium
metal gate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201510644253.7A
Other languages
English (en)
Other versions
CN105304485A (zh
Inventor
陈天牛
尼科勒·E·托马斯
斯蒂芬·里皮
杰弗里·A·巴内斯
埃马纽尔·I·库珀
张鹏
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Entegris Inc
Original Assignee
Entegris Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Entegris Inc filed Critical Entegris Inc
Publication of CN105304485A publication Critical patent/CN105304485A/zh
Application granted granted Critical
Publication of CN105304485B publication Critical patent/CN105304485B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00523Etching material
    • B81C1/00539Wet etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28079Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Detergent Compositions (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本发明涉及选择性蚀刻金属氮化物的组合物及方法。具体地,本发明涉及用于自其上具有第一金属栅极材料(例如,氮化钛)及第二金属栅极材料(例如,氮化钽)的微电子装置,将第一金属栅极材料相对于第二金属栅极材料选择性地移除的移除组合物及方法。该移除组合物可包含氟化物或者实质上不含氟化物。该基板优选包括高k/金属栅极集成配置。

Description

选择性蚀刻金属氮化物的组合物及方法
本申请为国际申请PCT/US2011/055049于2013年4月8日进入中国国家阶段、申请号为201180048783.5、发明名称为“选择性蚀刻金属氮化物的组合物及方法”的分案申请。
技术领域
本发明涉及用于自包含一种金属栅极材料及第二金属栅极材料的基板,将该一种金属栅极材料相对于第二金属栅极材料选择性地移除的组合物及方法。该基板优选包括高k/金属栅极集成配置。
背景技术
大部分目前的集成电路(IC)通过使用多个互连场效晶体管(FET)(亦称为金属氧化物半导体场效晶体管(MOSFET或MOS晶体管))来实施。MOS晶体管包括栅电极作为覆盖在半导体基板上的控制电极及位于基板中的隔开的源区及漏区,电流可于其间流动。栅极绝缘体设置于栅电极与半导体基板之间,以使栅电极与基板电隔离。施加至栅电极的控制电压控制电流通过基板中的通道在栅电极下方的源极与漏区之间流动。存在将愈来愈多的电路并入至单一IC芯片上的持续趋势。为并入增加量的电路,必需减小电路中各单独装置的尺寸及装置组件间的尺寸及间隔(特征尺寸)。
为实现半导体装置的缩放,考虑各种非公知的、敏感性的、和/或奇特的材料。考虑将高介电常数材料(亦称为“高k介电质”,诸如二氧化铪(HfO2)、氧氮化硅铪(HfSiON)、或二氧化锆(ZrO2))用于45纳米节点及超越的技术,以容许栅极绝缘体的缩放。为防止费米能阶钉扎(Fermi-level pinning),使用具有适当功函数的金属栅极作为高k栅极介电质上的栅电极。此等金属栅电极通常由金属栅极形成材料诸如镧(La)、铝(Al)、镁(Mg)、钌(Ru)、钛基材料诸如钛(Ti)及氮化钛(TiNx)、钽基材料诸如钽(Ta)及氮化钽(TaNx)、碳化钛(Ti2C)或碳化钽(Ta2C)等形成。
金属栅电极的最优选功函数将根据其是否用于形成NMOS晶体管或PMOS晶体管而异。因此,当使用相同材料来制造NMOS及PMOS晶体管的金属栅电极时,该等栅电极无法展现针对两种类型装置的期望功函数。已证实此问题可藉由自第一材料形成NMOS晶体管的金属栅电极及自第二材料形成PMOS晶体管的金属栅电极来消除。第一材料可确保针对NMOS栅电极的可接受的功函数,而第二材料可确保针对PMOS栅电极的可接受的功函数。然而,形成此等双金属栅极装置的制程可能复杂且昂贵。举例来说,在高k/金属栅极集成配置中选择性蚀刻诸如TiNx及TaNx的功函数金属由于此等金属氮化物的相似物理及化学性质而极具挑战性。
为此,本发明的目的为提供用于自其上具有一种金属栅极材料及第二金属栅极材料的微电子装置,将该一种金属栅极材料相对于第二金属栅极材料选择性地移除的改良组合物及方法,该组合物可与存在于基板上的其它栅极堆栈材料兼容。
发明内容
本发明大体上涉及用于自包含一种金属栅极材料及第二金属栅极材料的基板,将该一种金属栅极材料相对于第二金属栅极材料选择性地移除的组合物及方法。该基板优选包括高k/金属栅极集成配置。
在一方面中,描述一种相对于至少第二金属栅极材料选择性地移除第一金属栅极材料的方法,该方法包括使包含第一金属栅极材料及第二金属栅极材料的基板与移除组合物接触,其中该移除组合物相对于该第二金属栅极材料选择性地移除该第一金属栅极材料。
在另一方面中,描述一种相对于至少第二金属栅极材料选择性地移除第一金属栅极材料的方法,该方法包括使包含第一金属栅极材料及第二金属栅极材料的基板与移除组合物接触,其中该移除组合物相对于该第二金属栅极材料选择性地移除该第一金属栅极材料,以及其中该移除组合物包含至少一种氧化剂及至少一种金属氮化物抑制剂。
本发明的其它方面、特征及优点可由下文内容及权利要求书更加明白。
具体实施方案
本发明大体上涉及用于自包含一种金属栅极材料及第二金属栅极材料的基板,将该一种金属栅极材料相对于第二金属栅极材料选择性地移除的组合物及方法。更具体而言,本发明大体上涉及用于自包含一种金属栅极材料及第二金属栅极材料的基板,将该一种金属栅极材料相对于第二金属栅极材料选择性地移除的组合物及湿式方法,其中该组合物及方法实质上未移除存在于基板上的其它栅极堆栈材料。该基板优选包括高k/金属栅极集成配置。
为容易参考起见,“微电子装置”相当于经制造用于微电子、集成电路、能量收集、或计算机芯片应用中是半导体基板、平板显示器、相变记忆装置、太阳能面板及包括太阳能电池装置、光伏打组件、及微机电***(MEMS)的其它产品。应明了术语“微电子装置”、“微电子基板”及“微电子装置结构”并不具任何限制意味,且其包括任何最终将成为微电子装置或微电子组件的基板或结构。微电子装置可为图案化、毯覆式、控制和/或测试装置。
如本文所定义的“金属栅极材料”相当于具有对应于半导体基板的中间间隙(mid-gap)的费米能阶的材料,诸如Ti、Ta、W、Mo、Ru、Al、La、氮化钛、氮化钽、碳化钽、碳化钛、氮化钼、氮化钨、氧化钌(IV)、氮化钽硅、氮化钛硅、氮化钽碳、氮化钛碳、铝化钛、铝化钽、氮化钛铝、氮化钽铝、氧化镧、或其组合。应明了被公开为金属栅极材料的化合物可具有不同的化学计量。因此,氮化钛在文中将表示为TiNx,氮化钽在文中将表示为TaNx,等等。
如本文所定义的“高k介电质”材料相当于:氧化铪(例如,HfO2);氧化锆(例如,ZrO2);氧硅酸铪;硅酸铪;硅酸锆;硅酸钛;氧化铝;它的掺镧类似物(例如,LaAlO3);硅酸铝;钛酸盐(例如,Ta2O5);铪及硅的氧化物及氮化物(例如,HfSiON);它的掺镧类似物(例如,HFSiON(La));钛酸钡锶(BST);铪及铝的氧化物(例如,HfxAlyOz);钛酸锶(SrTiO3);钛酸钡(BaTiO3);及其组合。
如本文所定义的“栅极堆栈材料”相当于:钽、氮化钽、氮化钛、钛、镍、钴、钨、氮化钨、及前述金属的硅化物;低k介电质;多晶硅;聚-SiGe;氧化硅;氮化硅;BEOL层;高k置换栅极;氧化铪;氧硅酸铪;氧化锆;氧化镧;钛酸盐;它的掺氮类似物;钌;铱;镉;铅;硒;银;MoTa;及其于微电子装置上的组合及盐。
如本文所使用的“约”意在相当于所述值的±5%。
“实质上不含”在本文被定义为小于2重量%,优选小于1重量%,更优选小于0.5重量%,甚至更优选小于0.1重量%,及最优选0重量%。
如本文所使用的“相对于第二金属栅极材料选择性地移除第一金属栅极材料”相当于约2:1至约1000:1,优选约2:1至约100:1,及最优选约3:1至约50:1的蚀刻速率选择性。换句话说,当第一金属栅极材料的蚀刻速率为2埃/分钟(或至多1000埃/分钟)时,第二金属栅极材料的蚀刻速率为1埃/分钟。
如本文所使用的术语“移除”相当于将第一金属栅极材料自基板选择性地移除至组合物中。应明了第一金属栅极材料被溶解或以其它方式增溶于组合物中,以溶解为优选方式。此外,本领域技术人员应明了组合物可包括可忽略量的溶解或以其它方式增溶于其中的来自基板的第二金属栅极材料。
如本文所定义的“胺”物质包括至少一种伯胺、仲胺、叔胺及胺-N-氧化物,其前提条件为(i)同时包括羧酸基及胺基的物质,(ii)包括胺基的表面活性剂,及(iii)其中的胺基为取代基(例如,连接至芳基或杂环部分)的物质不被视为根据此定义的「胺」。胺的化学式可由NR1R2R3表示,其中R1、R2及R3可彼此相同或不同且选自由氢、直链或支链C1-C6烷基(例如,甲基、乙基、丙基、丁基、戊基、己基)、C6-C10芳基(例如,苄基)、直链或支链C1-C6烷醇(例如,甲醇、乙醇、丙醇、丁醇、戊醇、己醇)、及其组合所组成的组,其前提条件为R1、R2及R3不可皆为氢。
如本文所使用的“氟化物”物质相当于包括离子氟化物(F-)或共价键合的氟的物质。应明了可包括氟化物物质作为氟化物物质或于原位产生。
如本文所使用的“氯化物”物质相当于包括离子氯化物(Cl-)的物质,其前提条件为包括氯阴离子的表面活性剂不被视为根据此定义的“氯化物”。
本发明的组合物可以如更完整说明于下文的相当多样的特定调配物具体实施。
在所有此等组合物中,当参照包括零下限的重量百分比范围论述组合物的特定组分时,当明了在组合物的各种特定实施方案中可存在或不存在此等组分,且在存在此等组分的情况中,其可以基于其中使用此等组分的组合物的总重量计低至0.001重量百分比的浓度存在。
在一方面中,描述一种相对于至少第二金属栅极材料选择性地移除第一金属栅极材料的方法,该方法包括使包含第一金属栅极材料及第二金属栅极材料的基板与移除组合物接触,其中该移除组合物相对于该第二金属栅极材料选择性地移除该第一金属栅极材料。优选地,该组合物实质上不移除存在于基板上的其它栅极堆栈材料。在一实施方案中,该第一金属栅极材料包含钛及该第二金属栅极材料包含钽。在另一实施方案中,该第一金属栅极材料是第一金属氮化物及该第二金属栅极材料是第二金属氮化物。在另一实施方案中,该第一金属栅极材料是氮化钛及该第二金属栅极材料是氮化钽。在另一实施方案中,该第一金属栅极材料是氮化钽及该第二金属栅极材料是氮化钛。该基板优选包括高k/金属栅极集成配置。应明了第一金属栅极材料不一定是最先沉积的金属栅极材料(例如,当将至少两种金属栅极材料沉积于基板上时),而是被优先选择性移除的金属栅极材料。
该方法在约室温至约100℃,优选约40℃至约80℃范围内的温度下相对于第二金属栅极材料选择性地移除第一金属栅极材料。本领域技术人员应明了移除时间应根据移除是否在单一晶圆工具或多个晶圆工具中进行而异,其中对于前者时间优选在约1分钟至约10分钟的范围内及对于后者为约1分钟至约60分钟。此等接触时间及温度是说明性的,可采用任何其它可有效地自基板将第一金属栅极材料相对于第二金属栅极材料选择性地移除的适当时间及温度条件。
第一金属栅极材料的移除速率优选在约20埃/分钟至约200埃/分钟的范围内,更优选为约30埃/分钟至约100埃/分钟。第一金属栅极材料的移除优选是各向同性的。如文中所述,第二金属栅极材料的移除速率低于第一金属栅极材料的移除速率。
在第二方面中,描述一种含氟化物的移除组合物,该含氟化物的移除组合物包含至少一种氟化物、至少一种金属氮化物抑制剂、任选的至少一种氧化剂、任选的至少一种表面活性剂、及至少一种溶剂,其用于相对于第二金属栅极材料选择性地移除第一金属栅极材料。优选地,该组合物实质上不移除存在于基板上的其它栅极堆栈材料。在一实施方案中,本发明的移除组合物包含下列成分,由其所组成,或基本上由其所组成:至少一种氟化物、至少一种金属氮化物抑制剂、及至少一种溶剂。在另一实施方案中,本发明的移除组合物包含下列成分,由其所组成,或基本上由其所组成:至少一种氟化物、至少一种金属氮化物抑制剂、至少一种氧化剂、及至少一种溶剂。在另一实施方案中,本发明的移除组合物包含下列成分,由其所组成,或基本上由其所组成:至少一种氟化物、至少一种金属氮化物抑制剂、至少一种表面活性剂、及至少一种溶剂。在另一实施方案中,本发明的移除组合物包含下列成分,由其所组成,或基本上由其所组成:至少一种氟化物、至少一种金属氮化物抑制剂、至少一种氧化剂、至少一种表面活性剂、及至少一种溶剂。
在本发明的一优选实施方案中,含氟化物的移除组合物实质上不含研磨剂或其它无机颗粒材料、胺、氯化物(Cl-)、金属卤化物、硅酸盐、及其组合。第二方面的含氟化物的移除组合物的pH优选在约3至约7的范围内。
该至少一种溶剂可包含水及至少一种选自由式R1R2R3C(OH)化合物所组成的组的可与水相混溶的有机溶剂,其中R1、R2及R3彼此独立且选自由氢、C2-C30烷基、C2-C30烯基、环烷基、C2-C30烷氧基、及其组合所组成的组。举例来说,该至少一种溶剂可包含至少一种选自由下列所组成的组的物质:水、甲醇、乙醇、异丙醇、丁醇、戊醇、己醇、2-乙基-1-己醇、庚醇、辛醇、乙二醇、丙二醇、丁二醇、碳酸丁二酯、碳酸乙二酯、碳酸丙二酯、二丙二醇、二甘醇单甲醚、三甘醇单甲醚、二甘醇单***、三甘醇单***、乙二醇单丙醚、乙二醇单丁醚、二甘醇单丁醚、三甘醇单丁醚、乙二醇单己醚、二甘醇单己醚、乙二醇苯基醚、丙二醇甲基醚、二丙二醇甲基醚(DPGME)、三丙二醇甲基醚(TPGME)、二丙二醇二甲醚、二丙二醇乙基醚、丙二醇正丙基醚、二丙二醇正丙基醚(DPGPE)、三丙二醇正丙基醚、丙二醇正丁基醚、二丙二醇正丁基醚、三丙二醇正丁基醚、丙二醇苯基醚、2,3-二氢十氟戊烷、乙基全氟丁基醚、甲基全氟丁基醚、碳酸烷基酯、碳酸亚烷基酯、4-甲基-2-戊醇、致密流体、及其组合。该至少一种溶剂优选包含水,最优选为去离子水。
该至少一种氟化物源包括,但不限于,氢氟酸、氟化铵、氟化氢铵、六氟硅酸、四氟硼酸、四氟硼酸四丁铵(TBA-BF4)、六氟钽酸、六氟钽酸铵、及其组合。该氟化物源优选包括氟化氢铵、TBA-BF4、或其组合。
此处涵盖的氧化剂包括,但不限于,臭氧、过氧化氢(H2O2)、过硫酸氢钾制剂(oxone)、过硫酸氢钾制剂四丁基铵盐、硝酸铁(Fe(NO3)3)、碘酸钾(KIO3)、碘酸(HIO3)、过碘酸(H5IO6)、过锰酸钾(KMnO4)、过锰酸(HMnO4)、氧化铬(III)、硝酸铵铈((NH4)2Ce(NO3)6)、硝酸(HNO3)、亚氯酸铵(NH4ClO2)、氯酸铵(NH4ClO3)、碘酸铵(NH4IO3)、过硼酸铵(NH4BO3)、过氯酸铵(NH4ClO4)、过碘酸铵(NH4IO3)、过硫酸铵((NH4)2S2O8)、过硫酸钠(Na2S2O8)、过硫酸钾(K2S2O8)、亚氯酸四甲铵((N(CH3)4)ClO2)、氯酸四甲铵((N(CH3)4)ClO3)、碘酸四甲铵((N(CH3)4)IO3)、过硼酸四甲铵((N(CH3)4)BO3)、过氯酸四甲铵((N(CH3)4)ClO4)、过碘酸四甲铵((N(CH3)4)IO4)、过硫酸四甲铵((N(CH3)4)S2O8)、尿素过氧化氢((CO(NH2)2)H2O2)、过乙酸(CH3(CO)OOH)、及其组合。该氧化剂优选包括过氧化氢。该氧化剂可于工厂处或工厂前引入至组合物。当存在氧化剂时,该移除组合物可被进一步补充至少一种酸,包括,但不限于,硫酸、硝酸、乙酸、三氟乙酸、及氢氯酸。
金属氮化物抑制剂优选地抑制第二金属栅极材料相对于第一金属栅极材料的移除,且其包括,但不限于,硼酸、硼酸铵、抗坏血酸、L(+)-抗坏血酸、异抗坏血酸、抗坏血酸衍生物、五倍子酸、二膦酸诸如1-羟亚乙基-1,1-二膦酸(HEDP)、1-羟乙烷-1,1-二膦酸、次氮基三(亚甲基膦酸)(NTMPA)、N,N,N’,N’-乙二胺四(亚甲基膦酸)(EDTMP)、1,5,9-三氮杂环十二烷-N,N’,N”-三(亚甲基膦酸)(DOTRP)、1,4,7,10-四氮杂环十二烷-N,N’,N”,N’”-四(亚甲基膦酸)(DOTP)、二亚乙三胺五(亚甲基膦酸)(DETAP)、氨基三(亚甲基膦酸)、双(六亚甲基)三胺膦酸、1,4,7-三氮杂环壬烷-N,N’,N”-三(亚甲基膦酸)(NOTP)、磷酸的酯;5-氨基-1,3,4-噻二唑-2-硫醇(ATDT)、苯并***(BTA)、柠檬酸、草酸、鞣酸、乙二胺四乙酸(EDTA)、尿酸、1,2,4-***(TAZ)、甲苯***、5-苯基苯并***、5-硝基苯并***、3-氨基-5-巯基-1,2,4-***、1-氨基-1,2,4-***、羟基苯并***、2-(5-氨基戊基)-苯并***、1-氨基-1,2,3-***、1-氨基-5-甲基-1,2,3-***、3-氨基-1,2,4-***、3-巯基-1,2,4-***、3-异丙基-1,2,4-***、5-苯基硫醇-苯并***、卤基苯并***(卤基=F、Cl、Br或I)、萘并***、2-巯基苯并咪唑(MBI)、2-巯基苯并噻唑、4-甲基-2-苯基咪唑、2-巯基噻唑啉、5-氨基四唑、2,4-二氨基-6-甲基-1,3,5-三嗪、噻唑、三嗪、甲基四唑、1,3-二甲基-2-咪唑烷酮、1,5-五亚甲基四唑、1-苯基-5-巯基四唑、二氨基甲基三嗪、咪唑啉硫酮、巯基苯并咪唑、4-甲基-4H-1,2,4-***-3-硫醇、苯并噻唑、磷酸三甲苯酯、咪唑、吲二唑(indiazole)、苯甲酸、丙二酸、苯甲酸铵、儿茶酚、五倍子酚、间苯二酚、氢醌、氰尿酸、巴比妥酸及衍生物诸如1,2-二甲基巴比妥酸、α-酮酸诸如丙酮酸、腺嘌呤、嘌呤、甘氨酸/抗坏血酸、Dequest 2000、Dequest7000、对甲苯基硫脲、琥珀酸、膦酰基丁烷三羧酸(PBTCA)、
在另一实施方案中,金属氮化物抑制剂包含式(R1)(R2)P(=O)(R3)的化合物,其中R1、R2及R3彼此独立且选自由氢、羟基、C1-C30烷基、C2-C30烯基、环烷基、C2-C30烷氧基、或其任何组合所组成的组。在另一实施方案中,金属氮化物抑制剂包含式(R1R2R3R4)NX的化合物,其中R1、R2、R3及R4彼此独立且选自由氢、C1-C30烷基、C2-C30烯基、环烷基、C1-C30烷氧基、C1-C30羧酸酯、或其任何组合所组成的组,且其中X是任何具有-1电荷的阴离子。在另一实施方案中,金属氮化物抑制剂包含式[(R1)(R2)N]C(=O)(CR3R4)nC(=O)[N(R5)(R6)]的化合物,其中R1、R2、R3、R4、R5、及R6彼此独立且选自由氢、C2-C30烷基、C2-C30烯基、环烷基、C2-C30烷氧基、C2-C30羧酸酯、或其任何组合所组成的组,且其中n=1-12的任何整数。在另一实施方案中,金属氮化物抑制剂包含式R1C(=O)(OH)或R1C(=O)(OH)(CH2)n(O=)(HO)CR2的羧酸,其中R1或R2选自C1-C30烷基链或C2-C30烯基链,优选为C1-C20烷基链或C2-C20烯基链,n是介于0与20之间的整数。优选的抑制剂包括下列中的至少之一:癸基膦酸、十二烷基膦酸(DDPA)、十四烷基膦酸、十六烷基膦酸、双(2-乙基己基)磷酸酯、十八烷基膦酸、全氟庚酸、全氟癸酸、三氟甲磺酸、磷酰基乙酸、十二烷基苯磺酸、十二烯基琥珀酸、二-十八烷基磷酸氢酯、十八烷基磷酸二氢酯、十二烷基胺、十二烯基琥珀酸单二乙醇酰胺、月桂酸、棕榈酸、油酸、桧酸、羟基硬脂酸、十八烷基膦酸(ODPA)、及其组合。金属氮化物抑制剂最优选包含HEDP、十二烷基膦酸、十八烷基膦酸、或其任何组合。
应明了金属氮化物抑制剂优选地抑制第二金属栅极材料相对于第一金属栅极材料的移除。甚至更优选地,金属氮化物抑制剂同时加速第一金属栅极材料的移除。可能存在金属氮化物抑制剂抑制第一及第二金属栅极材料两者的移除的情况,在此情况中,假设第二金属栅极材料实质上受抑制和/或包含用于移除第一金属栅极材料的加速剂,则该金属氮化物抑制剂仍可接受。
涵盖的表面活性剂包括,但不限于,酸及碱、非离子性表面活性剂、阴离子性表面活性剂、阳离子性表面活性剂、两性离子表面活性剂、及其组合。优选的酸性或碱性表面活性剂包括,但不限于,具有酸或碱官能性(“头”)及直链或支链烃基(“尾”)的表面活性剂和/或具有酸官能性(“头”)及全氟化烃基(“尾”)的表面活性剂。优选的酸或碱官能度包括磷酸、膦酸、膦酸单酯、磷酸单酯及二酯、羧酸、二羧酸单酯、三羧酸单酯及二酯、硫酸单酯、磺酸、胺、及其盐。该等烃基优选具有至少10个(例如,10-24个)碳原子(例如,癸基、十一烷基、十二烷基、十三烷基、十四烷基、十五烷基、十六烷基、十七烷基、十八烷基、十九烷基、二十烷基),仅除了当分子包含两个烷基链(诸如在磷酸二酯及膦酸单酯中)时,6-20个碳的稍短的烃基(例如,己基、2-乙基己基、十二烷基)为优选。全氟化烃基优选具有7-14个碳原子(例如,庚基、辛基、壬基、癸基、十一烷基、十二烷基、十三烷基、十四烷基)。优选的表面活性剂包括癸基膦酸、十二烷基膦酸、十四烷基膦酸、十六烷基膦酸、双(2-乙基己基)磷酸酯、十八烷基膦酸、全氟庚酸、全氟癸酸、三氟甲磺酸、磷酰基乙酸、十二烷基苯磺酸、及十二烷基胺。
涵盖的非离子性表面活性剂包括,但不限于,聚氧亚乙基月桂基醚(Emalmin NL-100(Sanyo)、Brij 30、Brij 98)、十二烯基琥珀酸单二乙醇酰胺(DSDA,Sanyo)、乙二胺四(乙氧化物-嵌段-丙氧化物)四醇(Tetronic 90R4)、聚氧亚乙基聚氧亚丙基二醇(NewpolePE-68(Sanyo)、Pluronic L31、Pluronic 31R1)、聚氧亚丙基蔗糖醚(SN008S,Sanyo)、叔-辛基苯氧基聚乙氧乙醇(Triton X100)、支链的聚氧亚乙基(9)壬苯基醚(IGEPAL CO-250)、聚氧亚乙基山梨糖醇六油酸酯、聚氧亚乙基山梨糖醇四油酸酯、聚乙二醇脱水山梨糖醇单油酸酯(Tween 80)、脱水山梨糖醇单油酸酯(Span 80)、烷基-多葡萄糖苷、全氟丁酸乙酯、1,1,3,3,5,5-六甲基-1,5-双[2-(5-降冰片烯-2-基)乙基]三硅氧烷、单体十八烷基硅烷衍生物诸如SIS6952.0(Siliclad,Gelest)、经硅氧烷改性的聚硅氮烷诸如PP1-SG10 SilicladGlide 10(Gelest)、有机硅-聚醚共聚物诸如Silwet L-77(Setre Chemical Company)、及Silwet ECO Spreader(Momentive)、及醇乙氧化物(NatsurfTM265,Croda)。
涵盖的阳离子性表面活性剂包括,但不限于,十七烷氟辛烷磺酸四乙铵、氯化硬脂基三甲铵(Econol TMS-28,Sanyo)、溴化4-(4-二乙氨基苯基偶氮)-1-(4-硝基苄基)吡啶鎓、氯化鲸蜡基吡啶鎓单水合物、苯扎氯铵、苄索氯铵(benzethonium chloride)、氯化苄基二甲基十二烷基铵、氯化苄基二甲基十六烷基铵、溴化十六烷基三甲基铵、氯化二甲基二-十八烷基铵、氯化十二烷基三甲基铵、对甲苯磺酸十六烷基三甲基铵、溴化二-十二烷基二甲基铵、氯化二(氢化牛脂)二甲基铵、溴化四庚基铵、溴化四癸基铵、 336及奥芬溴铵(oxyphenonium bromide)。该等烃基优选具有至少10个(例如,10-24个)碳原子(例如,癸基、十一烷基、十二烷基、十三烷基、十四烷基、十五烷基、十六烷基、十七烷基、十八烷基、十九烷基、二十烷基),仅除了当分子包含两个官能化烷基链诸如在氯化二甲基二-十八烷基铵、溴化二甲基二-十六烷基铵及氯化二(氢化牛脂)二甲基铵时,6-20个碳的稍短的烃基(例如,己基、2-乙基己基、十二烷基)为优选。
涵盖的阴离子性表面活性剂包括,但不限于,聚氧亚乙基月桂基醚钠、二己基磺基琥珀酸钠、二环己基磺基琥珀酸钠盐、7-乙基-2-甲基-4-十一烷基硫酸钠(Tergitol 4)、SODOSIL RM02、及磷酸酯含氟表面活性剂诸如Zonyl FSJ。
两性离子表面活性剂包括,但不限于,环氧乙烷烷基胺(AOA-8,Sanyo)、N,N-二甲基十二烷基胺N-氧化物、椰子胺基丙酸钠(sodium cocaminpropinate)(LebonApl-D,Sanyo)、3-(N,N-二甲基肉豆蔻基铵基)丙磺酸盐、及(3-(4-庚基)苯基-3-羟丙基)二甲铵基丙磺酸盐。
在一优选实施方案中,第二方面的移除组合物包含下列成分,由其所组成,或基本上由其所组成:
在第三方面中,描述一种实质上不含氟化物的第二移除组合物,该移除组合物包含至少一种氧化剂、至少一种金属氮化物抑制剂、至少一种蚀刻剂化合物、任选的至少一种表面活性剂、及至少一种溶剂,用于相对于第二金属栅极材料选择性地移除第一金属栅极材料,其中该第二移除组合物实质上不含氟化物。该第二移除组合物优选实质上不移除存在于基板上的其它栅极堆栈材料。在一实施方案中,本发明的第二移除组合物包含下列成分,由其所组成,或基本上由其所组成:至少一种氧化剂、至少一种金属氮化物抑制剂、至少一种蚀刻剂化合物、及至少一种溶剂,其中该第二移除组合物实质上不含氟化物。在另一实施方案中,本发明的移除组合物包含下列成分,由其所组成,或基本上由其所组成:至少一种氧化剂、至少一种金属氮化物抑制剂、至少一种蚀刻剂化合物、至少一种表面活性剂、及至少一种溶剂,其中该第二移除组合物实质上不含氟化物。
该至少一种溶剂可包含水及至少一种选自由式R1R2R3C(OH)化合物所组成的组的可与水相混溶的有机溶剂,其中R1、R2及R3彼此独立且选自由氢、C2-C30烷基、C2-C30烯基、环烷基、C2-C30烷氧基、及其组合所组成的组。举例来说,该至少一种溶剂可包含至少一种选自由下列所组成的组的物质:水、甲醇、乙醇、异丙醇、丁醇、戊醇、己醇、2-乙基-1-己醇、庚醇、辛醇、乙二醇、丙二醇、丁二醇、碳酸丁二酯、碳酸乙二酯、碳酸丙二酯、二丙二醇、二甘醇单甲醚、三甘醇单甲醚、二甘醇单***、三甘醇单***、乙二醇单丙醚、乙二醇单丁醚、二甘醇单丁醚、三甘醇单丁醚、乙二醇单己醚、二甘醇单己醚、乙二醇苯基醚、丙二醇甲基醚、二丙二醇甲基醚(DPGME)、三丙二醇甲基醚(TPGME)、二丙二醇二甲醚、二丙二醇乙基醚、丙二醇正丙基醚、二丙二醇正丙基醚(DPGPE)、三丙二醇正丙基醚、丙二醇正丁基醚、二丙二醇正丁基醚、三丙二醇正丁基醚、丙二醇苯基醚、2,3-二氢十氟戊烷、乙基全氟丁基醚、甲基全氟丁基醚、碳酸烷基酯、碳酸亚烷基酯、4-甲基-2-戊醇、致密流体、及其组合。该至少一种溶剂优选包含水,最优选为去离子水。
此处涵盖的氧化剂包括,但不限于,臭氧、过氧化氢(H2O2)、过硫酸氢钾制剂、过硫酸氢钾制剂四丁基铵盐、硝酸铁(Fe(NO3)3)、碘酸钾(KIO3)、碘酸(HIO3)、过碘酸(H5IO6)、过锰酸钾(KMnO4)、过锰酸(HMnO4)、氧化铬(III)、硝酸铵铈((NH4)2Ce(NO3)6)、硝酸(HNO3)、亚氯酸铵(NH4ClO2)、氯酸铵(NH4ClO3)、碘酸铵(NH4IO3)、过硼酸铵(NH4BO3)、过氯酸铵(NH4ClO4)、过碘酸铵(NH4IO3)、过硫酸铵((NH4)2S2O8)、过硫酸钠(Na2S2O8)、过硫酸钾(K2S2O8)、亚氯酸四甲铵((N(CH3)4)ClO2)、氯酸四甲铵((N(CH3)4)ClO3)、碘酸四甲铵((N(CH3)4)IO3)、过硼酸四甲铵((N(CH3)4)BO3)、过氯酸四甲铵((N(CH3)4)ClO4)、过碘酸四甲铵((N(CH3)4)IO4)、过硫酸四甲铵((N(CH3)4)S2O8)、尿素过氧化氢((CO(NH2)2)H2O2)、过乙酸(CH3(CO)OOH)、及其组合。该氧化剂优选包括过氧化氢。该氧化剂可于制造处或制造前引入至组合物。当存在氧化剂时,该移除组合物可被进一步补充至少一种酸,包括,但不限于,硫酸、硝酸、乙酸、三氟乙酸、及氢氯酸。
金属氮化物抑制剂优选地抑制第二金属栅极材料相对于第一金属栅极材料的移除,且其包括,但不限于,硼酸、硼酸铵、抗坏血酸、L(+)-抗坏血酸、异抗坏血酸、抗坏血酸衍生物、五倍子酸、二膦酸诸如1-羟亚乙基-1,1-二膦酸(HEDP)、1-羟乙烷-1,1-二膦酸、次氮基三(亚甲基膦酸)(NTMPA)、N,N,N’,N’-乙二胺四(亚甲基膦酸)(EDTMP)、1,5,9-三氮杂环十二烷-N,N’,N”-三(亚甲基膦酸)(DOTRP)、1,4,7,10-四氮杂环十二烷-N,N’,N”,N’”-四(亚甲基膦酸)(DOTP)、二亚乙三胺五(亚甲基膦酸)(DETAP)、氨基三(亚甲基膦酸)、双(六亚甲基)三胺膦酸、1,4,7-三氮杂环壬烷-N,N’,N”-三(亚甲基膦酸)(NOTP)、磷酸的酯;5-氨基-1,3,4-噻二唑-2-硫醇(ATDT)、苯并***(BTA)、柠檬酸、草酸、鞣酸、乙二胺四乙酸(EDTA)、尿酸、1,2,4-***(TAZ)、甲苯***、5-苯基苯并***、5-硝基苯并***、3-氨基-5-巯基-1,2,4-***、1-氨基-1,2,4-***、羟基苯并***、2-(5-氨基戊基)-苯并***、1-氨基-1,2,3-***、1-氨基-5-甲基-1,2,3-***、3-氨基-1,2,4-***、3-巯基-1,2,4-***、3-异丙基-1,2,4-***、5-苯基硫醇-苯并***、卤基苯并***(卤基=F、Cl、Br或I)、萘并***、2-巯基苯并咪唑(MBI)、2-巯基苯并噻唑、4-甲基-2-苯基咪唑、2-巯基噻唑啉、5-氨基四唑、2,4-二氨基-6-甲基-1,3,5-三嗪、噻唑、三嗪、甲基四唑、1,3-二甲基-2-咪唑烷酮、1,5-五亚甲基四唑、1-苯基-5-巯基四唑、二氨基甲基三嗪、咪唑啉硫酮、巯基苯并咪唑、4-甲基-4H-1,2,4-***-3-硫醇、苯并噻唑、磷酸三甲苯酯、咪唑、吲二唑、苯甲酸、丙二酸、苯甲酸铵、儿茶酚、五倍子酚、间苯二酚、氢醌、氰尿酸、巴比妥酸及衍生物诸如1,2-二甲基巴比妥酸、α-酮酸诸如丙酮酸、腺嘌呤、嘌呤、甘氨酸/抗坏血酸、Dequest 2000、Dequest 7000、对甲苯基硫脲、琥珀酸、膦酰基丁烷三羧酸(PBTCA)、及其组合。其它涵盖的二膦酸包括式I的衍生物,其中R1、R2、R3、R4、R5、R6、R7独立地选自,但不限于,氢、烷基、环烷基、烷氧基,及n是0至20的整数。
在另一实施方案中,金属氮化物抑制剂包含式(R1)(R2)P(=O)(R3)的化合物,其中R1、R2及R3彼此独立且选自由氢、羟基、C1-C30烷基、C2-C30烯基、环烷基、C2-C30烷氧基、或其任何组合所组成的组。在另一实施方案中,金属氮化物抑制剂包含式(R1R2R3R4)NX的化合物,其中R1、R2、R3及R4彼此独立且选自由氢、C1-C30烷基、C2-C30烯基、环烷基、C1-C30烷氧基、C1-C30羧酸酯、或其任何组合所组成的组,且其中X是任何具有-1电荷的阴离子。在另一实施方案中,金属氮化物抑制剂包含式[(R1)(R2)N]C(=O)(CR3R4)nC(=O)[N(R5)(R6)]的化合物,其中R1、R2、R3、R4、R5、及R6彼此独立且选自由氢、C2-C30烷基、C2-C30烯基、环烷基、C2-C30烷氧基、C2-C30羧酸酯、或其任何组合所组成的组,且其中n=1-12的任何整数。在另一实施方案中,金属氮化物抑制剂包含式R1C(=O)(OH)或R1C(=O)(OH)(CH2)n(O=)(HO)CR2的羧酸,其中R1或R2选自C1-C30烷基链或C2-C30烯基链,优选为C1-C20烷基链或C2-C20烯基链,n是介于0与20之间的整数。优选的抑制剂包括下列中的至少之一:癸基膦酸、十二烷基膦酸(DDPA)、十四烷基膦酸、十六烷基膦酸、双(2-乙基己基)磷酸酯、十八烷基膦酸、全氟庚酸、全氟癸酸、三氟甲磺酸、磷酰基乙酸、十二烷基苯磺酸、十二烯基琥珀酸、二-十八烷基磷酸氢酯、十八烷基磷酸二氢酯、十二烷基胺、十二烯基琥珀酸单二乙醇酰胺、月桂酸、棕榈酸、油酸、桧酸、羟基硬脂酸、十八烷基膦酸(ODPA)、及其组合。金属氮化物抑制剂最优选包含HEDP、十二烷基膦酸、十八烷基膦酸、或其任何组合。
蚀刻剂化合物可包括,但不限于下列的铵盐或四烷基铵盐:氢氧化物、氯化物、硝酸盐、溴化物、碘化物、亚硝酸盐、硫酸盐、亚硫酸盐、乙酸盐、及磷酸盐,其中该四烷基铵阳离子由式[NR1R2R3R4]+表示,其中R1、R2、R3及R4彼此相同或不同且选自由C1-C6烷基(例如,甲基、乙基、丙基、丁基、戊基、己基)及C6-C10芳基(例如,苄基)所组成的组;氢氧化钾;氢氧化钠;氢氧化锂;氢氧化钙;氢氧化镁;及其组合。蚀刻剂化合物优选包括氢氧化铵。
第二移除组合物所涵盖的表面活性剂包括在本文关于第二方面的移除组合物所揭示的那些。
在本发明的一优选实施方案中,第三方面的第二移除组合物实质上不含研磨剂或其它无机颗粒材料、氟化物、胺、氯化物、金属卤化物、硅酸盐、及其组合。第三方面的第二移除组合物的pH优选在约7至约11的范围内。
在一优选方面中,第三方面的第二移除组合物包含下列成分,由其所组成,或基本上由其所组成:
在一实施方案中,第三方面的第二移除组合物包含氢氧化铵、过氧化氢、硼酸及水,由其所组成,或基本上由其所组成。在另一实施方案中,第三方面的第二移除组合物包含氢氧化铵、过氧化氢、HEDP及水,由其所组成,或基本上由其所组成。
在本发明的另一方面中,文中所述的任何移除组合物可进一步包含溶解的第一金属栅极材料,例如,包含诸如TiN的材料。举例来说,含氟化物的移除组合物可包含下列成分,基本上由其所组成,或由其所组成:至少一种氟化物、至少一种金属氮化物抑制剂、任选的至少一种氧化剂、任选的至少一种表面活性剂、第一金属栅极材料及至少一种溶剂。在另一实施方案中,实质上不含氟化物的第二移除组合物包含下列成分,基本上由其所组成,或由其所组成:至少一种氧化剂、至少一种金属氮化物抑制剂、至少一种蚀刻剂化合物、第一金属栅极材料、任选的至少一种表面活性剂、及至少一种溶剂,其中该第二移除组合物实质上不含氟化物。
当明了一般实践是制造浓缩形式的移除组合物以于使用前稀释。举例来说,可制造呈更浓缩形式的包含至少一种氟化物、至少一种金属氮化物抑制剂、任选的至少一种氧化剂、任选的至少一种表面活性剂的移除组合物,其后再在制造商处、在使用前、和/或在工厂在使用期间用溶剂和/或任选的至少一种氧化剂稀释。在另一实施方案中,移除组合物可包含至少一种氧化剂、至少一种金属氮化物抑制剂、至少一种蚀刻剂化合物、任选的至少一种表面活性剂,及其后在制造商处、在使用前、和/或在工厂在使用期间用溶剂和/或至少一种氧化剂稀释。在另一实施方案中,移除组合物可包含至少一种金属氮化物抑制剂、至少一种蚀刻剂化合物、任选的至少一种表面活性剂,及其后在制造商处、在使用前、和/或在工厂在使用期间用溶剂及至少一种氧化剂稀释。稀释比可在约0.1份稀释剂:1份移除组合物浓缩物至约100份稀释剂:1份移除组合物浓缩物的范围内。
本发明的移除组合物通过简单地添加个别成分及混合至均匀状态而容易地调配得。此外,可轻易地将移除组合物调配为单包装调配物或在使用点处或使用点前混合的多份调配物,优选为多份调配物。可将多份调配物的单独部分于工具处或于混合区域/范围诸如在线混合器或于工具上游的储槽中混合。涵盖多份调配物的各个部分可包含当混合在一起时形成期望移除组合物的成分/组分的任何组合。在本发明的广泛实践中,个别成分的浓度可在移除组合物的特定倍数内广泛地改变,即更稀或更浓,且当明了本发明的移除组合物可变化及替代地包含与本文所公开内容一致的成分的任何组合,由其所组成,或基本上由其所组成。
因此,本发明的另一方面涉及一种套组(kit),其包括存于一个或多个容器中的一种或多种适于形成本发明的组合物的组分。套组优选包括用于在工厂或使用点处与溶剂和/或氧化剂结合的存于一个或多个容器中的至少一种氟化物、至少一种金属氮化物抑制剂、任选的至少一种氧化剂、任选的至少一种表面活性剂。套组的容器视需要可包括用于在工厂或使用点处与溶剂和/或氧化剂结合的至少一种氧化剂、至少一种金属氮化物抑制剂、至少一种蚀刻剂化合物、任选的至少一种表面活性剂。在另一实施方案中,套组的容器可包括用于在工厂或使用点处与溶剂及氧化剂结合的至少一种金属氮化物抑制剂、至少一种蚀刻剂化合物、任选的至少一种表面活性剂。套组的容器必需适于储存及运送该移除组合物,例如,容器(Advanced Technology Materials,Inc.,Danbury,Conn.,USA)。容纳移除组合物的组分的一个或多个容器优选包括用于使该一个或多个容器中的组分流体相通,以进行掺混及配送的构件。举例来说,参照容器,可对该一个或多个容器中的衬里的外侧施加气体压力,以导致衬里的至少一部分的内容物排出,且因此可流体相通而进行掺混及配送。或者,可对公知的可加压容器的顶部空间施加气体压力,或可使用泵以达成流体相通。此外,***优选包括用于将经掺混的清洁组合物配送至加工工具的配送口。
优选使用实质上化学惰性、不含杂质、挠性及回弹性的聚合薄膜材料,诸如高密度聚乙烯,以制造该一个或多个容器的衬里。理想的衬里材料不需要共挤出或障壁层以进行加工,且不含任何会不利影响待置于衬里中的组分的纯度需求的颜料、UV抑制剂、或加工剂。理想衬里材料的清单包括含纯粹(无添加剂)聚乙烯、纯粹聚四氟乙烯(PTFE)、聚丙烯、聚氨基甲酸酯、聚偏二氯乙烯、聚氯乙烯、聚缩醛、聚苯乙烯、聚丙烯腈、聚丁烯等等的薄膜。此等衬里材料的优选厚度在约5密尔(mil)(0.005英寸)至约30密尔(0.030英寸)的范围内,例如,20密尔(0.020英寸)的厚度。
关于套组的容器,将以下专利及专利申请案的揭示内容的各别全体并入本文为参考数据:美国专利第7,188,644号,标题“使超纯液体中的颗粒产生减至最小的装置及方法(APPARATUS AND METHOD FOR MINIMIZING THE GENERATION OF PARTICLES IN ULTRAPURELIQUIDS)”;美国专利第6,698,619号,标题“可回收及再利用的桶中袋流体储存及配送容器***(RETURNABLE AND REUSABLE,BAG-IN-DRUM FLUID STORAGE AND DISPENSINGCONTAINER SYSTEM)”;及2007年5月9日以John E.Q.Hughes的名义提出申请的美国专利申请案第60/916,966号,标题“材料掺混及分布用的***及方法(SYSTEMS AND METHODS FORMATERIAL BLENDING AND DISTRIBUTION)”,及2008年5月9日提出申请的PCT/US08/63276,标题“材料掺混及分布用的***及方法(SYSTEMS AND METHODS FOR MATERIAL BLENDINGAND DISTRIBUTION)”。
当应用至微电子制造操作时,文中所述的移除组合物可有效用于自微电子装置的表面相对于第二金属栅极材料选择性地移除第一金属栅极材料,及可在施用经调配用于自装置表面移除另类材料的其它组合物之前或之后应用于该表面。文中所述的移除组合物应相对于第二金属栅极材料选择性地移除第一金属栅极材料,同时不会实质上地移除存在于基板上的其它金属堆栈材料。
在移除应用中,移除组合物以任何适当方式被施加至装置,例如,经由将移除组合物喷涂于装置的表面上,经由将装置浸泡于静态或动态体积的移除组合物中,经由使装置与其上吸收有移除组合物的另一材料(例如,垫、或纤维吸收性涂布器组件)接触,或藉由任何其它藉以使移除组合物与具有第一及第二金属栅极材料的装置进行移除接触的适当手段、方式或技术。此外,此处涵盖批式或单一晶圆加工。
在实现期望的移除作用后,可轻易地将移除组合物自其先前经施用的装置移除(例如,经由冲洗、洗涤、或其它移除步骤),此可能是所需的且有效的。举例来说,装置可用包含去离子水的冲洗溶液冲洗和/或经干燥(例如,旋转干燥、N2、溶剂(诸如IPA)蒸气干燥等)。
本发明的另一方面涉及根据本发明的方法制得的改良的微电子装置,及含有该等微电子装置的产品。
本发明的另一方面涉及制造包含微电子装置的制品的方法,该方法包括使微电子装置与移除组合物接触足够的时间,以自其上具有第一金属栅极材料及第二金属栅极材料的微电子装置相对于第二金属栅极材料选择性地移除第一金属栅极材料,及将该微电子装置并入至该制品中。该移除组合物可包含下列成分,由其所组成,或基本上由其所组成:至少一种氟化物、至少一种金属氮化物抑制剂、任选的至少一种氧化剂、任选的至少一种表面活性剂、及至少一种溶剂。或者,该移除组合物可包含下列成分,由其所组成,或基本上由其所组成:至少一种金属氮化物抑制剂、至少一种蚀刻剂化合物、至少一种氧化剂、任选的至少一种表面活性剂、及至少一种溶剂。
本发明的另一方面涉及一种制造制品,该制品包括微电子装置基板及移除组合物,其中该微电子装置基板包括第一金属栅极材料及第二金属栅极材料,以及其中该移除组合物可为文中所述的任何移除组合物。举例来说,该移除组合物可包含至少一种氟化物、至少一种金属氮化物抑制剂、任选的至少一种氧化剂、任选的至少一种表面活性剂、及至少一种溶剂。或者,该移除组合物可包含至少一种氧化剂、至少一种金属氮化物抑制剂、至少一种蚀刻剂化合物、任选的至少一种表面活性剂、及至少一种溶剂。
本发明的特征及优点由非限制性实施例作更完整说明,其中除非另外明确陈述,否则所有份数及百分比是以重量计的。
实施例1
制备以下组合物。
组合物A:0.6重量%硼酸、25.0重量%三丙二醇甲基醚、29.25重量%四氢呋喃醇、0.1重量%TBA-BF4、0.56重量%氢氟化铵、0.015重量%ATDT、0.3重量%TAZ、44.175重量%水
组合物B:4重量%氢氧化铵、4重量%H2O2(30%)、15重量%HEDP、77重量%水
组合物C:4重量%氢氧化铵、4重量%H2O2(30%)、1重量%硼酸、91重量%水
组合物D:4重量%氢氧化铵、4重量%H2O2(30%)、1重量%HEDP、91重量%水
组合物E:4重量%氢氧化铵、4重量%H2O2(30%)、0.1重量%硼酸、91.9重量%水
组合物F:4重量%氢氧化铵、4重量%H2O2(30%)、8重量%HEDP、84重量%水
组合物G:4重量%氢氧化铵、4重量%H2O2(30%)、10重量%HEDP、82重量%水
组合物H:4重量%氢氧化铵、4重量%H2O2(30%)、2重量%HEDP、90重量%水
组合物I:4重量%氢氧化铵、4重量%H2O2(30%)、4重量%HEDP、88重量%水
组合物J:4重量%氢氧化铵、4重量%H2O2(30%)、6重量%HEDP、86重量%水
组合物K:4重量%氢氧化铵、4重量%H2O2(30%)、0.01重量%硼酸、91.99重量%水
组合物L:0.6重量%硼酸、0.56重量%氢氟化铵、98.84重量%水
组合物M:4重量%氢氧化铵、4重量%H2O2(30%)、5重量%HEDP、87重量%水
组合物N:4重量%氢氧化铵、4重量%H2O2(30%)、92重量%水
将具有氮化钛层的毯覆式晶圆及具有氮化钽层的毯覆式晶圆单独于80℃下的调配物D、H及I、以及对照调配物N中浸泡1分钟。使用光谱椭圆偏振(SE)测定各氮化物的蚀刻速率及结果列表于表1。
表1:TiNx及TaNx在移除组合物中的蚀刻速率
可见当添加至调配物的HEDP的量增加时(例如,自调配物D至H至I),TaNx的蚀刻速率减小而TiNx的蚀刻速率维持不受影响。可见调配物I对于抑制TaNx的蚀刻速率最为有效。虽然不希望受理论所限制,但据认为当HEDP的存在量增加及pH减小时,氧化剂的活性减小且因此TaNx的蚀刻速率减小。
将具有氮化钛层的毯覆式晶圆及具有氮化钽层的毯覆式晶圆单独于40℃下的调配物M、以及对照调配物N中浸泡3分钟。使用SE测定各氮化物的蚀刻速率及结果列表于表2。
表2:TiNx及TaNx在移除组合物中的蚀刻速率
可见HEDP的存在适当地抑制TaNx的蚀刻速率。
虽然本发明已参照说明实施方案及特征以不同方式公开于文中,但当明了前文所述的实施方案及特征并非要限制本发明,且本领域技术人员当可基于本文中的公开内容明白其它的变化、修改及其它实施方案。因此,本发明应被广泛解释为涵盖在权利要求书的精神及范畴内的所有此等变化、修改及另类实施方案。

Claims (24)

1.一种组合物,所述组合物包含:
至少一种蚀刻剂,所述至少一种蚀刻剂含有氢氧化铵或氢氧化物的四烷基铵盐;
至少一种金属氮化物抑制剂,所述至少一种金属氮化物抑制剂选自由下列所组成的组的物质:硼酸、硼酸铵、抗坏血酸、五倍子酸、1-羟亚乙基-1,1-二膦酸、次氮基三(亚甲基膦酸)、1,5,9-三氮杂环十二烷-N,N’,N”-三(亚甲基膦酸)、1,4,7,10-四氮杂环十二烷-N,N’,N”,N’”-四(亚甲基膦酸)、二亚乙三胺五(亚甲基膦酸)、氨基三(亚甲基膦酸)、双(六亚甲基)三胺膦酸、1,4,7-三氮杂环壬烷-N,N’,N”-三(亚甲基膦酸)、磷酸的酯;5-氨基-1,3,4-噻二唑-2-硫醇、苯并***、鞣酸、乙二胺四乙酸、尿酸、1,2,4-***、甲苯***、5-苯基苯并***、5-硝基苯并***、3-氨基-5-巯基-1,2,4-***、1-氨基-1,2,4-***、羟基苯并***、2-(5-氨基戊基)-苯并***、1-氨基-1,2,3-***、1-氨基-5-甲基-1,2,3-***、3-氨基-1,2,4-***、3-巯基-1,2,4-***、3-异丙基-1,2,4-***、5-苯基硫醇-苯并***、卤基苯并***、萘并***、2-巯基苯并噻唑、4-甲基-2-苯基咪唑、2-巯基噻唑啉、5-氨基四唑、2,4-二氨基-6-甲基-1,3,5-三嗪、噻唑、三嗪、甲基四唑、1,3-二甲基-2-咪唑烷酮、1,5-五亚甲基四唑、1-苯基-5-巯基四唑、二氨基甲基三嗪、咪唑啉硫酮、巯基苯并咪唑、4-甲基-4H-1,2,4-***-3-硫醇、苯并噻唑、咪唑、吲二唑、儿茶酚、五倍子酚、间苯二酚、氢醌、氰尿酸、巴比妥酸、1,2-二甲基巴比妥酸、丙酮酸、嘌呤、甘氨酸/抗坏血酸、对甲苯基硫脲、膦酰基丁烷三羧酸、癸基膦酸、十二烷基膦酸、十四烷基膦酸、十六烷基膦酸、十八烷基膦酸、全氟庚酸、全氟癸酸、三氟甲磺酸、磷酰基乙酸、十二烷基苯磺酸、十二烯基琥珀酸、十二烷基胺、十二烯基琥珀酸单二乙醇酰胺、月桂酸、棕榈酸、油酸、桧酸、12-羟基硬脂酸、及其组合;
至少一种溶剂;和
至少一种氧化剂,所述至少一种氧化剂以从0.01wt.%至小于10wt.%的量存在,
其中该组合物用于相对于第二金属栅极材料选择性地移除第一金属栅极材料。
2.权利要求1的组合物,其中,该至少一种氧化剂包含选自由下列所组成的组的物质:臭氧、过氧化氢、过硫酸氢钾制剂、过硫酸氢钾制剂四丁基铵盐、硝酸铁、碘酸钾、碘酸、过碘酸、过锰酸钾、过锰酸、氧化铬(III)、硝酸铵铈、硝酸、亚氯酸铵、氯酸铵、碘酸铵、过硼酸铵、过氯酸铵、过碘酸铵、过硫酸铵、过硫酸钠、过硫酸钾、亚氯酸四甲铵、氯酸四甲铵、碘酸四甲铵、过硼酸四甲铵、过氯酸四甲铵、过碘酸四甲铵、过硫酸四甲铵、尿素过氧化氢、过乙酸、及其组合。
3.权利要求1的组合物,其中,该至少一种氧化剂包含过氧化氢。
4.权利要求2或3的组合物,其中,该组合物进一步包含至少一种选自由硫酸、硝酸、乙酸、三氟乙酸及氢氯酸所组成的组的酸。
5.权利要求1的组合物,其中,该至少一种金属氮化物抑制剂包含1-羟亚乙基-1,1-二膦酸。
6.权利要求1的组合物,其中,该至少一种溶剂包含选自由下列所组成的组的物质:水、甲醇、乙醇、异丙醇、丁醇、戊醇、己醇、2-乙基-1-己醇、庚醇、辛醇、乙二醇、丙二醇、丁二醇、碳酸丁二酯、碳酸乙二酯、碳酸丙二酯、二丙二醇、二甘醇单甲醚、三甘醇单甲醚、二甘醇单***、三甘醇单***、乙二醇单丙醚、乙二醇单丁醚、二甘醇单丁醚、三甘醇单丁醚、乙二醇单己醚、二甘醇单己醚、乙二醇苯基醚、丙二醇甲基醚、二丙二醇甲基醚、三丙二醇甲基醚、二丙二醇二甲醚、二丙二醇乙基醚、丙二醇正丙基醚、二丙二醇正丙基醚、三丙二醇正丙基醚、丙二醇正丁基醚、二丙二醇正丁基醚、三丙二醇正丁基醚、丙二醇苯基醚、2,3-二氢十氟戊烷、乙基全氟丁基醚、甲基全氟丁基醚、碳酸烷基酯、碳酸亚烷基酯、4-甲基-2-戊醇、及其组合。
7.权利要求1的组合物,其中,该至少一种溶剂包含水。
8.权利要求1的组合物,其中pH在3-7的范围内。
9.权利要求1的组合物,其中pH在7-11的范围内。
10.权利要求1的组合物,其中,该组合物还包含至少一种表面活性剂。
11.权利要求10的组合物,其中,该至少一种表面活性剂选自:癸基膦酸、十二烷基膦酸、十四烷基膦酸、十六烷基膦酸、双(2-乙基己基)磷酸酯、十八烷基膦酸、全氟庚酸、全氟癸酸、三氟甲磺酸、磷酰基乙酸、十二烷基苯磺酸、十二烷基胺、聚氧亚乙基月桂基醚、十二烯基琥珀酸单二乙醇酰胺、乙二胺四(乙氧化物-嵌段-丙氧化物)四醇、聚氧亚乙基聚氧亚丙基二醇、聚氧亚丙基蔗糖醚、叔-辛基苯氧基聚乙氧乙醇、支链的聚氧亚乙基(9)壬苯基醚、聚氧亚乙基山梨糖醇六油酸酯、聚氧亚乙基山梨糖醇四油酸酯、聚乙二醇脱水山梨糖醇单油酸酯、脱水山梨糖醇单油酸酯、烷基-多葡萄糖苷、全氟丁酸乙酯、1,1,3,3,5,5-六甲基-1,5-双[2-(5-降冰片烯-2-基)乙基]三硅氧烷、Siliclad SIS6952.0、经硅氧烷改性的聚硅氮烷、有机硅-聚醚共聚物、及醇乙氧化物、十七烷氟辛烷磺酸四乙铵、氯化硬脂基三甲铵、溴化4-(4-二乙氨基苯基偶氮)-1-(4-硝基苄基)吡啶鎓、氯化鲸蜡基吡啶鎓单水合物、苯扎氯铵、苄索氯铵、氯化苄基二甲基十二烷基铵、氯化苄基二甲基十六烷基铵、溴化十六烷基三甲基铵、氯化二甲基二-十八烷基铵、氯化十二烷基三甲基铵、对甲苯磺酸十六烷基三甲基铵、溴化二-十二烷基二甲基铵、氯化二(氢化牛脂)二甲基铵、溴化四庚基铵、溴化四癸基铵、奥芬溴铵、聚氧亚乙基月桂基醚钠、二己基磺基琥珀酸钠、二环己基磺基琥珀酸钠盐、7-乙基-2-甲基-4-十一烷基硫酸钠、磷酸酯含氟表面活性剂、环氧乙烷烷基胺、N,N-二甲基十二烷基胺N-氧化物、椰子胺基丙酸钠、3-(N,N-二甲基肉豆蔻基铵基)丙磺酸盐、及(3-(4-庚基)苯基-3-羟丙基)二甲铵基丙磺酸盐。
12.权利要求1-3和5-11中任一项的组合物,其中,该组合物实质上不含研磨剂或其它无机颗粒材料、胺、氯化物、金属卤化物、硅酸盐、及其组合。
13.权利要求4的组合物,其中,该组合物实质上不含研磨剂或其它无机颗粒材料、胺、氯化物、金属卤化物、硅酸盐、及其组合。
14.权利要求1的组合物,其中所述嘌呤是腺嘌呤。
15.权利要求1的组合物,其中所述抗坏血酸是L(+)-抗坏血酸。
16.权利要求1的组合物,其中所述抗坏血酸是异抗坏血酸。
17.权利要求1的组合物,其中所述巯基苯并咪唑是2-巯基苯并咪唑。
18.权利要求1的组合物,其中所述磷酸的酯选自磷酸三甲苯酯、双(2-乙基己基)磷酸酯、二-十八烷基磷酸氢酯和十八烷基磷酸二氢酯。
19.相对于至少第二金属栅极材料选择性地移除第一金属栅极材料的方法,该方法包括使包含该第一金属栅极材料及该第二金属栅极材料的基板与权利要求1-11任一项的组合物接触,其中该组合物相对于该第二金属栅极材料选择性地移除该第一金属栅极材料。
20.权利要求19的方法,其中,该组合物实质上不移除存在于该基板上的其它栅极堆栈材料。
21.权利要求20的方法,其中,该第一金属栅极材料包含钛及该第二金属栅极材料包含钽。
22.权利要求20或21的方法,其中,该第一金属栅极材料是第一金属氮化物及该第二金属栅极材料是第二金属氮化物。
23.权利要求20或21的方法,其中,该第一金属栅极材料是氮化钛及该第二金属栅极材料是氮化钽。
24.权利要求20或21的方法,其中,利用冲洗溶液自该基板冲洗该组合物。
CN201510644253.7A 2010-10-06 2011-10-06 选择性蚀刻金属氮化物的组合物及方法 Active CN105304485B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US39037210P 2010-10-06 2010-10-06
US61/390,372 2010-10-06
CN201180048783.5A CN103154321B (zh) 2010-10-06 2011-10-06 选择性蚀刻金属氮化物的组合物及方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201180048783.5A Division CN103154321B (zh) 2010-10-06 2011-10-06 选择性蚀刻金属氮化物的组合物及方法

Publications (2)

Publication Number Publication Date
CN105304485A CN105304485A (zh) 2016-02-03
CN105304485B true CN105304485B (zh) 2019-02-12

Family

ID=45928425

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201510644253.7A Active CN105304485B (zh) 2010-10-06 2011-10-06 选择性蚀刻金属氮化物的组合物及方法
CN201180048783.5A Active CN103154321B (zh) 2010-10-06 2011-10-06 选择性蚀刻金属氮化物的组合物及方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201180048783.5A Active CN103154321B (zh) 2010-10-06 2011-10-06 选择性蚀刻金属氮化物的组合物及方法

Country Status (6)

Country Link
US (1) US9831088B2 (zh)
KR (2) KR101827031B1 (zh)
CN (2) CN105304485B (zh)
SG (2) SG10201508015RA (zh)
TW (1) TWI619800B (zh)
WO (1) WO2012048079A2 (zh)

Families Citing this family (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6101421B2 (ja) 2010-08-16 2017-03-22 インテグリス・インコーポレーテッド 銅または銅合金用エッチング液
US20140318584A1 (en) 2011-01-13 2014-10-30 Advanced Technology Materials, Inc. Formulations for the removal of particles generated by cerium-containing solutions
KR20120138290A (ko) * 2011-06-14 2012-12-26 삼성디스플레이 주식회사 식각액 조성물, 및 이를 이용한 금속 배선과 박막 트랜지스터 기판 형성 방법
JP5933950B2 (ja) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅または銅合金用エッチング液
SG11201403556WA (en) 2011-12-28 2014-07-30 Advanced Tech Materials Compositions and methods for selectively etching titanium nitride
CN104508072A (zh) 2012-02-15 2015-04-08 安格斯公司 用于cmp后去除的组合物及使用方法
JP2015517691A (ja) 2012-05-18 2015-06-22 インテグリス,インコーポレイテッド 窒化チタンを含む表面からフォトレジストを剥離するための組成物およびプロセス
JP2014022657A (ja) * 2012-07-20 2014-02-03 Fujifilm Corp エッチング方法、これを用いた半導体基板製品および半導体素子の製造方法、ならびにエッチング液調製用キット
CN104428876A (zh) * 2012-07-20 2015-03-18 富士胶片株式会社 蚀刻方法以及使用该方法制造半导体基板产品和半导体器件的方法
JP6063206B2 (ja) * 2012-10-22 2017-01-18 富士フイルム株式会社 エッチング液、これを用いたエッチング方法及び半導体素子の製造方法
CN102977720B (zh) * 2012-11-02 2015-07-29 铜陵市明诚铸造有限责任公司 一种含有1-羟基苯并三氮唑的金属防锈剂的制备方法
JP6017273B2 (ja) * 2012-11-14 2016-10-26 富士フイルム株式会社 半導体基板のエッチング方法及び半導体素子の製造方法
JP2014103179A (ja) * 2012-11-16 2014-06-05 Fujifilm Corp 半導体基板のエッチング液、これを用いたエッチング方法及び半導体素子の製造方法
JP6198384B2 (ja) 2012-11-28 2017-09-20 富士フイルム株式会社 半導体基板のエッチング方法及び半導体素子の製造方法
KR102118964B1 (ko) 2012-12-05 2020-06-08 엔테그리스, 아이엔씨. Iii-v 반도체 물질을 세척하기 위한 조성물 및 이를 사용하는 방법
JP6363116B2 (ja) * 2013-03-04 2018-07-25 インテグリス・インコーポレーテッド 窒化チタンを選択的にエッチングするための組成物および方法
KR102087791B1 (ko) * 2013-03-27 2020-03-12 삼성디스플레이 주식회사 식각 조성물, 이를 이용한 금속 패턴의 형성 방법 및 표시 기판의 제조방법
SG11201509933QA (en) * 2013-06-06 2016-01-28 Advanced Tech Materials Compositions and methods for selectively etching titanium nitride
US10138117B2 (en) 2013-07-31 2018-11-27 Entegris, Inc. Aqueous formulations for removing metal hard mask and post-etch residue with Cu/W compatibility
EP3039098B1 (en) 2013-08-30 2020-09-30 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
RU2545975C1 (ru) * 2013-12-05 2015-04-10 Федеральное государственное унитарное предприятие "Всероссийский научно-исследовательский институт авиационных материалов" (ФГУП "ВИАМ") Способ удаления покрытия из нитрида циркония с подложки из титана или титановых сплавов
EP3080240A4 (en) * 2013-12-11 2017-07-19 FujiFilm Electronic Materials USA, Inc. Cleaning formulation for removing residues on surfaces
WO2015095175A1 (en) 2013-12-16 2015-06-25 Advanced Technology Materials, Inc. Ni:nige:ge selective etch formulations and method of using same
EP3083016B1 (en) 2013-12-20 2020-07-29 Greene Lyon Group Inc. Method and apparatus for recovery of noble metals, including recovery of noble metals from plated and/or filled scrap
KR102352475B1 (ko) 2013-12-20 2022-01-18 엔테그리스, 아이엔씨. 이온-주입된 레지스트의 제거를 위한 비-산화성 강산의 용도
US9472420B2 (en) 2013-12-20 2016-10-18 Air Products And Chemicals, Inc. Composition for titanium nitride hard mask and etch residue removal
US10475658B2 (en) 2013-12-31 2019-11-12 Entegris, Inc. Formulations to selectively etch silicon and germanium
TWI642763B (zh) * 2014-01-27 2018-12-01 三菱瓦斯化學股份有限公司 氮化鈦除去用液體組成物、利用該液體組成物之半導體元件之洗滌方法、及半導體元件之製造方法
TWI659098B (zh) 2014-01-29 2019-05-11 美商恩特葛瑞斯股份有限公司 化學機械研磨後配方及其使用方法
US11127587B2 (en) 2014-02-05 2021-09-21 Entegris, Inc. Non-amine post-CMP compositions and method of use
JP6550123B2 (ja) 2014-03-18 2019-07-24 フジフイルム エレクトロニック マテリアルズ ユー.エス.エー., インコーポレイテッド エッチング組成物
US9222018B1 (en) * 2014-07-24 2015-12-29 Air Products And Chemicals, Inc. Titanium nitride hard mask and etch residue removal
KR102456079B1 (ko) * 2014-12-24 2022-11-21 삼성디스플레이 주식회사 산화물 제거용 세정 조성물 및 이를 이용한 세정 방법
US10301580B2 (en) * 2014-12-30 2019-05-28 Versum Materials Us, Llc Stripping compositions having high WN/W etching selectivity
JP6429079B2 (ja) * 2015-02-12 2018-11-28 メック株式会社 エッチング液及びエッチング方法
WO2016138218A1 (en) * 2015-02-25 2016-09-01 Applied Materials, Inc. Methods and apparatus for using alkyl amines for the selective removal of metal nitride
US11136681B2 (en) 2015-06-24 2021-10-05 Greene Lyon Group, Inc. Selective removal of noble metals using acidic fluids, including fluids containing nitrate ions
CN105018934A (zh) * 2015-07-15 2015-11-04 安徽多晶涂层科技有限公司 一种硬质涂层用退涂粉、配置的退涂液及退涂方法
CN105063579B (zh) * 2015-07-20 2017-12-08 深圳市瑞世兴科技有限公司 金刚石铜复合材料用的粗化液及其表面镀镍方法
JP6557575B2 (ja) * 2015-10-23 2019-08-07 株式会社Adeka エッチング液組成物及びエッチング方法
WO2017091572A1 (en) * 2015-11-23 2017-06-01 Entegris, Inc. Composition and process for selectively etching p-doped polysilicon relative to silicon nitride
CN106566413A (zh) * 2016-10-28 2017-04-19 扬州翠佛堂珠宝有限公司 一种蓝宝石抛光液
KR20180060489A (ko) 2016-11-29 2018-06-07 삼성전자주식회사 식각용 조성물 및 이를 이용한 반도체 장치 제조 방법
US11114347B2 (en) * 2017-06-30 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Self-protective layer formed on high-k dielectric layers with different materials
CN107229193B (zh) * 2017-07-25 2019-04-23 上海新阳半导体材料股份有限公司 一种清洗剂、其制备方法和应用
US10870799B2 (en) * 2017-08-25 2020-12-22 Versum Materials Us, Llc Etching solution for selectively removing tantalum nitride over titanium nitride during manufacture of a semiconductor device
US10889757B2 (en) * 2017-10-19 2021-01-12 Fujifilm Electronic Materials U.S.A., Inc. Etching compositions
CN111512239B (zh) 2018-01-25 2024-05-03 默克专利股份有限公司 光致抗蚀剂去除剂组合物
CN108640092B (zh) * 2018-04-18 2021-11-05 南京大学 一种含氧化合物辅助一步氮化法制备金属氮化物薄膜的方法
EP3787009A4 (en) * 2018-04-27 2021-06-09 Mitsubishi Gas Chemical Company, Inc. AQUEOUS COMPOSITION AND PROCESS FOR USING CLEANING
TWI753250B (zh) * 2018-05-01 2022-01-21 美商應用材料股份有限公司 選擇性蝕刻方法及改善蝕刻選擇性的方法
CN110484919A (zh) * 2018-05-14 2019-11-22 深圳市裕展精密科技有限公司 退镀液及其退除含钛膜层的方法、及表面形成有含钛膜层的基材的退镀方法
CN112384597A (zh) * 2018-07-06 2021-02-19 恩特格里斯公司 选择性蚀刻材料的改进
KR20210111289A (ko) * 2019-01-11 2021-09-10 버슘머트리얼즈 유에스, 엘엘씨 산화하프늄 부식 억제제
WO2020185745A1 (en) * 2019-03-11 2020-09-17 Versum Materials Us, Llc Etching solution and method for aluminum nitride
CN111719157B (zh) * 2019-03-20 2024-06-07 易安爱富科技有限公司 蚀刻组合物及利用其的蚀刻方法
WO2020210784A1 (en) 2019-04-12 2020-10-15 Ecolab Usa Inc. Antimicrobial multi-purpose cleaner and methods of making and using the same
KR102590529B1 (ko) * 2019-05-14 2023-10-16 주식회사 엘지화학 금속막 식각액 조성물 및 이를 이용한 금속막의 식각방법
KR20210045838A (ko) 2019-10-17 2021-04-27 삼성전자주식회사 금속 함유막 식각액 조성물 및 이를 이용한 집적회로 소자의 제조 방법
US11309190B2 (en) * 2020-01-17 2022-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
KR20210100258A (ko) 2020-02-05 2021-08-17 삼성전자주식회사 식각 조성물 및 이를 이용한 반도체 소자의 제조 방법
TWI789741B (zh) * 2020-04-14 2023-01-11 美商恩特葛瑞斯股份有限公司 蝕刻鉬之方法及組合物
US20220049160A1 (en) * 2020-08-13 2022-02-17 Entegris, Inc. Nitride etchant composition and method
CN113355023B (zh) * 2021-05-31 2022-08-09 中南大学 一种4D打印NiTi合金EBSD样品抛光液的制备方法和产品及应用
KR20220164259A (ko) * 2021-06-04 2022-12-13 주식회사 이엔에프테크놀로지 금속질화막 식각 조성물 및 이를 이용하는 식각 방법

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6531404B1 (en) * 2000-08-04 2003-03-11 Applied Materials Inc. Method of etching titanium nitride
CN101015043A (zh) * 2004-09-09 2007-08-08 Sez股份公司 选择性蚀刻方法

Family Cites Families (151)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3559281A (en) 1968-11-27 1971-02-02 Motorola Inc Method of reclaiming processed semiconductior wafers
US3923567A (en) 1974-08-09 1975-12-02 Silicon Materials Inc Method of reclaiming a semiconductor wafer
US4163727A (en) 1977-12-05 1979-08-07 Basf Wyandotte Corporation Acidizing-gel composition
JPS55109498A (en) 1979-02-15 1980-08-22 Ichiro Kudo Silicic acid scale removing agent
US4226932A (en) * 1979-07-05 1980-10-07 Gte Automatic Electric Laboratories Incorporated Titanium nitride as one layer of a multi-layered coating intended to be etched
JPS5855323A (ja) 1981-09-26 1983-04-01 Toshiba Corp シリコン及びシリコン酸化膜の腐食液
CA1196560A (en) 1981-11-24 1985-11-12 Gerardus A. Somers Metal stripping composition and process
US4704188A (en) 1983-12-23 1987-11-03 Honeywell Inc. Wet chemical etching of crxsiynz
JPS6140805A (ja) 1984-08-03 1986-02-27 Mitsubishi Gas Chem Co Inc 窒化珪素微粉末の製造方法
JPH01272785A (ja) 1988-04-25 1989-10-31 Nippon Hyomen Kagaku Kk チタンまたはチタン合金の化学研磨方法
TW263531B (zh) 1992-03-11 1995-11-21 Mitsubishi Gas Chemical Co
JPH05299810A (ja) 1992-04-21 1993-11-12 Sumitomo Metal Ind Ltd 配線パターン形成用エッチング溶液
US5320709A (en) 1993-02-24 1994-06-14 Advanced Chemical Systems International Incorporated Method for selective removal of organometallic and organosilicon residues and damaged oxides using anhydrous ammonium fluoride solution
US5622875A (en) 1994-05-06 1997-04-22 Kobe Precision, Inc. Method for reclaiming substrate from semiconductor wafers
US5803956A (en) 1994-07-28 1998-09-08 Hashimoto Chemical Company, Ltd. Surface treating composition for micro processing
JP2914555B2 (ja) 1994-08-30 1999-07-05 信越半導体株式会社 半導体シリコンウェーハの洗浄方法
US5855735A (en) 1995-10-03 1999-01-05 Kobe Precision, Inc. Process for recovering substrates
US7534752B2 (en) 1996-07-03 2009-05-19 Advanced Technology Materials, Inc. Post plasma ashing wafer cleaning formulation
US6323168B1 (en) 1996-07-03 2001-11-27 Advanced Technology Materials, Inc. Post plasma ashing wafer cleaning formulation
US6755989B2 (en) 1997-01-09 2004-06-29 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6224785B1 (en) 1997-08-29 2001-05-01 Advanced Technology Materials, Inc. Aqueous ammonium fluoride and amine containing compositions for cleaning inorganic residues on semiconductor substrates
US6896826B2 (en) 1997-01-09 2005-05-24 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US5993685A (en) 1997-04-02 1999-11-30 Advanced Technology Materials Planarization composition for removing metal films
AU7147798A (en) 1997-04-23 1998-11-13 Advanced Chemical Systems International, Inc. Planarization compositions for cmp of interlayer dielectrics
US6083419A (en) 1997-07-28 2000-07-04 Cabot Corporation Polishing composition including an inhibitor of tungsten etching
JPH1167632A (ja) 1997-08-18 1999-03-09 Mitsubishi Gas Chem Co Inc 半導体装置用洗浄剤
JP3968535B2 (ja) 1997-08-29 2007-08-29 三菱瓦斯化学株式会社 半導体素子の製造方法
JPH1183824A (ja) 1997-09-08 1999-03-26 Shimadzu Corp ガスクロマトグラフ分析装置
JPH11150329A (ja) 1997-11-14 1999-06-02 Sony Corp 半導体素子の製造方法
US5976928A (en) 1997-11-20 1999-11-02 Advanced Technology Materials, Inc. Chemical mechanical polishing of FeRAM capacitors
US6280651B1 (en) 1998-12-16 2001-08-28 Advanced Technology Materials, Inc. Selective silicon oxide etchant formulation including fluoride salt, chelating agent, and glycol solvent
US6211126B1 (en) 1997-12-23 2001-04-03 Advanced Technology Materials, Inc. Formulations including a 1, 3-dicarbonyl compound chelating agent for stripping residues from semiconductor substrates
JPH11265867A (ja) 1998-03-17 1999-09-28 Dainippon Screen Mfg Co Ltd 基板処理方法および基板処理装置
JP3500063B2 (ja) 1998-04-23 2004-02-23 信越半導体株式会社 剥離ウエーハを再利用する方法および再利用に供されるシリコンウエーハ
IL139546A (en) 1998-05-18 2005-08-31 Mallinckrodt Inc Silicate-containing alkaline compositions for cleaning microelectronic substrates
KR20010025043A (ko) 1998-05-18 2001-03-26 바누치 유진 지. 반도체 기판용 스트립팅 조성물
US6140211A (en) 1998-07-24 2000-10-31 Lucent Technologies Inc. Method for recycling wafers used for quality assurance testing of integrated circuit fabrication equipment
US6242165B1 (en) 1998-08-28 2001-06-05 Micron Technology, Inc. Supercritical compositions for removal of organic material and methods of using same
JP3189892B2 (ja) 1998-09-17 2001-07-16 日本電気株式会社 半導体基板の洗浄方法及び洗浄液
US6875733B1 (en) 1998-10-14 2005-04-05 Advanced Technology Materials, Inc. Ammonium borate containing compositions for stripping residues from semiconductor substrates
US6140239A (en) 1998-11-25 2000-10-31 Advanced Micro Devices, Inc. Chemically removable Cu CMP slurry abrasive
US6395194B1 (en) 1998-12-18 2002-05-28 Intersurface Dynamics Inc. Chemical mechanical polishing compositions, and process for the CMP removal of iridium thin using same
JP4224652B2 (ja) 1999-03-08 2009-02-18 三菱瓦斯化学株式会社 レジスト剥離液およびそれを用いたレジストの剥離方法
US20040029395A1 (en) 2002-08-12 2004-02-12 Peng Zhang Process solutions containing acetylenic diol surfactants
US7208049B2 (en) 2003-10-20 2007-04-24 Air Products And Chemicals, Inc. Process solutions containing surfactants used as post-chemical mechanical planarization treatment
US6235693B1 (en) 1999-07-16 2001-05-22 Ekc Technology, Inc. Lactam compositions for cleaning organic and plasma etched residues for semiconductor devices
US6344432B1 (en) 1999-08-20 2002-02-05 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
US6492308B1 (en) 1999-11-16 2002-12-10 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6194366B1 (en) 1999-11-16 2001-02-27 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6723691B2 (en) 1999-11-16 2004-04-20 Advanced Technology Materials, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6409781B1 (en) 2000-05-01 2002-06-25 Advanced Technology Materials, Inc. Polishing slurries for copper and associated materials
JP2002025968A (ja) 2000-07-04 2002-01-25 Sharp Corp 半導体基板の洗浄方法
US6406923B1 (en) 2000-07-31 2002-06-18 Kobe Precision Inc. Process for reclaiming wafer substrates
US6762132B1 (en) 2000-08-31 2004-07-13 Micron Technology, Inc. Compositions for dissolution of low-K dielectric films, and methods of use
JP3533366B2 (ja) 2000-09-05 2004-05-31 シャープ株式会社 半導体基板の洗浄処理及びウェットエッチング処理を同時に行う方法
US6566315B2 (en) 2000-12-08 2003-05-20 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
JP2002231666A (ja) 2001-01-31 2002-08-16 Fujimi Inc 研磨用組成物およびそれを用いた研磨方法
US6547647B2 (en) 2001-04-03 2003-04-15 Macronix International Co., Ltd. Method of wafer reclaim
US6627587B2 (en) 2001-04-19 2003-09-30 Esc Inc. Cleaning compositions
MY131912A (en) 2001-07-09 2007-09-28 Avantor Performance Mat Inc Ammonia-free alkaline microelectronic cleaning compositions with improved substrate compatibility
TWI297102B (en) 2001-08-03 2008-05-21 Nec Electronics Corp Removing composition
US7029373B2 (en) 2001-08-14 2006-04-18 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for metal and associated materials and method of using same
US6692546B2 (en) 2001-08-14 2004-02-17 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for metal and associated materials and method of using same
US6800218B2 (en) 2001-08-23 2004-10-05 Advanced Technology Materials, Inc. Abrasive free formulations for chemical mechanical polishing of copper and associated materials and method of using same
JP2003077899A (ja) 2001-09-04 2003-03-14 Sharp Corp 半導体基板の洗浄方法
US6802983B2 (en) 2001-09-17 2004-10-12 Advanced Technology Materials, Inc. Preparation of high performance silica slurry using a centrifuge
JP2003124174A (ja) 2001-10-09 2003-04-25 Mitsubishi Gas Chem Co Inc 半導体基板の洗浄液
DE10163892A1 (de) 2001-12-27 2003-07-17 Basf Ag Derivate von Polymeren für die Metallbehandlung
EP1490822A2 (en) 2002-02-04 2004-12-29 Ingenuity Systems Inc. Drug discovery methods
JP2003243403A (ja) 2002-02-13 2003-08-29 Mitsubishi Electric Corp 半導体ウェハの再生方法
US6773873B2 (en) 2002-03-25 2004-08-10 Advanced Technology Materials, Inc. pH buffered compositions useful for cleaning residue from semiconductor substrates
US6900003B2 (en) 2002-04-12 2005-05-31 Shipley Company, L.L.C. Photoresist processing aid and method
JP2004029346A (ja) 2002-06-25 2004-01-29 Mitsubishi Gas Chem Co Inc レジスト剥離液組成物
US20040050406A1 (en) 2002-07-17 2004-03-18 Akshey Sehgal Compositions and method for removing photoresist and/or resist residue at pressures ranging from ambient to supercritical
US7211479B2 (en) 2004-08-30 2007-05-01 Micron Technology, Inc. Silicon rich barrier layers for integrated circuit devices
JP2004170538A (ja) 2002-11-18 2004-06-17 Nippon Zeon Co Ltd レジスト剥離液
US7300601B2 (en) 2002-12-10 2007-11-27 Advanced Technology Materials, Inc. Passivative chemical mechanical polishing composition for copper film planarization
JP2004200378A (ja) 2002-12-18 2004-07-15 Semiconductor Energy Lab Co Ltd 半導体装置の作製方法
US6693047B1 (en) 2002-12-19 2004-02-17 Taiwan Semiconductor Manufacturing Co. Ltd. Method for recycling semiconductor wafers having carbon doped low-k dielectric layers
US8236485B2 (en) 2002-12-20 2012-08-07 Advanced Technology Materials, Inc. Photoresist removal
JP4085262B2 (ja) 2003-01-09 2008-05-14 三菱瓦斯化学株式会社 レジスト剥離剤
JP2003338484A (ja) 2003-03-24 2003-11-28 Mitsubishi Gas Chem Co Inc 半導体基板の洗浄液
JP4189651B2 (ja) 2003-03-26 2008-12-03 三菱瓦斯化学株式会社 高誘電率薄膜エッチング剤組成物
KR20060024775A (ko) 2003-05-12 2006-03-17 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 제2단계 구리 라이너 및 관련된 물질을 위한 cmp조성물및 그 이용방법
US7736405B2 (en) 2003-05-12 2010-06-15 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for copper and associated materials and method of using same
US6761625B1 (en) 2003-05-20 2004-07-13 Intel Corporation Reclaiming virgin test wafers
JP4159929B2 (ja) 2003-05-28 2008-10-01 花王株式会社 レジスト用剥離剤組成物
US7119052B2 (en) 2003-06-24 2006-10-10 Advanced Technology Materials, Inc. Compositions and methods for high-efficiency cleaning/polishing of semiconductor wafers
US20050076580A1 (en) 2003-10-10 2005-04-14 Air Products And Chemicals, Inc. Polishing composition and use thereof
JP4799843B2 (ja) 2003-10-17 2011-10-26 三星電子株式会社 高いエッチング選択比を有するエッチング組成物、その製造方法、これを用いた酸化膜の選択的エッチング方法、及び半導体装置の製造方法
WO2005043245A2 (en) 2003-10-29 2005-05-12 Mallinckrodt Baker Inc. Alkaline, post plasma etch/ash residue removers and photoresist stripping compositions containing metal-halide corrosion inhibitors
US6974764B2 (en) 2003-11-06 2005-12-13 Intel Corporation Method for making a semiconductor device having a metal gate electrode
US7335239B2 (en) 2003-11-17 2008-02-26 Advanced Technology Materials, Inc. Chemical mechanical planarization pad
US7888301B2 (en) 2003-12-02 2011-02-15 Advanced Technology Materials, Inc. Resist, barc and gap fill material stripping chemical and method
JP4464125B2 (ja) 2003-12-22 2010-05-19 ソニー株式会社 構造体の作製方法及びシリコン酸化膜エッチング剤
KR100795364B1 (ko) 2004-02-10 2008-01-17 삼성전자주식회사 반도체 기판용 세정액 조성물, 이를 이용한 세정 방법 및도전성 구조물의 제조 방법
US7521406B2 (en) 2004-02-11 2009-04-21 Mallinckrodt Baker, Inc Microelectronic cleaning composition containing halogen oxygen acids, salts and derivatives thereof
US8338087B2 (en) 2004-03-03 2012-12-25 Advanced Technology Materials, Inc Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate
US20060009011A1 (en) 2004-07-06 2006-01-12 Gary Barrett Method for recycling/reclaiming a monitor wafer
CA2575991A1 (en) 2004-08-03 2006-03-02 Mallinckrodt Baker, Inc. Cleaning compositions for microelectronics substrates
KR101190907B1 (ko) 2004-12-07 2012-10-12 가오 가부시키가이샤 박리제 조성물
US20060154186A1 (en) 2005-01-07 2006-07-13 Advanced Technology Materials, Inc. Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings
KR100670919B1 (ko) 2005-01-12 2007-01-19 삼성전자주식회사 저유전율막 제거 방법 및 이를 이용한 웨이퍼 재생 방법
US7208325B2 (en) 2005-01-18 2007-04-24 Applied Materials, Inc. Refreshing wafers having low-k dielectric materials
US7923423B2 (en) 2005-01-27 2011-04-12 Advanced Technology Materials, Inc. Compositions for processing of semiconductor substrates
US7718590B2 (en) 2005-02-25 2010-05-18 Ekc Technology, Inc. Method to remove resist, etch residue, and copper oxide from substrates having copper and low-k dielectric material
US7365045B2 (en) 2005-03-30 2008-04-29 Advanced Tehnology Materials, Inc. Aqueous cleaner with low metal etch rate comprising alkanolamine and tetraalkylammonium hydroxide
US20060226122A1 (en) 2005-04-08 2006-10-12 Wojtczak William A Selective wet etching of metal nitrides
WO2006110645A2 (en) 2005-04-11 2006-10-19 Advanced Technology Materials, Inc. Fluoride liquid cleaners with polar and non-polar solvent mixtures for cleaning low-k-containing microelectronic devices
KR20060108436A (ko) 2005-04-13 2006-10-18 매그나칩 반도체 유한회사 반도체 소자 세정용 조성물 및 이를 이용한 반도체 소자의세정 방법
US20070251551A1 (en) 2005-04-15 2007-11-01 Korzenski Michael B Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems
US20070007241A1 (en) 2005-04-20 2007-01-11 University Of Rochester Methods of making and modifying porous devices for biomedical applications
JP4799908B2 (ja) * 2005-05-30 2011-10-26 株式会社アルバック 表面処理方法
SG10201504423QA (en) 2005-06-07 2015-07-30 Entegris Inc Metal and dielectric compatible sacrificial anti-reflective coating cleaning and removal composition
KR20080015027A (ko) 2005-06-13 2008-02-15 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 금속 규화물 형성 후 금속 또는 금속 합금의 선택적인제거를 위한 조성물 및 방법
CN101199043B (zh) * 2005-06-24 2010-05-19 三菱瓦斯化学株式会社 腐蚀剂组合物及使用该组合物的半导体装置的制备方法
KR100685738B1 (ko) 2005-08-08 2007-02-26 삼성전자주식회사 절연 물질 제거용 조성물, 이를 이용한 절연막의 제거 방법및 기판의 재생 방법
WO2007027522A2 (en) 2005-08-29 2007-03-08 Advanced Technology Materials, Inc. Composition and method for removing thick film photoresist
JP2009512194A (ja) 2005-10-05 2009-03-19 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド ポストエッチング残渣を除去するための酸化性水性洗浄剤
EP1949424A2 (en) * 2005-10-05 2008-07-30 Advanced Technology Materials, Inc. Composition and method for selectively etching gate spacer oxide material
JP2009516360A (ja) 2005-10-13 2009-04-16 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 金属適合フォトレジスト及び/又は犠牲反射防止コーティング除去組成物
KR100706822B1 (ko) 2005-10-17 2007-04-12 삼성전자주식회사 절연 물질 제거용 조성물, 이를 이용한 절연막의 제거 방법및 기판의 재생 방법
CN101356629B (zh) 2005-11-09 2012-06-06 高级技术材料公司 用于将其上具有低k介电材料的半导体晶片再循环的组合物和方法
TW200734448A (en) 2006-02-03 2007-09-16 Advanced Tech Materials Low pH post-CMP residue removal composition and method of use
US20080076688A1 (en) 2006-09-21 2008-03-27 Barnes Jeffrey A Copper passivating post-chemical mechanical polishing cleaning composition and method of use
WO2008036823A2 (en) 2006-09-21 2008-03-27 Advanced Technology Materials, Inc. Uric acid additive for cleaning formulations
EP2082024A4 (en) 2006-09-25 2010-11-17 Advanced Tech Materials COMPOSITIONS AND METHODS FOR REMOVING A PHOTORESISTANT AGENT FOR RECYCLING A SILICON GALETTE
JP2008112892A (ja) 2006-10-31 2008-05-15 Fujitsu Ltd ウェーハのボロン汚染防止方法
US20080125342A1 (en) 2006-11-07 2008-05-29 Advanced Technology Materials, Inc. Formulations for cleaning memory device structures
KR100839355B1 (ko) 2006-11-28 2008-06-19 삼성전자주식회사 기판의 재생 방법
SG177201A1 (en) 2006-12-21 2012-01-30 Advanced Tech Materials Compositions and methods for the selective removal of silicon nitride
SG177915A1 (en) 2006-12-21 2012-02-28 Advanced Tech Materials Liquid cleaner for the removal of post-etch residues
CN101636465A (zh) 2007-01-31 2010-01-27 高级技术材料公司 用于化学机械抛光浆料应用的聚合物-二氧化硅分散剂的稳定化
TWI516573B (zh) 2007-02-06 2016-01-11 安堤格里斯公司 選擇性移除TiSiN之組成物及方法
WO2008098593A1 (en) 2007-02-15 2008-08-21 Basf Se Titanium etchant composition
US7976723B2 (en) 2007-05-17 2011-07-12 International Business Machines Corporation Method for kinetically controlled etching of copper
TWI564387B (zh) 2007-05-17 2017-01-01 恩特葛瑞斯股份有限公司 用於移除化學機械研磨後殘留物之清洗組成物、套組及方法
TW200918664A (en) 2007-06-13 2009-05-01 Advanced Tech Materials Wafer reclamation compositions and methods
KR20080113479A (ko) 2007-06-25 2008-12-31 엘지이노텍 주식회사 웨이퍼 재활용 방법
WO2009014144A1 (ja) 2007-07-24 2009-01-29 Shin-Etsu Handotai Co., Ltd. 半導体基板の製造方法
US8282844B2 (en) * 2007-08-01 2012-10-09 Tokyo Electron Limited Method for etching metal nitride with high selectivity to other materials
KR20100051839A (ko) 2007-08-02 2010-05-18 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 마이크로전자 장치로부터 잔사를 제거하기 위한 플루오라이드 비-함유 조성물
WO2009026324A2 (en) 2007-08-20 2009-02-26 Advanced Technology Materials, Inc. Composition and method for removing ion-implanted photoresist
US7851374B2 (en) 2007-10-31 2010-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. Silicon wafer reclamation process
TW200934865A (en) 2007-11-30 2009-08-16 Advanced Tech Materials Formulations for cleaning memory device structures
EP2268765A4 (en) 2008-03-07 2011-10-26 Advanced Tech Materials UNSELECTIVE OXIDIZE WET CLEANING AGENT AND USE
JP2011520142A (ja) 2008-05-01 2011-07-14 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 高密度注入レジストの除去のための低pH混合物
EP2322692B1 (en) * 2008-09-09 2016-10-12 Showa Denko K.K. Etchant for titanium-based metal, tungsten-based metal, titanium-tungsten-based metal or nitrides thereof
CN101677064B (zh) 2008-09-15 2012-01-04 台湾积体电路制造股份有限公司 制造半导体装置的方法
DE102009023376B4 (de) * 2009-05-29 2012-02-23 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Einstellen der Austrittsarbeit in Metallgateelektrodenstrukturen mit großem ε durch selektives Entfernen einer Barrierenschicht
JP2013533631A (ja) 2010-07-16 2013-08-22 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド エッチング後残渣を除去するための水性洗浄剤

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6531404B1 (en) * 2000-08-04 2003-03-11 Applied Materials Inc. Method of etching titanium nitride
CN101015043A (zh) * 2004-09-09 2007-08-08 Sez股份公司 选择性蚀刻方法

Also Published As

Publication number Publication date
US9831088B2 (en) 2017-11-28
WO2012048079A2 (en) 2012-04-12
WO2012048079A3 (en) 2012-06-28
KR20130139278A (ko) 2013-12-20
TW201221627A (en) 2012-06-01
KR20180016619A (ko) 2018-02-14
KR101827031B1 (ko) 2018-02-07
CN103154321A (zh) 2013-06-12
SG10201508015RA (en) 2015-10-29
KR101868319B1 (ko) 2018-06-15
TWI619800B (zh) 2018-04-01
CN103154321B (zh) 2015-11-25
US20140038420A1 (en) 2014-02-06
SG189292A1 (en) 2013-05-31
CN105304485A (zh) 2016-02-03

Similar Documents

Publication Publication Date Title
CN105304485B (zh) 选择性蚀刻金属氮化物的组合物及方法
JP6723152B2 (ja) 窒化チタンを選択的にエッチングするための組成物及び方法
US10392560B2 (en) Compositions and methods for selectively etching titanium nitride
TWI655273B (zh) 選擇性蝕刻氮化鈦之組成物及方法
KR102338526B1 (ko) Cu/W 호환성을 갖는, 금속 하드 마스크 및 에칭-후 잔여물을 제거하기 위한 수성 제형
CN105874562B (zh) 用于选择性移除硬遮罩的移除组合物及其方法
EP3039098B1 (en) Compositions and methods for selectively etching titanium nitride
CN108369898A (zh) 用于相对于氮化硅选择性蚀刻p掺杂多晶硅的组合物及方法
CN101605869A (zh) 选择性除去四氮化三硅的组合物和方法
TW201311869A (zh) 選擇性蝕刻氮化矽之組成物及方法
EP2883241A1 (en) Method of producing semiconductor substrate product and etching liquid

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
CB02 Change of applicant information
CB02 Change of applicant information

Address after: Massachusetts, USA

Applicant after: Entergris Co.

Address before: Massachusetts, USA

Applicant before: MYKROLIS Corp.

GR01 Patent grant
GR01 Patent grant