TWI753250B - 選擇性蝕刻方法及改善蝕刻選擇性的方法 - Google Patents

選擇性蝕刻方法及改善蝕刻選擇性的方法 Download PDF

Info

Publication number
TWI753250B
TWI753250B TW108115153A TW108115153A TWI753250B TW I753250 B TWI753250 B TW I753250B TW 108115153 A TW108115153 A TW 108115153A TW 108115153 A TW108115153 A TW 108115153A TW I753250 B TWI753250 B TW I753250B
Authority
TW
Taiwan
Prior art keywords
metal
substrate
etchant
thickness
nitride film
Prior art date
Application number
TW108115153A
Other languages
English (en)
Other versions
TW201945519A (zh
Inventor
張聞宇
楊逸雄
馬力歐D 森切斯
蹇國強
唐薇
伯方 馬
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201945519A publication Critical patent/TW201945519A/zh
Application granted granted Critical
Publication of TWI753250B publication Critical patent/TWI753250B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/04944th Group
    • H01L2924/04941TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/04955th Group
    • H01L2924/04953TaN

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Materials Engineering (AREA)
  • Composite Materials (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Cleaning And De-Greasing Of Metallic Materials By Chemical Methods (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

處理方法包括以蝕刻劑蝕刻金屬氮化物層。蝕刻劑可例如為WCl5、WOCl4或TaCl5。也揭示改善蝕刻製程選擇性的方法。

Description

選擇性蝕刻方法及改善蝕刻選擇性的方法
本申請案主張於2018年5月1日提交的美國臨時申請案第62/665,497號之優先權,其所有內容在此以引用方式併入本文。
本揭示關於蝕刻薄膜的方法。尤其,本揭示關於用於金屬閘極應用的選擇性蝕刻金屬氮化物膜之製程。
半導體產業迅速地發展具有越來越小電晶體尺寸的晶片以獲得每單位面積更多的功能性。隨著裝置尺寸持續縮減,裝置之間的間隙/空間也持續縮減,增加了將裝置與另一裝置實體上隔離的困難度。對於以包括間隙填充、硬遮罩與間隔物應用的現存方法實施而言,以高品質介電材料在裝置之間的通常為不規則形狀之高深寬比溝槽/空間/間隙中的填充變得極具挑戰。
藉由在基板表面上產生複雜圖案化材料層的製程而能夠製造積體電路。在基板上產生圖案化材料需要用於移除暴露材料的受控方法。通常具有蝕刻一種材料快於另一種材料的蝕刻製程是有用的。此蝕刻製程被稱為對於第一材料為選擇性。由於材料、電路與製程的多樣性,已經發展出選擇性移除廣泛範圍材料的一或多種材料的蝕刻製程。
金屬氮化物(例如,TaN與TiN)廣泛地使用在金屬閘極作為高k及n型金屬封蓋(capping)、p型金屬、或蝕刻中止層中。在先進積體方案(10 nm與更高階)中,在後續金屬沉積之前,TaN與TiN兩者通常暴露在表面上。為了達成可調式功函數,在金屬沉積之前控制基板TaN或TiN的厚度會是有用的。
相較於一種金屬氮化物選擇性蝕刻另一種金屬氮化物的現存方法並未顯示出高水準的蝕刻選擇性。因此,試圖利用現存方法的製程必須沉積較大量的氮化物膜,使得會執行較長的蝕刻,以確立兩種金屬氮化物膜之間的足夠厚度差異。較厚層的沉積與較長蝕刻製程需要額外的處理時間與材料來源,因而降低產量並增加製造成本。
因此,本領域中有著對於具有增加選擇性之選擇性蝕刻金屬氮化物膜的新方法之需求。
本揭示的一或多個實施例關於蝕刻方法,此方法包含提供具有在基板上的金屬氮化物膜的基板。金屬氮化物膜包含第一金屬的原子與氮原子。金屬氮化物膜暴露於蝕刻劑與氫氣的共伴流(co-flow)以蝕刻金屬氮化物膜。蝕刻劑具有包含第二金屬的一或多個原子、氧的一或多個原子及鹵素的一或多個原子之實驗式。
本揭示的額外實施例關於選擇性蝕刻方法,此方法包含提供包含TaN材料與TiN材料的基板。此基板暴露於金屬氧鹵化物蝕刻劑與氫氣的共伴流以移除TaN材料的第一厚度與TiN材料的第二厚度。第一厚度大於第二厚度。
本發明的進一步實施例關於改善蝕刻選擇性的方法。此方法包含提供包含TaN材料與TiN材料的基板。此基板暴露於金屬鹵化物蝕刻劑與氫氣的共伴流以移除TaN材料的第一厚度與TiN材料的第二厚度,第一厚度大於第二厚度,及第一厚度對於第二厚度的比例大於沒有氫氣而執行的類似蝕刻製程。
在描述本揭示的若干示例實施例之前,將理解到本揭示不侷限於接下來說明書中闡述的構造或製程步驟的細節。本揭示可為其他實施例且可以各種方式被實施或執行。
在此使用的「基板」、「基板表面」及類似物指稱形成在基板上的任何基板或材料表面,處理在此基板或材料表面上執行。例如,在其上可執行處理的基板表面包括但不限於下列材料:諸如矽、氧化矽、應變矽、絕緣體上矽(SOI)、碳摻雜矽氧化物、氮化矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石、及任何其他材料,諸如金屬、金屬氮化物、金屬合金、及其他傳導材料,取決於應用。基板包括而不限制於半導體晶圓。基板可暴露於預處理製程以拋光、蝕刻、還原、氧化、羥基化(或者產生或嫁接目標化學部分以賦予化學功能性)、退火及/或烘烤基板表面。除了直接在基板本身之表面上的處理之外,在本揭示中,揭示的任何膜處理步驟也可在基板上形成的下層上執行,如之後更詳細揭示的,及術語「基板表面」意欲包括在上下文中所指出的此種下層。因此,例如在膜/層或部分膜/層已經沉積到基板表面之上的情況下,新沉積的膜/層的暴露表面變成基板表面。給定基板表面所包含的會取決於將被沉積的材料及所使用的特定化學品。
本揭示的一些實施例有利地提供藉由金屬氧鹵化物蝕刻金屬氮化物膜的方法,而沒有使用充氧(oxygenating)處理。一些實施例有利地提供相較於TiN而選擇性蝕刻TaN的方法,而沒有使用充氧處理。本揭示的一些實施例有利地提供增加利用含金屬與鹵素蝕刻劑之金屬氮化物蝕刻製程的選擇性的方法。
圖1顯示根據本揭示的一或多個實施例的蝕刻方法100之示意圖。基板110被提供為具有在其上的至少一個金屬氮化物膜120。在此方面使用時,術語「被提供」意指此基板定位在用於處理的合適位置或環境中。在一些實施例中,如圖示,基板110進一步包含金屬氮化物膜130。熟習技藝者會認知到金屬氮化物膜130在一些實施例中為非必要的。
金屬氮化物膜120可為任何合適金屬氮化物。在一些實施例中,金屬氮化物膜120包含第一金屬的原子與氮的原子。第一金屬原子對於氮原子的比例可為任何合適比例。第一金屬可為任何合適金屬。在一些實施例中,第一金屬是鈦或鉭。在一些實施例中,金屬氮化物膜120包含TaN或TiN。
金屬氮化物膜130可為不同於金屬氮化物膜120的任何合適金屬氮化物膜。在一些實施例中,金屬氮化物層120包含TaN而金屬氮化物膜130包含TiN。
在提供基板110之後,金屬氮化物膜120暴露於蝕刻劑131與氫氣的共伴流以蝕刻金屬氮化物膜120。在一些實施例中,蝕刻劑131具有包含第二金屬的一或多個原子、氧的一或多個原子及鹵素的一或多個原子的實驗式。在一些實施例中,蝕刻劑131實質上不包含電漿。
第二金屬可為任何合適金屬。在一些實施例中,第二金屬是鎢、鈮或鉭。在一些實施例中,金屬氮化物膜120的第一金屬與蝕刻劑131的第二金屬是相同的。在一些實施例中,金屬氮化物膜120的第一金屬與蝕刻劑131的第二金屬是不同的。
在一些實施例中,蝕刻劑131中鹵素的一或多個原子基本上由氯組成。在此方面使用時,術語「基本上由氯組成」意指包含在蝕刻劑131中包含的鹵素原子在原子基礎上係大於95%、98%、99%或99.5%的氯。
在一些實施例中,蝕刻劑131是金屬氧鹵化物。在一些實施例中,蝕刻劑131基本上由WOCl4 組成。在此方面使用時,術語「基本上由…組成」意味指明的物種在莫耳基礎上組成大於或等於約95%、98%、99%或99.5%的蝕刻劑。
在一些實施例中,蝕刻劑131是包含在安瓿132內的固體反應物。安瓿132可為用於半導體處理的任何合適安瓿且可包括加熱器(未示出)、入口與出口。安瓿132可被設置為使用載氣133進行蒸氣吸引(vapor draw)。可控制安瓿132溫度以昇華固體反應物,使得載氣133可從安瓿132吸引反應物。在一些實施例中,蝕刻劑131包含WOCl4 且安瓿132溫度在約50ºC至約90ºC的範圍中。
合適的載氣133可包含氦、氮、氬、氫、氪或氙的一或多者。在一些實施例中,載氣133包含氫氣(H2 )或基本上由氫氣(H2 )所組成。在此方面使用時,術語「基本上由氫氣所組成」意指載氣133在莫耳基礎上係大於或等於約95%、98%、99%或99.5%的氫氣。在一些實施例中,使用不同於氫的載氣以從安瓿132吸引反應物。
對於使用不同於氫的載氣以從安瓿吸引蝕刻劑的實施例中,在吸引蝕刻劑之後的載氣可稱為蝕刻氣體。在一些實施例中,可控制蝕刻氣體的流率。在一些實施例中,蝕刻氣體的流率在10至2,000 sccm的範圍中。在一些實施例中,可控制氫氣的流率。在一些實施例中,氫氣的流率在50至10,000 sccm的範圍中。在一些實施例中,蝕刻氣體的流率與氫氣的流率之間的比例在約4至約6的範圍中或約等於5。
在一些實施例中,在進入處理腔室之前混合蝕刻氣體與氫氣。在一些實施例中,蝕刻氣體與氫氣被分開地提供至腔室。
在一些實施例中,以持續流動傳送氫氣至處理腔室,而蝕刻氣體間歇地流動。在一些實施例中,以持續流動傳送蝕刻氣體至處理腔室,而氫氣間歇地流動。在一些實施例中,交替地流動蝕刻氣體與氫氣。
在一些實施例中,在方法100之中維持基板110的溫度。不被理論所約束,據信較高溫下執行的蝕刻製程提供較快的蝕刻材料移除。因此,在一些實施例中,基板維持在範圍為約50°C至約500°C、或約100°C至約500°C、或約300°C至約500°C、或約400°C至約500°C、或約450°C至約500°C、或約400°C至約475°C、或約400°C至約460°C的溫度下。在一些實施例中,基板維持在大於或等於約400°C、大於或等於約450°C、大於或等於約460°C的溫度下。在一些實施例中,基板維持在約460°C的溫度下。
本揭示的一些實施例有利地提供利用金屬氧鹵化物蝕刻劑而非金屬鹵化物蝕刻劑的方法。不被理論所約束,據信金屬氧鹵化物蝕刻劑在較高溫下分解。因此,在低於這些蝕刻劑的分解溫度之溫度下執行的蝕刻製程沉積最小量的金屬氧鹵化物蝕刻劑的金屬。在一些實施例中,實質上無第二金屬沉積在基板上。在此方面使用時,「實質上無」第二金屬意味對於基板暴露於蝕刻劑與氫氣的共伴流的每60秒之第二金屬膜的平均厚度小於0.4 Å、小於0.3 Å、小於0.2 Å、或小於0.1 Å。
將金屬氮化物膜120暴露於蝕刻劑131與氫氣的共伴流移除金屬氮化物膜120的厚度T1。如圖示,在一些實施例中,當金屬氮化物膜130存在時,將金屬氮化物膜130暴露於蝕刻劑與氫氣的共伴流移除金屬氮化物膜130的厚度T2。在一些實施例中,在金屬氮化物膜120包含TaN且基板進一步包含TiN膜的情況下,蝕刻金屬氮化物膜120移除相較於金屬氮化物膜130之金屬氮化物膜120的較大厚度。換言之,T1大於T2。
本揭示的一些實施例提供選擇性蝕刻製程,其中相較於移除TiN較偏好移除TaN。在一些實施例中,蝕刻劑131具有對於金屬氮化物膜120的蝕刻速率為大於約3倍、4倍或4.5倍的對於金屬氮化物膜130的蝕刻速率。換言之,第一厚度對於第二厚度的比例係大於或等於約3、大於或等於約4、或大於或等於約4.5。
本揭示的一些實施例有利地提供藉由以氫氣與蝕刻劑共伴流而增進或增加蝕刻製程的選擇性之方法。
提供包含TaN材料與TiN材料的基板110。基板暴露於金屬鹵化物蝕刻劑與氫氣的共伴流以移除TaN材料的第一厚度與TiN材料的第二厚度。第一厚度大於第二厚度。
金屬鹵化物蝕刻劑可為包含金屬原子與鹵素原子的任何合適物種。在一些實施例中,金屬鹵化物蝕刻劑包含WCl5 、NbCl5 或WOCl5 或基本上由WCl5 、NbCl5 或WOCl5 組成。
使用氫氣的共伴流之第一厚度對於第二厚度的比例大於不使用氫氣而執行的類似蝕刻製程。在此方面使用時,「類似蝕刻製程」為其中所有的其他製程參數(基板溫度、蝕刻劑、安瓿溫度、載氣流率、處理腔室壓力、等等)被保持不變的一種製程。
一種標準製程是未藉由添加氫氣而被改善的製程。因此,標準製程的載氣包含不同於氫的載氣。在一些實施例中,不同於氫的載氣被氫氣完全地或部分地取代,使得提供至處理腔室的載氣的總流率(單位為sccm)是相同的。
根據一或多個實施例,基板110在蝕刻金屬氮化物膜之後經受處理。此處理可執行在相同腔室中或在一或多個分開的處理腔室中。在一些實施例中,基板從第一腔室移動到分開的第二腔室用於進一步處理。基板可直接地從第一腔室移動到分開的處理腔室,或其可從第一腔室移動到一或多個移送腔室,接著移動到分開的處理腔室。因此,處理設備可包含以移送站連通的多個腔室。此種設備可稱為「群集工具」或「群集系統」,及類似物。
通常,群集工具是包含多個腔室的模組系統,其執行包括基板中心找尋與定向、除氣、退火、沉積及/或蝕刻的各種功能。根據一或多個實施例,群集工具至少包括第一腔室與中央移送腔室。中央移送腔室可容納機器人,其可將基板在處理腔室與負載鎖定腔室之間與之中運送。移送腔室通常維持在真空條件下並提供用於將基板從一腔室運送至另一腔室及/或至定位在群集工具前端之負載鎖定腔室的中間階段。可採用於本發明的兩個熟知群集工具為Centura®與Endura®,兩者可由加州聖克拉拉的應用材料工司取得。然而,可改變腔室的確切佈置與組合以用於執行本文所述的製程之特定步驟。可使用的其他處理腔室包括但不限於循環層沉積(CLD)、原子層沉積(ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)、蝕刻、預清洗、化學清洗、諸如RTP的熱處理、電漿氮化、除氣、定向、羥基化及其他基板製程。藉由在群集工具上的腔室中執行製程,可避免大氣雜質之基板的表面汙染,而不必在沉積後續膜之前的氧化。
根據一或多個實施例,基板持續地處在真空或「負載鎖定」條件下,且當從一腔室移動至下一腔室時不暴露於周圍空氣。移送腔室因此處於真空下並被「泵回(pumped down)」在真空壓力下。惰性氣體可存在於處理腔室或移送腔室中。在一些實施例中,惰性氣體用於作為淨化氣體以移除一些或所有的反應物。根據一或多個實施例,在沉積腔室的出口處注射淨化氣體以防止反應物從沉積腔室移動到移送腔室及/或額外的處理腔室。因此,惰性氣體的流動在腔室的出口處形成簾幕。
基板可在單一基板沉積腔室中處理,其中單一基板被載入、處理及在處理另一基板之前被載出。也可以連續的方式處理基板,類似於輸送帶系統,其中多個基板個別地載入腔室的第一部分,移動通過腔室並從腔室的第二部分載出。腔室與相關聯輸送帶系統的形狀可形成直線路徑或彎曲路徑。此外,處理腔室可為旋轉料架,其中多個基板繞著中心軸移動並在旋轉料架路徑中暴露於沉積、蝕刻、退火、清洗、等等的製程。
在處理期間,基板可被加熱或冷卻。完成此種加熱或冷卻可藉由任何合適方式,包括但不限於改變基板支撐件的溫度與流動加熱或冷卻的氣體至基板表面。在一些實施例中,基板支撐件包括加熱器/冷卻器,其可受控以傳導地改變基板溫度。在一或多個實施例中,使用的氣體(反應氣體或惰性氣體)被加熱或冷卻以局部地改變基板溫度。在一些實施例中,加熱器/冷卻器定位在腔室內鄰近基板表面以對流地改變基板溫度。
基板在製程期間也可為固定的或旋轉的。旋轉的基板可持續地旋轉或間斷地旋轉。例如,基板可在整個製程期間旋轉,或基板可在暴露至不同反應氣體或淨化氣體之間小量的旋轉。藉由最小化例如在氣流幾何形狀中的局部變動之影響,在處理期間旋轉基板(持續地或間斷地)可助於產生更均勻沉積或蝕刻。
在本說明書中的參照「一個實施例(one embodiment)」、「某些實施例」、「一或多個實施例」、或「一實施例(an embodiment)」意指以結合此實施例而描述的特定特徵、結構、材料、或特性被包括在本發明的至少一個實施例中。因此,出現在本說明書中各處的諸如「在一或多個實施例中」、「在某些實施例中」、「在一個實施例中(in one embodiment)」、或「在一實施例中(in an embodiment)」之片語並不必然指稱本發明的相同實施例。再者,特定特徵、結構、材料、或特性可以任何合適方式結合在一或多個實施例中。
儘管在此已參照特定實施例而描述本發明,但是將理解到這些實施例僅為說明本發明的原理與應用。在不背離本發明的精神與範疇的情況下可對本發明的方法與設備進行各種修改與變化,對於本領域的熟習技藝者而言是顯而易見的。因此,本發明意於包括在隨附申請專利範圍及其等效物的範疇中的修改與變化。
T1、T2‧‧‧厚度 100‧‧‧方法 110‧‧‧基板 120、130‧‧‧金屬氮化物膜 131‧‧‧蝕刻劑 132‧‧‧安瓿 133‧‧‧載氣
藉由參照實施例(一些實施例繪示在隨附圖式中)可獲得簡短總結於前的本揭示更明確的說明,使得本揭示的前述特徵可被詳細地理解。然而,將注意到隨附圖式僅繪示本揭示的典型實施例且因而不視為限制本揭示的範疇,由於本揭示可容許其他等效實施例。
圖1繪示根據本揭示的一或多個實施例之處理方法的示意圖。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
T1、T2:厚度
100:方法
110:基板
120、130:金屬氮化物膜
131:蝕刻劑
132:安瓿
133:載氣

Claims (18)

  1. 一種蝕刻方法,包含:提供一基板,該基板之上具有一金屬氮化物膜,該金屬氮化物膜包含一第一金屬的原子與氮原子,其中該金屬氮化物膜包含TaN及該基板進一步包含一TiN膜;及將該金屬氮化物膜暴露於一蝕刻劑與氫氣的一共伴流(co-flow)以蝕刻該金屬氮化物膜,該蝕刻劑具有一實驗式,該實驗式包含一第二金屬的一或多個原子、氧的一或多個原子、及一鹵素的一或多個原子,其中該第二金屬為鎢、鈮、或鉭。
  2. 如請求項1所述之方法,其中該蝕刻劑實質上不包含電漿。
  3. 如請求項1所述之方法,其中該蝕刻劑中一鹵素的該一或多個原子基本上由氯組成。
  4. 如請求項1所述之方法,其中該第一金屬與該第二金屬是不同的。
  5. 如請求項1所述之方法,其中該蝕刻劑基本上由WOCl4組成。
  6. 如請求項1所述之方法,其中該蝕刻劑是包含在一安瓿內的一固體,該安瓿經設置以在一安瓿溫度下使用氫氣進行蒸氣吸引(vapor draw),其中該 安瓿溫度經控制以昇華該固體。
  7. 如請求項6所述之方法,其中該蝕刻劑包含WOCl4及該安瓿溫度在約50℃至約90℃的一範圍中。
  8. 如請求項1所述之方法,其中該基板維持在約400℃至約460℃的一範圍中的一溫度下。
  9. 如請求項1所述之方法,其中實質上無第二金屬沉積在該基板上。
  10. 如請求項1所述之方法,其中蝕刻該金屬氮化物膜的步驟相較於該TiN膜移除包含TaN的該金屬氮化物膜的一較大厚度。
  11. 一種選擇性蝕刻方法,包含:提供一基板,該基板包含一TaN材料與一TiN材料;及將該基板暴露於一金屬氧鹵化物蝕刻劑與氫氣的一共伴流(coflow)以移除該TaN材料的一第一厚度與該TiN材料的一第二厚度,該第一厚度大於該第二厚度,其中該金屬氧鹵化物蝕刻劑包含一金屬,該金屬選自鎢、鈮、及鉭。
  12. 如請求項11所述之方法,其中該金屬氧鹵化物蝕刻劑包含基本上由氯組成的鹵素原子。
  13. 如請求項11所述之方法,其中該金屬氧鹵 化物蝕刻劑基本上由WOCl4組成。
  14. 如請求項13所述之方法,其中實質上無鎢沉積在該基板上。
  15. 如請求項11所述之方法,其中該基板維持在約400℃至約460℃的一範圍中的一溫度下。
  16. 如請求項11所述之方法,其中該第一厚度對於該第二厚度的一比例係大於或等於約4。
  17. 一種改善蝕刻選擇性的方法,該方法包含:提供一基板,該基板包含一TaN材料與一TiN材料;及將該基板暴露於一金屬鹵化物蝕刻劑與氫氣的一共伴流(coflow)以移除該TaN材料的一第一厚度與該TiN材料的一第二厚度,該第一厚度大於該第二厚度,及該第一厚度對於該第二厚度的一比例係大於在無該氫氣下所執行的一類似蝕刻製程,其中該金屬鹵化物蝕刻劑包含一金屬,該金屬選自鎢、鈮、及鉭。
  18. 如請求項17所述之方法,其中該金屬鹵化物蝕刻劑包含WOCl4及實質上無鎢沉積在該基板上。
TW108115153A 2018-05-01 2019-05-01 選擇性蝕刻方法及改善蝕刻選擇性的方法 TWI753250B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862665497P 2018-05-01 2018-05-01
US62/665,497 2018-05-01

Publications (2)

Publication Number Publication Date
TW201945519A TW201945519A (zh) 2019-12-01
TWI753250B true TWI753250B (zh) 2022-01-21

Family

ID=68385499

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108115153A TWI753250B (zh) 2018-05-01 2019-05-01 選擇性蝕刻方法及改善蝕刻選擇性的方法

Country Status (6)

Country Link
US (1) US10755947B2 (zh)
JP (1) JP7144532B2 (zh)
KR (1) KR102560240B1 (zh)
CN (1) CN112385018A (zh)
TW (1) TWI753250B (zh)
WO (1) WO2019213207A1 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP4091192A4 (en) * 2020-01-16 2024-07-03 Entegris Inc ETCHING OR DEPOSITION METHODS
US20230335378A1 (en) * 2020-09-18 2023-10-19 Lam Research Corporation Passivation chemistry for plasma etching

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200849389A (en) * 2006-12-21 2008-12-16 Advanced Tech Materials Compositions and methods for the selective removal of silicon nitride
TW201430946A (zh) * 2012-12-21 2014-08-01 Applied Materials Inc 選擇性氮化鈦蝕刻
TW201531442A (zh) * 2014-02-07 2015-08-16 Kamikawa Photonics & Matereials Ltd 複合氧化鎢奈米粒子之製造方法、紅外線吸收材料及紅外線遮蔽體
US9449843B1 (en) * 2015-06-09 2016-09-20 Applied Materials, Inc. Selectively etching metals and metal nitrides conformally

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0393223A (ja) * 1989-09-06 1991-04-18 Hitachi Ltd 半導体装置の製造方法
JPH0432228A (ja) * 1990-05-29 1992-02-04 Toshiba Corp ドライエッチング方法およびこれを用いた半導体装置の製造方法
US5445712A (en) 1992-03-25 1995-08-29 Sony Corporation Dry etching method
US6149828A (en) * 1997-05-05 2000-11-21 Micron Technology, Inc. Supercritical etching compositions and method of using same
WO1999052135A1 (en) * 1998-04-02 1999-10-14 Applied Materials, Inc. Method for etching low k dielectrics
US6290864B1 (en) 1999-10-26 2001-09-18 Reflectivity, Inc. Fluoride gas etching of silicon with improved selectivity
JP4366805B2 (ja) 2000-01-24 2009-11-18 東京エレクトロン株式会社 埋め込み方法
US7193500B2 (en) 2004-09-20 2007-03-20 International Business Machines Corporation Thin film resistors of different materials
SG10201508015RA (en) 2010-10-06 2015-10-29 Entegris Inc Composition and process for selectively etching metal nitrides
WO2014197808A1 (en) * 2013-06-06 2014-12-11 Advanced Technology Materials, Inc. Compositions and methods for selectively etching titanium nitride
KR102652512B1 (ko) 2015-11-10 2024-03-28 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 에칭 반응물 및 이를 사용한 플라즈마-부재 옥사이드 에칭 공정
US9824893B1 (en) * 2016-06-28 2017-11-21 Lam Research Corporation Tin oxide thin film spacers in semiconductor device manufacturing
JP6671262B2 (ja) * 2016-08-01 2020-03-25 東京エレクトロン株式会社 窒化膜の形成方法および形成装置
US10014185B1 (en) 2017-03-01 2018-07-03 Applied Materials, Inc. Selective etch of metal nitride films

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200849389A (en) * 2006-12-21 2008-12-16 Advanced Tech Materials Compositions and methods for the selective removal of silicon nitride
TW201430946A (zh) * 2012-12-21 2014-08-01 Applied Materials Inc 選擇性氮化鈦蝕刻
TW201531442A (zh) * 2014-02-07 2015-08-16 Kamikawa Photonics & Matereials Ltd 複合氧化鎢奈米粒子之製造方法、紅外線吸收材料及紅外線遮蔽體
US9449843B1 (en) * 2015-06-09 2016-09-20 Applied Materials, Inc. Selectively etching metals and metal nitrides conformally

Also Published As

Publication number Publication date
CN112385018A (zh) 2021-02-19
JP7144532B2 (ja) 2022-09-29
TW201945519A (zh) 2019-12-01
WO2019213207A1 (en) 2019-11-07
KR20200140923A (ko) 2020-12-16
US10755947B2 (en) 2020-08-25
JP2021522685A (ja) 2021-08-30
KR102560240B1 (ko) 2023-07-28
US20190341268A1 (en) 2019-11-07

Similar Documents

Publication Publication Date Title
US10014185B1 (en) Selective etch of metal nitride films
US20170372919A1 (en) Flowable Amorphous Silicon Films For Gapfill Applications
US11011384B2 (en) Gapfill using reactive anneal
KR20180095946A (ko) Pecvd 텅스텐 함유 하드마스크 막들 및 그 제조 방법들
TWI508176B (zh) 具有起始層之n型金屬薄膜沉積
US11186909B2 (en) Methods of depositing low-K films
TW201812999A (zh) 使用沉積/蝕刻技術之無接縫溝道填充
US9879341B2 (en) Method and apparatus for microwave assisted chalcogen radicals generation for 2-D materials
US11270911B2 (en) Doping of metal barrier layers
TWI753250B (zh) 選擇性蝕刻方法及改善蝕刻選擇性的方法
WO2018191484A1 (en) Method and apparatus for deposition of low-k films
US11462630B2 (en) Conformal halogen doping in 3D structures using conformal dopant film deposition
US10854511B2 (en) Methods of lowering wordline resistance
US20160002782A1 (en) Catalytic Atomic Layer Deposition Of Films Comprising SiOC
TWI515803B (zh) 矽化鉭內的摻雜鋁
US11713507B2 (en) Low-k films
US11869806B2 (en) Methods of forming molybdenum contacts