CN102867832A - 具有接合焊盘结构的背照式传感器及其制造方法 - Google Patents

具有接合焊盘结构的背照式传感器及其制造方法 Download PDF

Info

Publication number
CN102867832A
CN102867832A CN2012100108322A CN201210010832A CN102867832A CN 102867832 A CN102867832 A CN 102867832A CN 2012100108322 A CN2012100108322 A CN 2012100108322A CN 201210010832 A CN201210010832 A CN 201210010832A CN 102867832 A CN102867832 A CN 102867832A
Authority
CN
China
Prior art keywords
groove
dielectric
opening
layer
device substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2012100108322A
Other languages
English (en)
Other versions
CN102867832B (zh
Inventor
蔡双吉
杨敦年
林政贤
刘人诚
王文德
林月秋
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN102867832A publication Critical patent/CN102867832A/zh
Application granted granted Critical
Publication of CN102867832B publication Critical patent/CN102867832B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1462Coatings
    • H01L27/14623Optical shielding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14636Interconnect structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1464Back illuminated imager structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/03444Manufacturing methods by blanket deposition of the material of the bonding area in gaseous form
    • H01L2224/0345Physical vapour deposition [PVD], e.g. evaporation, or sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/036Manufacturing methods by patterning a pre-deposited material
    • H01L2224/03618Manufacturing methods by patterning a pre-deposited material with selective exposure, development and removal of a photosensitive material, e.g. of a photosensitive conductive resin
    • H01L2224/0362Photolithography
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04042Bonding areas specifically adapted for wire connectors, e.g. wirebond pads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/0502Disposition
    • H01L2224/05022Disposition the internal layer being at least partially embedded in the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05075Plural internal layers
    • H01L2224/0508Plural internal layers being stacked
    • H01L2224/05085Plural internal layers being stacked with additional elements, e.g. vias arrays, interposed between the stacked layers
    • H01L2224/05089Disposition of the additional element
    • H01L2224/05093Disposition of the additional element of a plurality of vias
    • H01L2224/05096Uniform arrangement, i.e. array
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05541Structure
    • H01L2224/05548Bonding area integrally formed with a redistribution layer on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0555Shape
    • H01L2224/05552Shape in top view
    • H01L2224/05555Shape in top view being circular or elliptic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05567Disposition the external layer being at least partially embedded in the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05568Disposition the whole external layer protruding from the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05571Disposition the external layer being disposed in a recess of the surface
    • H01L2224/05572Disposition the external layer being disposed in a recess of the surface the external layer extending out of an opening
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05617Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05624Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05647Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/44Structure, shape, material or disposition of the wire connectors prior to the connecting process
    • H01L2224/45Structure, shape, material or disposition of the wire connectors prior to the connecting process of an individual wire connector
    • H01L2224/45001Core members of the connector
    • H01L2224/45099Material
    • H01L2224/451Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
    • H01L2224/45138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/45144Gold (Au) as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/485Material
    • H01L2224/48505Material at the bonding interface
    • H01L2224/48599Principal constituent of the connecting portion of the wire connector being Gold (Au)
    • H01L2224/486Principal constituent of the connecting portion of the wire connector being Gold (Au) with a principal constituent of the bonding area being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
    • H01L2224/48617Principal constituent of the connecting portion of the wire connector being Gold (Au) with a principal constituent of the bonding area being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950 °C
    • H01L2224/48624Aluminium (Al) as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/485Material
    • H01L2224/48505Material at the bonding interface
    • H01L2224/48599Principal constituent of the connecting portion of the wire connector being Gold (Au)
    • H01L2224/486Principal constituent of the connecting portion of the wire connector being Gold (Au) with a principal constituent of the bonding area being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
    • H01L2224/48638Principal constituent of the connecting portion of the wire connector being Gold (Au) with a principal constituent of the bonding area being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/48647Copper (Cu) as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/0132Binary Alloys
    • H01L2924/01322Eutectic Alloys, i.e. obtained by a liquid transforming into two solid phases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12042LASER
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12043Photo diode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/156Material
    • H01L2924/15786Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • H01L2924/15788Glasses, e.g. amorphous oxides, nitrides or fluorides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/3025Electromagnetic shielding

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Electromagnetism (AREA)
  • Manufacturing & Machinery (AREA)
  • Solid State Image Pick-Up Elements (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明提供了半导体结构的一个实施例。该半导体结构包括具有正面和背面的器件衬底、被设置在该器件衬底正面上的互连结构、以及与该互连结构相连接的接合焊盘。接合焊盘包括位于介电材料层中的凹陷区域、介于凹陷区域之间的介电材料层的介电台、以及被设置在凹陷区域中和介电台上的金属层。本发明还提供了一种具有接合焊盘结构的背照式传感器及其制造方法。

Description

具有接合焊盘结构的背照式传感器及其制造方法
相关申请的交叉参考
本申请涉及以下共同受让的U.S.专利申请,该专利申请的全部公开内容通过引用结合到本文中:第13/112,828号美国专利申请(代理机构编号:2011-0239/24061.1814),标题为“Semiconductor Device Having a BondingPad and Shield Structure and Method of Manufacuring the Same”以及第13/112,755号美国专利申请(代理机构编号:2011-0379/24061.1815),标题为“Semiconductor Device Having a Bonding Pad and Method ofManufacuring the Same”。
技术领域
本发明涉及半导体领域,更具体地,本发明涉及一种具有接合焊盘结构的背照式传感器及其制造方法。
背景技术
半导体集成电路(IC)工业经历了迅速的发展。IC材料和设计的技术优势生产出多代IC,其中每一代都具有比前一代更小却更复杂的电路。然而,这些优势增加了IC的加工和制造的复杂性,并且为了实现这些优势,IC的加工和制造也需要类似的发展。在IC的发展过程中,通常增大了功能密度(即,单位芯片区域的互连器件数量),而减小了几何尺寸(即,使用制造工艺可以产生的最小部件)。
用于各种用途(诸如,探针接合和/或引线接合)的焊盘(在下文中通常称为接合焊盘)通常具有与IC的其他部件所不同的要求。例如,接合焊盘必须具有足够的尺寸和强度来经受由于探针接合或引线接合的动作所引起的物理接触。通常还同时要求部件要相对较小(无论是尺寸上还是厚度上)。例如,在使用诸如互补金属氧化物半导体(CMOS)图像传感器时,通常要求具有一个或多个相对较薄的金属层,例如,铜铝(AlCu)金属层。薄金属层的问题在于,形成在这种层中的接合焊盘可以出现剥落或其他缺陷。因此,亟需满足这些部件的各种要求。
发明内容
为了解决现有技术中所存在的问题,根据本发明的一个方面,提供了一种半导体结构,包括:器件衬底,具有正面和背面;互连结构,被设置在所述器件衬底的正面上;以及接合焊盘,与所述互连结构相连接,其中,所述接合焊盘包括:凹陷区域,位于介电材料层中;所述介电材料层的介电台,介于所述凹陷区域之间;以及金属层,被设置在所述凹陷区域中,并且位于所述介电台上。
在该半导体结构中,所述凹陷区域包括形成在所述介电材料层中的沟槽;所述沟槽包括第一部分和第二部分;并且所述介电台介于所述沟槽的所述第一部分和所述第二部分之间。
在该半导体结构中,所述凹陷区域包括形成在所述介电材料层中的第一沟槽和第二沟槽;并且所述介电台介于所述第一沟槽和所述第二沟槽之间。
在该半导体结构中,所述凹陷区域包括形成在所述介电材料层中并且围绕所述介电台的沟槽。
在该半导体结构中,所述金属层包含铝铜合金。
在该半导体结构中,所述金属层与所述凹陷区域内的所述互连结构的金属部件相接触。
在该半导体结构中,所述介电材料层包括:层间介电层(ILD),邻近所述金属部件;以及沟槽隔离部件,邻近所述ILD。
在该半导体结构中,所述凹陷区域包括延伸穿过所述沟槽隔离部件和所述ILD的凹部。
在该半导体结构中,所述金属部件包括位于金属一层中的金属线。
在该半导体结构中,所述介电材料层包含氧化硅。
在该半导体结构中,进一步包括:接合球,被设置在所述金属层上,并且基本上被接合在所述介电台内的所述金属层的一部分上。
在该半导体结构中,进一步包括:辐射感测区域,包括被设置在所述器件衬底的所述正面中的背照式传感器,所述背照式传感器用于感测从所述器件衬底的背面射向所述辐射感测区域的辐射;辐射屏蔽区域,邻近所述辐射感测区域,并且具有屏蔽部件,所述屏蔽部件包含金属,并且被设置在所述器件衬底的背面上;以及接合区域,包含所述接合焊盘。
在该半导体结构中,进一步包括:钝化层,被设置在所述器件衬底的正面上,其中,所述钝化层形成在所述凹陷区域中和介电台中,并且所述钝化层形成在所述辐射屏蔽部件上。
根据本发明的另一方面,提供了一种半导体结构,包括:半导体衬底,具有辐射感测区域和接合区域,并且具有正面和背面;辐射传感器,形成在所述辐射感测区域内的所述半导体衬底的背面中;互连结构,被设置在所述半导体衬底的正面上,并且在所述接合区域中将所述辐射传感器与所述互连结构的金属部件相连接;载体衬底,利用夹在所述半导体衬底和所述载体衬底之间的所述互连结构与所述半导体衬底的所述正面相接合;开口,位于所述半导体衬底的背面上,其中,所述开口形成在所述接合区域中,延伸穿过介电材料层至所述互连结构的所述金属部件,并且限定出位于所述金属部件上的所述介电材料层的介电台;以及金属层,部分地填充了所述接合区域中的所述半导体衬底的背面上的所述开口,其中,所述金属层与所述互连结构的所述金属部件直接接触,并且进一步延伸至所述介电台。
在该半导体结构中,进一步包括:接合球,接合在所述金属层上,并且与所述介电台垂直对齐,其中,所述辐射传感器包括背照式图像传感器。
在该半导体结构中,所述开口包括位于所述介电材料层中的沟槽;所述沟槽包括第一部分和第二部分;并且所述介电台介于所述沟槽的所述第一部分和所述第二部分之间。
在该半导体结构中,所述开口包括位于所述介电材料层中的第一沟槽和第二沟槽;并且所述介电台介于所述第一沟槽和所述第二沟槽之间。
在该半导体结构中,进一步包括:钝化层,被设置在所述半导体衬底的背面上,被设置在所述介电层的开口中,并且包括开口,其中,所述钝化层的开口与所述介电台对齐,并且暴露出所述介电台。
根据本发明的又一方面,提供了一种制造半导体器件的方法,包括:在器件衬底的正面中形成辐射传感器;在所述器件衬底的正面上形成与所述辐射传感器相连接的互连结构;将载体衬底与所述器件衬底的背面相接合;蚀刻位于所述器件衬底的背面上的介电材料层,形成延伸穿过介电材料层的开口,以暴露出所述互连结构的金属部件,从而限定出被所述开口围绕着的所述介电材料层的介电台;在所述开口中和所述介电台上形成金属层,从而形成接合焊盘,所述接合焊盘直接接触所述开口内的所述金属部件。
在该方法中,蚀刻所述介电材料层包括:形成第一沟槽和第二沟槽,所述介电台介于所述第一沟槽和所述第二沟槽之间。
附图说明
当结合附图进行阅读时,根据下面详细的描述可以更好地理解本发明。应该强调的是,根据工业中的标准实践,各种部件没有被按比例绘制并且仅仅用于说明的目的。实际上,为了清楚的讨论,各种部件的数量和尺寸可以被任意增加或减少。
图1是示出了根据本发明的各个方面的形成半导体结构的方法流程图;
图2至图5、图7和图8示出了根据图1的方法在制造的各个阶段中半导体结构的一个实施例的示意性横截面侧视图;
图6a、图6b和图6c示出了根据图1的方法在制造的各个阶段中半导体结构的各个实施例的示意性俯视图;
图9a、图9b和图9c示出了根据图1的方法在制造的各个阶段中半导体结构的各个实施例的示意性俯视图。
具体实施方式
以下公开提供了多种不同实施例或实例,用于实现本发明的不同特征。以下将描述组件和布置的特定实例以简化本发明。当然,这些仅是实例并且不旨在限制本发明。例如,在以下描述中,在第二部件上方或上形成第一部件可以包括第一部件和第二部件直接接触的实施例,也可以包括其他部件可以形成在第一部件和第二部件之间使得第一部件和第二部件不直接接触的实施例。另外,本发明可以在多个实例中重复参考数字和/或符号。这种重复用于简化和清楚,并且其本身不表示所述多个实施例和/或配置之间的关系。可以理解,本领域的技术人员能够构造出各种等效结构,尽管在此没有详细描述这些等效结构,但其仍表现出本发明的原理。
从本发明的一个或多个实施例中得到的器件的实例是带有图像传感器的半导体器件。例如,这种器件是背照式(BSI)图像传感器器件。下面的公开内容将继续该实例,从而说明本发明的各个实施例。然而,可以理解,除非明确地进行说明,否则该申请不应该限于特定的器件类型。
图1是用于制造具有一个或多个背照式传感器(BSI)的半导体结构的方法100的流程图。该方法100以步骤102开始,其中提供了具有正面和背面的器件衬底。方法100继续进行步骤104,其中在器件衬底中形成了一个或多个辐射传感器。在步骤104中,还在器件衬底上形成了互连结构和钝化层。该互连结构包括第一金属层,并且可以包括多个金属层,其中第一金属层与器件衬底邻接。方法100继续进行到步骤106,其中提供了载体衬底,并且该载体衬底与器件衬底的正面相接合。方法100继续进行到步骤108,其中在器件衬底的背面上形成了第一缓冲层,该第一缓冲层可以是透明的。方法100继续进行步骤110,其中在接合区域中形成了开口(或沟槽),该开口延伸穿过第一缓冲层,使得该开口到达并且暴露出互连结构的金属层,比如,互连结构的第一金属层。然后,在接合区域内部的开口中形成了接合焊盘,并且该接合焊盘部分地填充到该开口中并且与互连结构的金属层连接。方法100继续进行到步骤112,其中第二缓冲层形成在第一缓冲层和接合焊盘上。然后,在第二缓冲层上方的屏蔽区域中形成了屏蔽结构。方法100继续进行到步骤114,其中将钝化层形成在第二缓冲层、接合区域中的接合焊盘以及屏蔽区域中的屏蔽结构上方。方法100继续进行到步骤116,其中蚀刻工艺去除了钝化层以及接合区域中的接合焊盘上方的第二缓冲层。特别地,接合区域中的开口被设计和配置为使得该开口限定出介电台,该介电台置于开口的各个部分之间。接合焊盘包括金属层,该金属层被设置在开口中和介电台上。可以在步骤100之前、期间以及之后提供其他额外的步骤,并且对于该方法的其他实施例而言,所述步骤的一些可以被替换或删除。下面的论述示出了可以根据图1的方法100制造的半导体器件的各个实施例。
图2至图7是根据图1的方法100在制造的各个阶段中半导体结构的一个实施例的示意性横截面侧视图,该半导体结构是背照式(BSI)图像传感器器件200。图像传感器器件200包括像素(传感器),该像素用于感测和记录朝向图像传感器器件200的背面的辐射(诸如,光)的强度。图像传感器器件200可以包括互补金属氧化物半导体(CMOS)图像传感器(CIS)、电荷耦合器件(CCD)、主动式像素传感器(APS)或被动式像素传感器。图像传感器件200进一步包括额外的电路和输入端/输出端,为了向传感器提供工作环境并且为了支持与传感器的外部通信,该额外的电路和输入端/输出端被设置为与传感器邻接。可以理解,为了更好地理解本发明的发明构思,已经对图2至图7进行了简化并且可以不按照尺寸绘制这些附图。
参考图2,BSI图像传感器200包括器件衬底210。器件衬底210具有正面212和背面214。器件衬底210是掺杂有p-型掺杂物(诸如,硼)的硅衬底(例如,p-型衬底)。可选地,该器件衬底210可以包括其他适当的半导体材料。例如,器件衬底210可以是掺杂有n-型掺杂物(诸如,磷或砷)的硅衬底(n-型衬底)。器件衬底210可以包含其他元素材料,诸如,锗和金刚石。器件衬底210可以任意包括化合物半导体和/或合金半导体。另外,器件衬底210可以包括外延层(epi层),为了增强性能,该外延层可以是被应变的并且可以包括绝缘体上硅(SOI)结构。
器件衬底210包括接合区域216、屏蔽区域217、以及辐射感测区域218。图2中的虚线表示出区域之间的近似边界。辐射感测区域218是器件衬底210的形成了辐射传感器件的区域。辐射感测区域218包括,例如,(辐射)传感器220。传感器220用于感测辐射,诸如,入射光(在下文中被称作光),该入射光被投射在器件衬底210的背面214上,因此该传感器220被称作背照式(BSI)传感器。在本实施例中,传感器220包括光电二极管。背照式传感器104的其他实例可以包括固定层(pinned layer)光电二极管、光电门传感器、互补金属氧化物半导体(CMOS)图像传感器、电荷耦合器件(CCD)传感器、主动式传感器、被动式传感器和/或扩散或以其他方式形成在半导体衬底210中的其他类型的器件。同样,传感器220可以包括传统的和/或未来发展出的图像传感器件。传感器220可以额外地包括复位晶体管、源级跟随晶体管、以及转移晶体管。另外,传感器220可以从一种改变成另一种,从而具有不同的结深度、结厚度等。为了简便,在图2中只示出了传感器220,但是可以理解,可以在器件衬底210中设置任意数量的传感器。在实现有多个传感器的位置上,辐射感测区域包括在邻接的传感器之间提供电绝缘和光绝缘的隔离结构。
屏蔽区域217是将在随后的加工状态下形成BSI图像传感器器件200的一个或多个屏蔽结构的区域。接合区域216是将在随后的加工状态下形成BSI图像传感器器件200的一个或多个接合焊盘,从而可以在BSI图像传感器器件200和外部器件之间建立电连接的区域。还可以理解,区域216、217、和218在器件衬底210的上方和下方垂直延伸。
参考图2,浅沟槽隔离(STI)层(或STI部件)222形成在BSI图像传感器器件200的正面212上方。STI层222可以包含适当的介电材料,诸如,氧化硅。可以通过本领域公知的适当技术形成STI层222。例如,可以通过一组工艺来形成该STI部件,这组工艺包括:通过传统的光刻来图案化半导体层、通过等离子体蚀刻工艺蚀刻半导体层从而形成各个沟槽以及通过化学汽相沉积(CVD)工艺利用介电材料(诸如,氧化硅)填充沟槽。可选地,可以通过诸如,化学汽相沉积(CVD)、高密度等离子体化学汽相沉积(HDPCVD)、等离子体增强化学汽相沉积(PECVD)、其组合的工艺或其他适当的工艺填充该沟槽。
互连结构230形成在器件衬底210的正面上方。互连结构230包括多个嵌入在介电材料层中的导电层。多个导电层在各个掺杂部件、电路和图像传感器器件200的输入端/输出端之间提供了互连。多个导电层在金属一层、金属二层等等直至最顶层中包括金属线。多个导电层进一步包括用于连接掺杂区域和金属一中的金属线的接触件。多个导电层进一步包括用于连接相邻金属层的通孔。在本实施例中,互连结构230包括层间介电(ILD)层232以及多个金属间介电(IMD)层234、236、238、和240。ILD层232和多个金属间介电(IMD)层234、236、238、和240可以包含适当的介电材料。例如,在本实施例中,ILD层232和多个金属间介电层(IMD)234、236、238和240包含低介电常数(低-k)材料,这种材料的介电常数低于热氧化硅的介电常数。在其他实施例中,ILD层232和多个金属间介电(IMD)层234、236、238和240包含介电材料。可以通过CVD、HDPCVD、PECVD、其组合或其他适当工艺形成该介电材料。
每个IMD层234、236、238和240都包括接触件、通孔,并且分别包括金属层242、244、246和248。出于说明目的,在图2中仅示出了四个IMD层,可以理解,可以设置任意数量的IMD层,并且所示的IMD层仅仅是说明性的,并且可以根据设计需要改变金属层和通孔/接触件的实际布置和配置。
互连结构230可以包含导电材料(诸如,铝、铝/硅/铜合金、钛、氮化钛、钨、多晶硅、金属硅化物或其组合),通过包括物理汽相沉积、CVD、HDPCVD、PECVD、其组合的工艺或其他适当工艺形成该导电材料。其他形成互连的制造技术可以包括通过光刻处理和蚀刻来图案化用于垂直连接(例如,通孔/接触件)和水平连接(例如,金属层)的导电材料。可选地,铜多层互连件可以用于形成金属图案。铜互连结构可以包含铜、铜合金、钛、氮化钛、钽、氮化钽、钨、多晶硅、金属硅化物或其组合。可以通过镶嵌技术形成铜互连,该镶嵌技术包括介电沉积、蚀刻、沉积以及抛光。沉积可以包括溅射、电镀、CVD或其他适当的工艺。
仍参考图2,在该实施例中,钝化层250形成在互连结构230上方并且与第n个金属层248直接接触。钝化层250可以包括任意适当的介电材料。在本实施例中,钝化层250包括氧化硅、氮化硅、氮氧化硅或其组合。可以通过适当的技术(诸如,CVD)形成钝化层250。可以通过化学机械抛光(CMP)工艺平坦化钝化层250,从而形成平滑的表面。
参考图3,载体衬底260从衬底210的正面器件与衬底210相接合,从而可以对器件衬底210的背面214进行处理。在本实施例中,载体衬底260与器件衬底210类似,并且包含硅材料。可选地,载体衬底260可以包含玻璃衬底或其他适当材料。可以通过分子力(公知为直接接合或光融合接合)或通过本领域公知的其他接合技术(诸如,金属扩散、共熔接合或阳极接合)接合载体衬底260和器件衬底210。钝化层250提供了与载体衬底260的电隔离。载体衬底260为形成在器件衬底210的正面212上的各个部件(诸如,传感器220)提供保护。载体衬底260还为器件衬底220的背面214的处理提供了机械强度和支撑。
在接合之后,可以对衬底210和载体衬底260任意地进行退火以增强接合强度。进行减薄工艺从背面214减薄器件衬底210。该减薄工艺可以包括机械研磨工艺和化学减薄工艺。在机械研磨工艺过程中,首先可以从器件衬底210中去除大量的衬底材料。然后,化学减薄工艺可以将蚀刻剂涂抹到器件衬底210的背面214上,从而进一步减薄器件衬底210至厚度262。在一个实例中,器件衬底210的厚度262处在大约3微米至大约6微米的范围内。可以理解,本发明公开的特定厚度仅被作为实例,并且根据图像传感器200的设计需要和应用类型可以设置其他厚度。仍参考图3,可以在器件衬底210的背面214上形成一个或多个材料层。在一个实例中,可以在器件衬底210的背面214上方形成抗反射涂布(ARC)层263。
图4示出了根据本发明的一个实施例的通过去除器件衬底210的部分来图案化器件衬底,从而形成划片槽(scribe line)和接合区域216。器件衬底210的图案化包括光刻图案化工艺。示例性的光刻工艺可以包括光刻胶图案化、蚀刻以及光刻胶剥除。光刻胶图案化可以进一步包括光刻胶涂布的处理步骤、软烘、掩模对准、图案曝光、曝光后烘烤、光刻胶显影以及硬烘。可以实施光刻图案化,也可以将该光刻图案化替换为其他适当的方法,诸如,无掩模光刻、电子束曝光、离子束曝光以及分子印迹。
在一个实施例中,经过图案化的光刻胶层形成在ARC层264上。经过图案化的光刻胶层包括多个开口,限定出划片槽和接合区域216。在接合区域216(以及划片槽区域)中使用经过图案化的光刻胶层作为蚀刻掩模来蚀刻ARC层263和器件衬底210,从而限定出接合区域216(以及划片槽)。可选地,可以将硬掩模层用于图案化器件衬底210,并且限定出接合区域216和划片槽。
蚀刻工艺可以包括任意适当的蚀刻技术,诸如,干式蚀刻。可以实施蚀刻工艺,使得STI层222暴露出来。在一个实例中,蚀刻工艺被设计为利用用于选择性蚀刻的适当的蚀刻剂并且使用STI层222作为蚀刻停止层。在蚀刻工艺中,经过图案化的光刻胶层为ARC层的多个部分以及下面的器件衬底210提供了保护,从而将材料从ARC层和器件衬底210中去除。可以理解,在材料去除之后通过湿式剥除或等离子体灰化来去除光刻胶掩模。
仍参考图4,缓冲层264形成在器件衬底210的背面214和STI层222上方。缓冲层264可以是透明的。缓冲层264可以包含任意适当的介电材料。在本实施例中,缓冲层264包括氧化硅并且可以通过工艺(诸如,CVD)或其他适当技术形成。在其他实施例中,缓冲层264可以具有适当的厚度。
现参考图5,开口(或凹陷区域)270形成在器件衬底210的接合区域216中。开口270延伸穿过缓冲层264、STI层222以及ILD 232,到达互连结构230的金属部件(诸如,接合区域216中的互连结构230的金属一层中的金属部件),使得金属部件从背面214暴露出来。可选地,开口270可以延伸穿过至少一个互连结构的部分,使得金属层(诸如金属二层、金属三层,...或金属顶层)暴露在开口270内部中。通过蚀刻工艺(诸如,光刻工艺和蚀刻工艺)形成开口270。蚀刻工艺可以包括适当的技术,诸如,干式蚀刻、湿式蚀刻或其组合。蚀刻工艺可以包括多个蚀刻步骤。例如,蚀刻工艺包括用于有效地蚀刻氧化硅的第一蚀刻步骤以及用于有效地蚀刻硅材料的第二蚀刻工艺。在另一个实施例中,第二蚀刻工艺使用蚀刻缓冲层264(或额外的STI层222和ILD层232)作为蚀刻掩模来蚀刻硅。可选地,在第一蚀刻步骤过程中使用硬掩模来蚀刻缓冲层。
特别地,开口270被设计为包括第一部分270a和第二部分270b,使得介电台272形成并且配置在开口270的第一部分和第二部分之间。根据各个实施例,开口270的第一部分和第二部分可以是连接的或分开地设置。在本实例中,开口270的第一部分270a和第二部分270b沿着第一方向配置并且沿着与第一方向垂直的第二方向彼此间隔开。
图6a、图6b和图6c示出俯视图中的开口270的各个实施例。为了简便,图6a、图6b和图6c仅包括接合区域216。在一个参考图6a的实施例中,开口270包括第一部分(或第一沟槽)270a和第二部分(或第二沟槽)270b,这两个部分沿着第一方向对齐并且沿着与第一方向垂直的第二方向彼此间隔开。介电台272包括宽度W。开口270的第一部分和第二部分270a/270b限定出第二尺寸Wp。Wp大于W。在一个实例中,W大于大约10微米。在本实施例中,介电台272的顶部材料层是缓冲层264。另外,根据本实施例,金属层242暴露在开口270中。可选地,开口270可以延伸穿过互连结构的至少一部分,使得金属层(诸如,金属二层、金属三层,...或金属顶层)暴露在开口270内部中。可以理解,开口270的深度根据设计和其他条件而变化。
在另一个参考图6b的实施例中,开口270包括第一部分(或第一沟槽)270a和第二部分(或第二沟槽)270b,这两个部分沿着第一方向对齐并且沿着与第一方向垂直的第二方向彼此间隔开。图6b的开口270与图6a的类似,但方位(orientation)不同。
在另一个参考图6c的实施例中,开口270是连续的结构,包围着介电台272。在特定的实例中,开口270包括第一部分和第二部分,这两个部分在第一方向上对准,并且在与第一方向垂直的第二方向上彼此间隔开。开口270进一步包括第三部分和第四部分,这两个部分在第二方向上对准,并且在第一方向上彼此间隔开。开口270的第一部分、第二部分、第三部分和第四部分构成连续的开口,该开口在内部限定出介电台272。在如图6c所示出的实施例中,介电台272包括宽度W和长度L。在一个实例中,每个W和L都大于大约10微米。开口270包括开口宽度Wo,该宽度小于介电台272的宽度W。另外,如图6c所示,开口270包括了宽度为Wp,长度为Lp的区域。Wp大于W,而Lp大于L。类似地,接合焊盘的其他实例包括类似的尺寸。
参考图7,接合焊盘274形成在接合区域216中的器件衬底上。特别地,接合焊盘274包括通过沉积和图案化形成的金属层,诸如,铜铝合金或其他适当的金属。在各个实例中,沉积包括PVD,图案化包括光刻工艺和蚀刻。接合焊盘274设置在介电台272上并且进一步部分地填充到接合区域216中的开口270中,使得接合焊盘274直接接触互连结构,诸如,互连结构中的金属一层242的金属部件。
根据本实施例,形成带有介电台272的接合焊盘274,该介电台置于开口270和接合焊盘274之间从开口270内部的互连结构230中的金属部件延伸到介电台272的益处在于,在开口中的接合焊盘的部分和在介电台上的接合焊盘的部分利用强机械强度集成在一起,从而防止了接合焊盘出现开裂和剥落问题。由此,当向接合焊盘274施加压力时,在随后的测试(例如,球剪切测试)过程中或在随后的接合工艺过程中,集成在一起的互连结构230和接合焊盘274不会出现接合焊盘274的开裂以及随后的剥落。因此,在本实施例中缓解或完全消除了接合焊盘274的开裂和剥落问题。
如图7所示,接合焊盘274与开口270内的金属一层242的金属部件相接触。因此,在接合焊盘274和图像传感器220外部的器件之间可以通过接合焊盘274建立电连接。为了简便,仅示出了四个金属层(242、244、246、和248),但是可以理解,可以在互连结构230中设置任意数量的金属层。还可以理解,接合焊盘274可以延伸以接触到互连结构的任何金属层,诸如,顶部金属层。
仍参考图7,缓冲层282可以形成在接合焊盘274上方。缓冲层282布置在接合焊盘274上,使得接合焊盘274完全被缓冲层282覆盖。因此,在随后的金属蚀刻过程中,缓冲层282被作为蚀刻停止层用于保护接合焊盘274不受到蚀刻的损害。在本实施例中,在接合区域216中,缓冲层282被设置在接合焊盘274上并且部分地填充在开口270中。缓冲层282还可以形成在屏蔽区域217和器件区域218中的缓冲层264上。缓冲层282包括适用于在金属蚀刻过程中停止蚀刻的氧化硅或其他适当的介电材料。可以通过沉积(诸如,CVD)形成缓冲层282。
屏蔽结构276形成在屏蔽区域217中的缓冲层282上。在一个实施例中,屏蔽结构276包括与接合焊盘274的金属材料相同的金属材料。如图7所示,接合焊盘274具有厚度278,屏蔽结构276具有厚度280。当分别形成接合焊盘和屏蔽结构时,厚度278和280可以是不同的,并且可以根据相应的目的进行调整。在一个实例中,接合焊盘具有厚度278,屏蔽结构厚度280可以在大约500埃至大约10000埃的范围内。另外,当分别形成接合焊盘274和屏蔽结构276时,为了增强屏蔽效果,屏蔽结构276可以使用经过调整的不同材料。在其他实例中,接合焊盘274和屏蔽结构276包含金属材料,诸如,铝、铜、铜铝、钛、钽、氮化钛、氮化钽、钨或其合金。可以通过包括沉积和图案化的步骤来形成屏蔽结构276。沉积使用的是本领域的适当技术,诸如,PVD、CVD、其组合或其他适当的技术。图案化包括光刻工艺和蚀刻工艺。在用于图案化屏蔽结构276的蚀刻工艺过程中,缓冲层282作为蚀刻停止层来防止接合焊盘274受到损害。
钝化层284形成在缓冲层282上和屏蔽结构276上,并且还部分地填充在开口270中。钝化层284可以包含任何适当的介电材料。在本实施例中,钝化层284包含氮化硅、氧化硅、氮氧化硅或其组合。可以通过适当的工艺(诸如,CVD)形成钝化层284。
现参考图8,缓冲层282和钝化层284进一步被图案化,使得介电台272上的接合焊盘274的至少一部分被暴露用于随后的接合工艺。特别地,使用适当的工艺将介电台272上的钝化层284的一部分以及缓冲层282的一部分蚀刻掉,从而限定出如图8中所示的焊盘开口288。在一个实施例中,缓冲层282和钝化层284被图案化,使得这两个层在器件区域218中的部分都被去除。在另一个实施例中,用于图案化钝化层的工艺包括光刻工艺和蚀刻。在另一个实施例中,蚀刻可以包括任何适当的蚀刻技术,诸如,湿式蚀刻工艺或干式蚀刻工艺。在另一个实施例中,蚀刻包括两个蚀刻步骤,分别选择性地蚀刻钝化层284和缓冲层282。
在另一个实施例中,以不同顺序形成接合焊盘274和屏蔽结构276。屏蔽结构276形成在屏蔽区域217中。缓冲层282被设置在衬底上和屏蔽结构276上方,并且该缓冲层282进一步被图案化,使得接合区域至少部分地暴露出来。应用图案化工艺(包括蚀刻)来形成开口270和介电台272。此后,通过沉积和蚀刻将接合焊盘274形成在介电台272上以及开口270中。对钝化层284进行沉积和图案化,从而形成焊盘开口288,使得介电台272上的接合焊盘被暴露出来。
在又一个实施例中,接合焊盘274和屏蔽结构276在相同的程序中同时形成。特别地,应用图案化工艺(包括蚀刻)来形成开口270和介电台272。对金属层进行沉积和图案化,从而形成接合焊盘274和屏蔽结构276两者。缓冲层282可以进一步沉积在衬底上,而钝化层284被沉积在诸如,缓冲层282上。缓冲层282和钝化层284被图案化,使得介电台272上的接合焊盘被暴露出来。在另一个实例中,缓冲层282和钝化层284被图案化,使得在器件区域218中的缓冲层282和钝化层284的部分被额外地去除。
根据本发明的各个实施例,图9a、图9b和图9c作为俯视图进一步示出了焊盘开口288。为了随后的接合工艺(诸如,附接金线或焊球,统称为接合球),焊盘开口288可以被定型为圆形的或其他适当的几何形状。在焊盘开口288内,接合焊盘274被暴露出来。接合区域216中的包括开口270(例如,270a和270b)的其他区域被钝化层284覆盖。
尽管没有示出,但仍可以进行额外的处理来完成图像传感器器件200的制造。例如,在辐射-感测区域218内形成滤色镜。可以设置滤色镜,使得光射在该滤色镜上并且穿过该过滤器。为了过滤特定的光的波段,滤色镜可以包括染料性的(或颜料性的)多晶硅或合成树脂,该光的波段与色谱(例如,红、绿、和蓝)相对应。此后,为了将光对准或聚焦在器件衬底210(诸如,传感器220)中的特定的辐射感测区域上,在滤色镜上方形成了微透镜。根据用于微透镜的材料的折射率以及与传感器表面的距离,可以各种布置方式设置该微透镜并且其可以具有各种形状。也可以理解,在形成滤色镜或微透镜之前,器件衬底210也可以经历可选的激光退火工艺。
因此,提供了半导体结构。半导体结构包括具有正面和背面的器件衬底、设置在器件衬底正面上的互连结构以及与互连结构相连接的接合焊盘。接合焊盘包括位于介电材料层中的凹陷区域、介于凹陷区域之间的介电材料层的介电台以及设置在凹陷区域中和介电台上的金属层。
在一些实施例中,凹陷区域包括形成在介电材料层中的沟槽,该沟槽包括第一部分和第二部分,并且介电台介于沟槽的第一部分和第二部分之间。在另一个实施例中,凹陷区域包括形成在介电材料层中的第一沟槽和第二沟槽,而介电台被置于第一沟槽和第二沟槽之间。在另一个实施例中,凹陷区域包括形成在介电材料层中并且围绕介电台的沟槽。金属层可以包含铝铜合金。金属层接触处在凹陷区域内部的互连结构的金属部件。在又一个实施例中,介电材料层包括与金属部件邻近的层间介电层(ILD)以及与ILD邻近的沟槽绝缘部件。在又一个实施例中,凹陷区域包括凹部,该凹部延伸穿过沟槽隔离部件和ILD。根据一个实施例,金属部件包括金属一层中的金属线,介电材料层可以包括氧化硅。半导体结构可以进一步包括接合球,该接合球设置在金属层上并且基本上放置在介电台内的金属层的部分上。在又一个实施例中,半导体结构进一步包括辐射感测区域,该辐射感测区域包括设置在器件衬底正面中的背照式传感器,该背照式传感器用于感测从器件衬底的背面射向辐射感测区域的辐射;与辐射感测区域邻近并且具有屏蔽部件的辐射屏蔽区域,该辐射屏蔽区域包括金属并且被设置在器件衬底的背面上;以及包括接合焊盘的接合区域。在又一个实施例中,半导体结构进一步包括设置在器件衬底的正面上的钝化层,其中,该钝化层形成在凹陷区域和介电台中,并且该钝化层形成在辐射屏蔽部件上。
还提供了半导体结构的可选的实施例。半导体结构包括:半导体衬底,具有辐射感测区域以及接合区域并且具有正面和背面;辐射传感器,形成在辐射感测区域内部的半导体衬底的背面中;互连结构,设置在半导体衬底的正面上并且在接合区域中将辐射传感器与互连结构的金属部件连接;载体衬底,与半导体衬底的正面接合,其中,互连结构夹在半导体衬底和该载体衬底之间;开口,处在半导体衬底的背面上,其中,该开口形成在接合区域中,延伸穿过介电材料层到达互连结构的金属部件,并且限定出置于金属部件上方的介电材料层的介电台;以及金属层,部分地填充接合区域中的半导体衬底背面上的开口,其中,金属层与互连结构的金属部件具有直接接触并且进一步延伸到达介电台。
在一些实施例中,半导体器件进一步包括接合球,该接合球接合在金属层上并且与介电台垂直对齐,其中,辐射传感器包括背照式图像传感器。在其他实施例中,开口包括处在介电材料层中的沟槽,该沟槽包括第一部分和第二部分,并且介电台置于沟槽的第一部分和第二部分之间。在又一个实施例中,开口包括处在介电材料层中的第一沟槽和第二沟槽,并且介电台介于第一沟槽和第二沟槽之间。在又一个实施例中,半导体结构进一步包括钝化层,该钝化层设置在半导体衬底的背面上,设置在介电层的开口中并且包括开口,其中,钝化层的开口与介电台对齐并且暴露出介电台。
还提供了一种方法。该方法包括:在器件衬底的正面中形成辐射传感器;在器件衬底的正面上形成与辐射传感器连接的互连结构;将载体衬底与器件衬底的背面相接合;蚀刻位于器件衬底背面上的介电材料层以形成延伸穿过介电材料层的开口,从而暴露出互连结构的金属部件,限定出被开口所围绕的介电材料层的介电台;以及在开口中和介电台上形成金属层,从而产生金属焊盘,该金属焊盘直接接触开口内部的金属部件。
在一些实施例中,蚀刻介电材料层包括:形成第一沟槽和第二沟槽,介电台介于第一沟槽和第二沟槽之间。在另一个实施例中,该方法进一步包括在金属层上形成钝化层,该钝化层设置在开口中;以及图案化钝化层,从而至少部分地暴露出介电台上的金属层。在又一个实施例中,该方法进一步包括在开口中的钝化层上以及介电台上方的金属层上形成接合金属。在另一个实施例中,形成互连结构包括在器件衬底上形成层间介电(ILD)材料,而蚀刻介电材料层包括蚀刻ILD材料。在另一个实施例中,该方法进一步包括在接近辐射器件的器件衬底上形成金属屏蔽部件。在又一个实施例中,形成辐射传感器包括以一种配置方式在辐射感测区域中形成辐射传感器,使得该辐射传感器用于感测从背面射向辐射感测区域中的辐射。
为了实施本发明的不同部件,上述公开内容提供了许多不同的实施例或实例。为了简化本发明,以上描述了部件和布置的具体实例。当然,这些仅仅是实例并不用于进行限制。因此,在不背离本发明的范围的情况下,可以不同于此处所示的示例性实施例的方式来布置、组合或配置此处所公开的部件。
上面论述了若干实施例的部件,使得本领域普通技术人员可以更好地理解本发明的各个方面。本领域普通技术人员应该理解,可以很容易地使用本发明作为基础来设计或更改其他用于达到与这里所介绍实施例相同的目的和/或实现相同优点的处理和结构。本领域普通技术人员也应该意识到,这种等效构造并不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,可以进行多种变化、替换以及改变。

Claims (10)

1.一种半导体结构,包括:
器件衬底,具有正面和背面;
互连结构,被设置在所述器件衬底的正面上;以及
接合焊盘,与所述互连结构相连接,其中,所述接合焊盘包括:
凹陷区域,位于介电材料层中;
所述介电材料层的介电台,介于所述凹陷区域之间;以及
金属层,被设置在所述凹陷区域中,并且位于所述介电台上。
2.根据权利要求1所述的半导体结构,其中,
所述凹陷区域包括形成在所述介电材料层中的沟槽;
所述沟槽包括第一部分和第二部分;并且
所述介电台介于所述沟槽的所述第一部分和所述第二部分之间,或者
其中,
所述凹陷区域包括形成在所述介电材料层中的第一沟槽和第二沟槽;并且
所述介电台介于所述第一沟槽和所述第二沟槽之间,或者
其中,所述凹陷区域包括形成在所述介电材料层中并且围绕所述介电台的沟槽,或者
其中,所述金属层包含铝铜合金。
3.根据权利要求1所述的半导体结构,其中,所述金属层与所述凹陷区域内的所述互连结构的金属部件相接触。
4.根据权利要求3所述的半导体结构,其中,所述介电材料层包括:
层间介电层(ILD),邻近所述金属部件;以及
沟槽隔离部件,邻近所述ILD,并且
其中,所述凹陷区域包括延伸穿过所述沟槽隔离部件和所述ILD的凹部,或者
其中,所述金属部件包括位于金属一层中的金属线。
5.根据权利要求1所述的半导体结构,其中,所述介电材料层包含氧化硅,或者
进一步包括:接合球,被设置在所述金属层上,并且基本上被接合在所述介电台内的所述金属层的一部分上。
6.根据权利要求1所述的半导体结构,进一步包括:
辐射感测区域,包括被设置在所述器件衬底的所述正面中的背照式传感器,所述背照式传感器用于感测从所述器件衬底的背面射向所述辐射感测区域的辐射;
辐射屏蔽区域,邻近所述辐射感测区域,并且具有屏蔽部件,所述屏蔽部件包含金属,并且被设置在所述器件衬底的背面上;以及
接合区域,包含所述接合焊盘,并且
进一步包括:
钝化层,被设置在所述器件衬底的正面上,其中,所述钝化层形成在所述凹陷区域中和介电台中,并且所述钝化层形成在所述辐射屏蔽部件上。
7.一种半导体结构,包括:
半导体衬底,具有辐射感测区域和接合区域,并且具有正面和背面;
辐射传感器,形成在所述辐射感测区域内的所述半导体衬底的背面中;
互连结构,被设置在所述半导体衬底的正面上,并且在所述接合区域中将所述辐射传感器与所述互连结构的金属部件相连接;
载体衬底,利用夹在所述半导体衬底和所述载体衬底之间的所述互连结构与所述半导体衬底的所述正面相接合;
开口,位于所述半导体衬底的背面上,其中,所述开口形成在所述接合区域中,延伸穿过介电材料层至所述互连结构的所述金属部件,并且限定出位于所述金属部件上的所述介电材料层的介电台;以及
金属层,部分地填充了所述接合区域中的所述半导体衬底的背面上的所述开口,其中,所述金属层与所述互连结构的所述金属部件直接接触,并且进一步延伸至所述介电台。
8.根据权利要求7所述的半导体结构,进一步包括:接合球,接合在所述金属层上,并且与所述介电台垂直对齐,其中,所述辐射传感器包括背照式图像传感器,或者
其中,
所述开口包括位于所述介电材料层中的沟槽;
所述沟槽包括第一部分和第二部分;并且
所述介电台介于所述沟槽的所述第一部分和所述第二部分之间,或者
其中,
所述开口包括位于所述介电材料层中的第一沟槽和第二沟槽;并且
所述介电台介于所述第一沟槽和所述第二沟槽之间,或者
进一步包括:
钝化层,被设置在所述半导体衬底的背面上,被设置在所述介电层的开口中,并且包括开口,其中,所述钝化层的开口与所述介电台对齐,并且暴露出所述介电台。
9.一种制造半导体器件的方法,包括:
在器件衬底的正面中形成辐射传感器;
在所述器件衬底的正面上形成与所述辐射传感器相连接的互连结构;
将载体衬底与所述器件衬底的背面相接合;
蚀刻位于所述器件衬底的背面上的介电材料层,形成延伸穿过介电材料层的开口,以暴露出所述互连结构的金属部件,从而限定出被所述开口围绕着的所述介电材料层的介电台;
在所述开口中和所述介电台上形成金属层,从而形成接合焊盘,所述接合焊盘直接接触所述开口内的所述金属部件。
10.根据权利要求9所述的方法,其中,蚀刻所述介电材料层包括:形成第一沟槽和第二沟槽,所述介电台介于所述第一沟槽和所述第二沟槽之间。
CN201210010832.2A 2011-07-07 2012-01-11 具有接合焊盘结构的背照式传感器及其制造方法 Active CN102867832B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/177,686 US8435824B2 (en) 2011-07-07 2011-07-07 Backside illumination sensor having a bonding pad structure and method of making the same
US13/177,686 2011-07-07

Publications (2)

Publication Number Publication Date
CN102867832A true CN102867832A (zh) 2013-01-09
CN102867832B CN102867832B (zh) 2016-06-01

Family

ID=47426393

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201210010832.2A Active CN102867832B (zh) 2011-07-07 2012-01-11 具有接合焊盘结构的背照式传感器及其制造方法

Country Status (6)

Country Link
US (1) US8435824B2 (zh)
JP (1) JP5676528B2 (zh)
KR (1) KR101259724B1 (zh)
CN (1) CN102867832B (zh)
DE (1) DE102011056178B4 (zh)
TW (1) TWI585916B (zh)

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103117290A (zh) * 2013-03-07 2013-05-22 豪威科技(上海)有限公司 背照式cmos影像传感器及其制造方法
CN104051363A (zh) * 2013-03-14 2014-09-17 英飞凌科技奥地利有限公司 芯片封装和用于制造该芯片封装的方法
CN104103511A (zh) * 2013-04-03 2014-10-15 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法
CN104517975A (zh) * 2013-09-27 2015-04-15 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制作方法
CN105789228A (zh) * 2015-01-09 2016-07-20 台湾积体电路制造股份有限公司 半导体结构及其制造方法
CN106252323A (zh) * 2015-06-12 2016-12-21 台湾积体电路制造股份有限公司 用于集成互补金属氧化物半导体(cmos)图像传感器工艺的平坦焊盘结构
CN106298718A (zh) * 2015-06-25 2017-01-04 台湾积体电路制造股份有限公司 集成电路、前照式传感器、背照式传感器和三维集成电路
CN106611755A (zh) * 2015-10-26 2017-05-03 台湾积体电路制造股份有限公司 用于前照式图像传感器的焊盘结构及其形成方法
CN107039478A (zh) * 2015-12-29 2017-08-11 台湾积体电路制造股份有限公司 集成芯片与其形成方法
CN107195649A (zh) * 2017-06-06 2017-09-22 豪威科技(上海)有限公司 背照式cmos图像传感器及其制造方法
CN108346672A (zh) * 2017-01-23 2018-07-31 中芯国际集成电路制造(上海)有限公司 一种背照式图像传感器及其制造方法和电子装置
CN108695173A (zh) * 2017-04-06 2018-10-23 中芯国际集成电路制造(北京)有限公司 一种半导体器件的制造方法
CN109768058A (zh) * 2017-11-08 2019-05-17 台湾积体电路制造股份有限公司 互补金属氧化物半导体传感器及其形成方法
CN110767605A (zh) * 2019-11-04 2020-02-07 武汉新芯集成电路制造有限公司 一种金属衬垫的形成方法
CN111129046A (zh) * 2018-10-31 2020-05-08 台湾积体电路制造股份有限公司 半导体结构及其形成方法
CN112582277A (zh) * 2020-12-08 2021-03-30 武汉新芯集成电路制造有限公司 半导体器件的加工方法及半导体器件
CN113410195A (zh) * 2020-03-16 2021-09-17 南亚科技股份有限公司 半导体组装结构及其制备方法

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20090128899A (ko) 2008-06-11 2009-12-16 크로스텍 캐피탈, 엘엘씨 후면 조사 이미지 센서 및 그 제조방법
JP5857399B2 (ja) 2010-11-12 2016-02-10 ソニー株式会社 固体撮像装置及び電子機器
JP2013069718A (ja) * 2011-09-20 2013-04-18 Toshiba Corp 固体撮像装置
KR20130106619A (ko) * 2012-03-20 2013-09-30 삼성전자주식회사 이미지 센서 및 그 제조 방법
US8803271B2 (en) * 2012-03-23 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Structures for grounding metal shields in backside illumination image sensor chips
US8765608B2 (en) * 2012-05-01 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming trenches
US8796805B2 (en) * 2012-09-05 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple metal film stack in BSI chips
US8866250B2 (en) * 2012-09-05 2014-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple metal film stack in BSI chips
US8884390B2 (en) 2013-01-30 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Backside illumination image sensor chips and methods for forming the same
US9252180B2 (en) * 2013-02-08 2016-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding pad on a back side illuminated image sensor
US20140252521A1 (en) * 2013-03-11 2014-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Image Sensor with Improved Dark Current Performance
US9691809B2 (en) * 2013-03-14 2017-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Backside illuminated image sensor device having an oxide film and method of forming an oxide film of a backside illuminated image sensor device
US20140326856A1 (en) * 2013-05-06 2014-11-06 Omnivision Technologies, Inc. Integrated circuit stack with low profile contacts
US9123837B2 (en) * 2013-05-31 2015-09-01 Oxford Instruments Analytical Oy Semiconductor detector with radiation shield
NZ715764A (en) * 2013-06-21 2019-08-30 Vortex Innovation Worx Pty Ltd Packaging arrangement
JP6200835B2 (ja) 2014-02-28 2017-09-20 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US9281338B2 (en) 2014-04-25 2016-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor image sensor device having back side illuminated image sensors with embedded color filters
US9614000B2 (en) * 2014-05-15 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Biased backside illuminated sensor shield structure
JP6344991B2 (ja) * 2014-06-17 2018-06-20 キヤノン株式会社 撮像装置の製造方法
KR102374110B1 (ko) * 2014-08-22 2022-03-14 삼성전자주식회사 쉴딩 구조를 갖는 이미지 센서
JP6353354B2 (ja) * 2014-12-12 2018-07-04 ルネサスエレクトロニクス株式会社 撮像装置およびその製造方法
US9704827B2 (en) 2015-06-25 2017-07-11 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bond pad structure
US9881884B2 (en) * 2015-08-14 2018-01-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US9847359B2 (en) 2015-11-17 2017-12-19 Semiconductor Components Industries, Llc Image sensors with improved surface planarity
US10109666B2 (en) * 2016-04-13 2018-10-23 Taiwan Semiconductor Manufacturing Co., Ltd. Pad structure for backside illuminated (BSI) image sensors
US10147754B2 (en) 2017-02-22 2018-12-04 Omnivision Technologies, Inc. Backside illuminated image sensor with improved contact area
DE102018124940B4 (de) * 2017-11-08 2024-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. CMOS-Sensoren und Verfahren zur Bildung derselben
KR102578569B1 (ko) * 2019-01-22 2023-09-14 주식회사 디비하이텍 후면 조사형 이미지 센서 및 그 제조 방법
KR102581170B1 (ko) * 2019-01-22 2023-09-21 주식회사 디비하이텍 후면 조사형 이미지 센서 및 그 제조 방법
CN111029352B (zh) * 2019-12-02 2022-07-01 武汉新芯集成电路制造有限公司 半导体器件及其制造方法
US11502123B2 (en) * 2020-04-17 2022-11-15 Taiwan Semiconductor Manufacturing Company Limited Methods for forming image sensor devices
US11869916B2 (en) 2020-11-13 2024-01-09 Taiwan Semiconductor Manufacturing Company, Ltd. Bond pad structure for bonding improvement

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050059200A1 (en) * 1997-05-19 2005-03-17 Takashi Ohsumi Semiconductor apparatus and method for fabricating the same
US20090224345A1 (en) * 2006-06-19 2009-09-10 Siliconfile Technologies Inc. Image sensor using back-illuminated photodiode and method of manufacturing the same
US20110024866A1 (en) * 2009-07-29 2011-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS IMAGE SENSOR BIG VIA BONDING PAD APPLICATION FOR AICu PROCESS
CN102074564A (zh) * 2009-11-25 2011-05-25 台湾积体电路制造股份有限公司 用于cmos图像传感器的结合处理

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02129926A (ja) * 1988-11-09 1990-05-18 Mitsubishi Electric Corp ボンディングパッド形成体
KR100230428B1 (ko) * 1997-06-24 1999-11-15 윤종용 다층 도전성 패드를 구비하는 반도체장치 및 그 제조방법
KR20000010306A (ko) * 1998-07-31 2000-02-15 윤종용 표면에 요철이 형성된 본딩 패드를 구비한 반도체 장치
JP3324581B2 (ja) * 1999-09-21 2002-09-17 日本電気株式会社 固体撮像装置及びその製造方法
US6191023B1 (en) * 1999-11-18 2001-02-20 Taiwan Semiconductor Manufacturing Company Method of improving copper pad adhesion
US20030020163A1 (en) * 2001-07-25 2003-01-30 Cheng-Yu Hung Bonding pad structure for copper/low-k dielectric material BEOL process
JP4016340B2 (ja) 2003-06-13 2007-12-05 ソニー株式会社 半導体装置及びその実装構造、並びにその製造方法
JP4046069B2 (ja) * 2003-11-17 2008-02-13 ソニー株式会社 固体撮像素子及び固体撮像素子の製造方法
JP2008066440A (ja) 2006-09-06 2008-03-21 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
US7659595B2 (en) * 2007-07-16 2010-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded bonding pad for backside illuminated image sensor
DE102008046030A1 (de) * 2007-09-07 2009-06-10 Dongbu Hitek Co., Ltd. Bildsensor und Verfahren zu seiner Herstellung
EP2195837A1 (en) * 2007-10-31 2010-06-16 Agere Systems Inc. Bond pad support structure for semiconductor device
JP4609497B2 (ja) * 2008-01-21 2011-01-12 ソニー株式会社 固体撮像装置とその製造方法、及びカメラ
US8178980B2 (en) * 2008-02-05 2012-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. Bond pad structure
JP5268618B2 (ja) * 2008-12-18 2013-08-21 株式会社東芝 半導体装置
JP2011003645A (ja) * 2009-06-17 2011-01-06 Sharp Corp 半導体装置およびその製造方法
US8502335B2 (en) * 2009-07-29 2013-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS image sensor big via bonding pad application for AlCu Process
JP2011086709A (ja) * 2009-10-14 2011-04-28 Toshiba Corp 固体撮像装置及びその製造方法
JP5442394B2 (ja) * 2009-10-29 2014-03-12 ソニー株式会社 固体撮像装置とその製造方法、及び電子機器

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050059200A1 (en) * 1997-05-19 2005-03-17 Takashi Ohsumi Semiconductor apparatus and method for fabricating the same
US20090224345A1 (en) * 2006-06-19 2009-09-10 Siliconfile Technologies Inc. Image sensor using back-illuminated photodiode and method of manufacturing the same
US20110024866A1 (en) * 2009-07-29 2011-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS IMAGE SENSOR BIG VIA BONDING PAD APPLICATION FOR AICu PROCESS
CN102074564A (zh) * 2009-11-25 2011-05-25 台湾积体电路制造股份有限公司 用于cmos图像传感器的结合处理

Cited By (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103117290A (zh) * 2013-03-07 2013-05-22 豪威科技(上海)有限公司 背照式cmos影像传感器及其制造方法
CN103117290B (zh) * 2013-03-07 2015-08-19 豪威科技(上海)有限公司 背照式cmos影像传感器及其制造方法
CN104051363A (zh) * 2013-03-14 2014-09-17 英飞凌科技奥地利有限公司 芯片封装和用于制造该芯片封装的方法
CN104051363B (zh) * 2013-03-14 2017-05-10 英飞凌科技奥地利有限公司 芯片封装和用于制造该芯片封装的方法
US9437548B2 (en) 2013-03-14 2016-09-06 Infineon Technologies Austria Ag Chip package and method for manufacturing the same
CN104103511B (zh) * 2013-04-03 2017-03-08 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法
CN104103511A (zh) * 2013-04-03 2014-10-15 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法
CN104517975B (zh) * 2013-09-27 2017-07-07 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制作方法
CN104517975A (zh) * 2013-09-27 2015-04-15 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制作方法
US10177189B2 (en) 2015-01-09 2019-01-08 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
CN105789228A (zh) * 2015-01-09 2016-07-20 台湾积体电路制造股份有限公司 半导体结构及其制造方法
US9748301B2 (en) 2015-01-09 2017-08-29 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
CN106252323A (zh) * 2015-06-12 2016-12-21 台湾积体电路制造股份有限公司 用于集成互补金属氧化物半导体(cmos)图像传感器工艺的平坦焊盘结构
CN106252323B (zh) * 2015-06-12 2018-12-28 台湾积体电路制造股份有限公司 用于集成互补金属氧化物半导体(cmos)图像传感器工艺的平坦焊盘结构
CN106298718A (zh) * 2015-06-25 2017-01-04 台湾积体电路制造股份有限公司 集成电路、前照式传感器、背照式传感器和三维集成电路
CN106298718B (zh) * 2015-06-25 2019-08-23 台湾积体电路制造股份有限公司 集成电路、前照式传感器、背照式传感器和三维集成电路
CN106611755A (zh) * 2015-10-26 2017-05-03 台湾积体电路制造股份有限公司 用于前照式图像传感器的焊盘结构及其形成方法
CN106611755B (zh) * 2015-10-26 2019-05-24 台湾积体电路制造股份有限公司 用于前照式图像传感器的焊盘结构及其形成方法
CN107039478B (zh) * 2015-12-29 2020-06-30 台湾积体电路制造股份有限公司 集成芯片与其形成方法
CN107039478A (zh) * 2015-12-29 2017-08-11 台湾积体电路制造股份有限公司 集成芯片与其形成方法
CN108346672A (zh) * 2017-01-23 2018-07-31 中芯国际集成电路制造(上海)有限公司 一种背照式图像传感器及其制造方法和电子装置
CN108695173A (zh) * 2017-04-06 2018-10-23 中芯国际集成电路制造(北京)有限公司 一种半导体器件的制造方法
CN108695173B (zh) * 2017-04-06 2020-05-12 中芯国际集成电路制造(北京)有限公司 一种半导体器件的制造方法
CN107195649B (zh) * 2017-06-06 2019-09-17 豪威科技(上海)有限公司 背照式cmos图像传感器及其制造方法
CN107195649A (zh) * 2017-06-06 2017-09-22 豪威科技(上海)有限公司 背照式cmos图像传感器及其制造方法
CN109768058A (zh) * 2017-11-08 2019-05-17 台湾积体电路制造股份有限公司 互补金属氧化物半导体传感器及其形成方法
CN109768058B (zh) * 2017-11-08 2023-01-13 台湾积体电路制造股份有限公司 互补金属氧化物半导体传感器及其形成方法
CN111129046A (zh) * 2018-10-31 2020-05-08 台湾积体电路制造股份有限公司 半导体结构及其形成方法
CN110767605A (zh) * 2019-11-04 2020-02-07 武汉新芯集成电路制造有限公司 一种金属衬垫的形成方法
CN110767605B (zh) * 2019-11-04 2022-10-18 武汉新芯集成电路制造有限公司 一种金属衬垫的形成方法
CN113410195A (zh) * 2020-03-16 2021-09-17 南亚科技股份有限公司 半导体组装结构及其制备方法
CN113410195B (zh) * 2020-03-16 2024-06-04 南亚科技股份有限公司 半导体组装结构及其制备方法
CN112582277A (zh) * 2020-12-08 2021-03-30 武汉新芯集成电路制造有限公司 半导体器件的加工方法及半导体器件

Also Published As

Publication number Publication date
US8435824B2 (en) 2013-05-07
JP5676528B2 (ja) 2015-02-25
KR20130006248A (ko) 2013-01-16
JP2013021323A (ja) 2013-01-31
TWI585916B (zh) 2017-06-01
CN102867832B (zh) 2016-06-01
DE102011056178A1 (de) 2013-01-10
DE102011056178B4 (de) 2016-07-07
TW201304094A (zh) 2013-01-16
US20130009270A1 (en) 2013-01-10
KR101259724B1 (ko) 2013-04-30

Similar Documents

Publication Publication Date Title
CN102867832B (zh) 具有接合焊盘结构的背照式传感器及其制造方法
US11735619B2 (en) Semiconductor image sensor device having back side illuminated image sensors with embedded color filters
US11901396B2 (en) Back side illuminated image sensor with reduced sidewall-induced leakage
CN102790058B (zh) 带有接合焊盘的半导体器件及其制造方法
KR101431309B1 (ko) 본딩 패드 및 실드 구조를 갖는 반도체 디바이스 및 이의 제조 방법
JP5930574B2 (ja) AlCuプロセスのCMOSイメージセンサーの大ビアボンディングパッドのアプリケーション
TWI721709B (zh) 半導體結構及用於形成半導體結構的方法
CN108231809A (zh) 一种背照式图像传感器及其制备方法
US11869916B2 (en) Bond pad structure for bonding improvement

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant