CN101768731A - 原子层沉积装置 - Google Patents

原子层沉积装置 Download PDF

Info

Publication number
CN101768731A
CN101768731A CN 200910265830 CN200910265830A CN101768731A CN 101768731 A CN101768731 A CN 101768731A CN 200910265830 CN200910265830 CN 200910265830 CN 200910265830 A CN200910265830 A CN 200910265830A CN 101768731 A CN101768731 A CN 101768731A
Authority
CN
China
Prior art keywords
substrate
atomic layer
layer deposition
base unit
loading
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN 200910265830
Other languages
English (en)
Other versions
CN101768731B (zh
Inventor
申寅澈
金京俊
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Kc Ltd By Share Ltd
KCTech Co Ltd
Original Assignee
KC Tech Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from KR1020080135963A external-priority patent/KR101046612B1/ko
Priority claimed from KR1020090047519A external-priority patent/KR101135853B1/ko
Application filed by KC Tech Co Ltd filed Critical KC Tech Co Ltd
Publication of CN101768731A publication Critical patent/CN101768731A/zh
Application granted granted Critical
Publication of CN101768731B publication Critical patent/CN101768731B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67754Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Robotics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

本发明公开一种可以同时装载和卸载多个基板的原子层沉积装置。所述原子层装置在利用处理模块移动多个基板时可以将所述基板装载和卸载,其包括:装卸载模块,其用于装载和卸载基板;处理模块,其具备同时收纳多个基板执行沉积工程的多个处理室,并具备吸入所述处理室中央部分的排放气体向所述处理室上部排出的配备有排气器的气体喷射单元;和传送模块,其处于所述装卸载模块和所述处理模块之间移送所述基板,具备将多个基板同时拾取移送的传送机器人。

Description

原子层沉积装置
技术领域
本发明涉及一种原子层沉积装置,特别涉及一种可以同时装载及卸载多个基板从而提高生产总量的原子层沉积装置。
背景技术
通常,为在半导体基板或玻璃等基板上沉积一定厚度的薄膜,使用利用溅射(sputtering)之类的物理冲突的物理气相沉积法(physical vapor deposition:PVD)和利用化学反应的化学气相沉积法(chemical vapor deposition:CVD)等薄膜制造方法。
由于半导体元件的设计规则(design rule)急剧细微化,因此需要细微模式的薄膜,而形成薄膜区域的阶梯差异也变得非常大。而原子层沉积方法(atomic layer deposition,ALD)不仅可以非常均匀地形成原子层厚度的微细模式,而且其阶梯覆盖(step coverage)也非常优秀,因此所述原子层沉积方法的使用正在增加。
原子层沉积法(ALD)在利用气体分子之间的化学反应这一点上与一般化学气相沉积方法相似。但是,通常的化学气相沉积(CVD)方法向处理室同时注入多个气体分子以在基板上沉积基板上部发生的反应生成物,与此不同,原子层沉积方法向处理室内注入一种气体物质后将其吹扫(purge),仅留住物理性吸附于加热的基板的表面的气体,然后注入其他气体,由此沉积在基板表面产生的化学反应生成物。通过这种原子层沉积方法形成的薄膜,具有阶梯覆盖特性非常优秀且可以形成不纯物含量低的纯粹薄膜的优点,因此当前受到广泛关注。
现有的原子层沉积装置为提高生产总量(throughput)开始了同时对多个基板执行沉积工程的半分批类型(semi-batch type)。通常半分批类型原子层沉积装置喷射种类互不相同的沉积气体,基板依靠气体喷射单元或者基座单元的高速旋转依次通过沉积气体被喷射的区域,由此沉积气体之间的化学反应生成物沉积在基板表面从而形成薄膜。
在这里,现有的原子层沉积装置具有两个半分批类型的处理室,可以同时对12张基板执行沉积工程。此外原子层沉积装置具有传送机器人,其从缓冲器向处理室传送基板并在处理室装载和卸载基板。在这里,现有的传送机器人每次装卸载和移送一张基板,而原子层沉积装置因空间限制通常只具有一台传送机器人。
但是,因为现有的原子层沉积装置只具有一台传送机器人来装卸载和移送基板,所以将12张基板全部移送及装卸载所需的时间非常多。因此,装卸载和移送基板时在传送机器人上被延误的时间也同样导致沉积工程的延误,所以存在生产总量和生产力低下的问题。此外,通常缓冲器存储25张或50张基板而处理模块对12张基板执行沉积工程,因此多余的基板会被剩下,处理多余的基板需替换缓冲器补充基板,可能会导致时间延误。
发明内容
为解决所述问题,本发明的目的在于提供一种在装卸载和移送基板时可避免发生时间延误的原子层沉积装置。
此外,本发明的目的还在于提供一种可提高沉积工程的生产总量和生产力的原子层沉积装置。
为达到所述本发明的目的,根据本发明的实施例,所述原子层沉积装置可向处理室传送多个基板时装卸载多个基板,可包括:装卸载模块,其装载和卸载基板;处理模块,其具备同时容纳多个基板执行沉积工程的多个处理室,并具备吸入所述处理室中央部分的排放气体向所述处理室上部排出的配备有排气器的气体喷射单元;和传送模块,其处于所述装卸载模块和所述处理模块之间移送所述基板,具备将多个基板同时揽收移送的传送机器人。
在这里,所述装卸载模块具备存储多个基板的装载舱和缓冲器,所述缓冲器在装载所述基板时可补充数量不足的基板,以使所述装载舱中存储的基板的数量与所述处理室中容纳的基板的数量成倍数关系。即,所述缓冲器在装载所述基板时在装载舱里补充张数不足的基板,可避免所述装载舱出现多余的基板,并可避免装载时因补充基板而发生的时间延误。例如,所述缓冲器可被配备于所述传送模块的一侧对所述缓冲器的内部压力有选择地进行减压和加压,以避免从所述传送模块取出所述基板时所述传送模块的真空遭到破坏。
在实施例中,所述传送机器人各自具有将基板一张张揽收的多个操作臂。例如,所述传动机器人包括两个操作臂,所述操作臂对应所述基板的直径横穿所述基板的中心,形成具有一定宽度的杆(bar)或者环形结构,以从所述基板下部支持所述基板。在这里,在装载所述基板时所述操作臂可以避免与升降针或其他构成物发生干涉。在这里,所述传送机器人在移送所述基板时所述操作臂沿上下方向重叠,在所述处理室中装载和卸载所述基板时所述操作臂在左右方向以“V”字形展开。
此外所述传送模块具备传感器,以用于检查所述基板是否被正常安装在所述传送机器人上,在所述操作臂左右以“V”字形展开以使所述基板一部分重叠的状态下,所述传感器检查所述基板是否被安装。例如,所述传感器可以包含位于所述传送模块的下部的光传感器,所述光传感器对安装于所述操作臂的所述基板照射光线,以确认所述基板是否被正常安装。
在实施例中,所述处理室可包括:基座单元,其在水平方向安装并支持所述多个基板,且该基座单元可以旋转以使所述基板可以公转,而且该基座单元在所述处理室内部里可以升降移动;气体喷射单元,其处于所述基座单元上部,形成多个喷射区域,所述多个喷射区域定义为提供在所述基板上沉积薄膜的沉积气体中的一种气体的多个喷射孔组;排气器,其位于所述气体喷射单元,吸入并排出所述处理室内部的排放气体;加热单元,其位于所述基座单元下部,加热所述基板和所述基座单元;和升降针,其位于所述基座单元且安装所述基板,该升降针为在所述基座单元升降移动时突出于所述基座单元上部而升降移动。
在这里,所述喷射区域由喷射源气体的至少一个源区域和喷射吹扫气体的至少一个吹扫区域构成,所述排气器可包括:排气管,其在所述气体喷射单元中沿着喷射区边界配置,定义为吸入和排出所述处理室内部的排放气体的多个排气孔组;和中央排气块,其与所述排气管相通,位于所述气体喷射单元的中央部位以吸入和排出所述基座中央部分的排放气体。此外所述排气器可包括多个排气管以将在所述各个源区域被吸入的排放气体通过互不相同的排气缓冲器排出。此外,所述排气管,可使在一个源区域中被吸入的排放气体与在所述吹扫区域中被吸入的排放气体通过同一个排气缓冲器排出。此外,所述中央排气块,可连通或分离在所述中央排气块中被吸入的排放气体排出的通路与所述排气管的所述排气缓冲器。同时,所述中央排气块可具有对应所述基座中央部分大小的区域。同时,所述中央排气块可通过互不相同的通路排出在所述每个源区域中被吸入的排放气体。
此外,所述排气管进一步包括横穿所述喷射区域的辅助排气块,所述辅助排气块可连通或分离在所述辅助排气块中被吸入的排放气体的排出通路与所述排气管及所述中央排气块。
在实施例中,所述升降针可贯通所述基座单元并延长至所述基座单元下部,且所述升降针在所述基座单元下降时其下端部分可接触所述加热单元向所述基座单元的上部突出,在所述基座单元上升时可依靠所述升降针的自重下降。
此外所述加热单元可具备针引导孔,所述针引导孔在所述基座单元下降时收纳所述升降针的下端部分而不会使所述升降针突出,所述针引导孔可在所述基座单元下降时收纳除装载和卸载所述基板的两张基板外的其他基板上对应安装位置的升降针。即,在装卸载所述基板时,所述安装位置的升降针不会突出,因此所述基板保持安装在所述基座单元的状态,而装载位置的升降针突出,因此所述基板可被安装在所述升降针上。此外,所述操作臂以上下重叠的状态移送所述基板,因此装卸载所述基板时安装在所述操作臂上的所述基板出现高度差,所述基板装卸载位置的两张基板对应的装载位置的升降针对应所述基板的装卸载高度差突出为互不相同的高度。
在实施例中,所述加热单元,在内部密封的外壳内部可埋有电源接通就发热的具有金属丝形态或者钨丝形态的发热元件。在这里,所述加热单元可以曲线型排列一个或多个发热元件,以在所述基板对应的位置形成多个发热区域。此外,所述外壳可防止所述发热元件发出的热量从所述加热单元下部放出。或者,在所述外壳的下部可具备屏蔽材料以避免所述发热元件中发出的热量从所述加热单元下部放出。
如上所述,本发明具有如下优点:
首先,因具备拥有多个操作臂的传送机器人,所以可以至少同时移送和装卸载两张以上的基板,因此可以有效缩短装卸载和移送基板的时间,并避免在传送机器人发生时间延误;
其次,由于配置缓冲器,因装载舱中收纳的基板的数量与处理室中同时收纳的基板的数量,装载和卸载基板时可避免装载舱中剩余一部分基板,进而避免因多余的基板的处理造成的工程延误。
附图说明
图1是为示出根据本发明的实施例的原子层沉积装置的整体***的平面图;
图2和图3作为示出在图1的原子层沉积装置的传送模块中检查基板正常安装与否的动作的示意图,其中,图2是传送机器人的正面图,图3是传送机器人的关键部位立体图;
图4是图1的原子层沉积装置的剖视图;
图5是图4的处理模块的关键部位立体图;
图6是图5的处理模块中气体喷射单元的平面图;
图7是图6的气体喷射单元中沿着I-I线的剖视图;
图8是为示出根据图6的气体喷射单元另一个实施形态的气体喷射单元的平面图;
图9是图8的气体喷射单元中沿着II-II线的剖视图;
图10是图8的气体喷射单元中沿着III-III线的剖视图;
图11是为示出在图1的原子层沉积装置中传送机器人向基座单元装载基板的动作的关键部位立体图;
图12是为示出图4和图5的处理模块中加热单元的立体图;
图13是图12的加热单元的剖视图;
图14是为示出图12的加热单元中发热元件模式的一个示例的平面图。
具体实施方式
下面,参照附图对本发明的实施例进行详细描述,但是本发明并不受实施例的限制或限定。在对本发明的描述中,对于公知功能或公知结构不再具体描述,以清晰地描述本发明的要点。
以下,将参照图1至图14对根据本发明实施例的原子层沉积装置进行详细描述。
首先,参照图1对根据本发明实施例的原子层沉积装置的整体***进行详细描述。图1是为示出根据本发明实施例的原子层沉积装置的平面图。
参照图1,原子层沉积装置大体上由以下部分构成:向原子层装卸载基板1的装卸载模块(loading/unloading module)10;执行原子层沉积工程的处理模块(process module)30;和在装卸载模块10和处理模块30之间移送基板1的传送模块20。
在这里,基板1可以是硅晶片(silicon wafer)。但是本发明的对象并不局限于硅晶片,基板1可以是液晶显示器(liquid crystal display:LCD)、等离子显示器面板(plasma display panel:PDP)等用于平板显示器装置的包括玻璃在内的透明基板。此外,基板1的形状和大小不局限于附图,实质上其可以具有圆形和矩形板等各种各样的形状和大小。
装卸载模块10具备向原子层沉积装置装载和卸载基板的组成部件和存储及收纳的组成部件。例如,装卸载模块10可包含以下部件:装载舱(loadport)11,其存储多个基板1;装载缓冲单元12,其在基板从装载舱11被取出向传送模块20移动之前暂时收纳基板;装载锁单元(load-lock unit)13,其位于装载缓冲单元12与传送模块20之间,可进行减压和加压以避免移送基板1时原子层沉积装置的内部因外部气体流入而发生急剧变化,起缓冲的作用。
在这里,装卸载模块10的各个组成部件的详细技术组成不是本发明的要点,因此将省略详细的描述和图示而只简单描述其主要组成部件。
例如,装载舱11作为容纳存储多个基板的装置,可以是暗盒(cassette)或前端开口片盒(front opening unified pod:FOUP)。此外,由于处理模块30对多个基板1同时执行沉积工程,所以装卸载模块10可具备多个装载舱11a、11b、11c。
装载缓冲单元12从装载舱11取出基板1移送至装载锁单元13,反过来也从装载锁单元13向装载舱11移送收纳基板1。在这里,装载缓冲装置12可包括:机器人(未图示),其从装载舱11和装载锁单元13中取出和收纳并移送基板1;***(aligner,未图示),其在取出和收纳基板1时将基板1整齐排列。
同时,原子层沉积工程的特征上处理模块30内部维持高真空状态,传送模块20也为了向处理模块30移送基板1而与处理模块30维持类似程度的高真空。因此,在装卸载模块10中装载或卸载基板1时传送模块20与大气连通的话会破坏传送模块20的真空,导致传送模块20和处理模块30的内部环境急剧变化,而为了在装载和卸载基板1后可以执行原子层沉积工程必须使传送模块20和处理模块30的内部维持真空,否则其有可能成为延误工程和出现不良产品的原因。装载锁单元13可使装卸载模块10与传送模块20选择性地连通或密闭/隔开,使内部压力适应装卸载模块10与传送模块20进行减压及加压,可以在装卸载模块10与传送模块20之间避免传送模块1的真空受到破坏的同时移送基板1。此外,装载和卸载基板1时与大气连通的空间利用装载锁单元13加以限制,由此可避免加压及减压时发生时间延误。
传送模块20具备可以同时移送多个基板的移送机器人21。移送机器人21的详细技术构造将在后文参照图2和图3进行描述。
处理模块30包括:处理室31,其容纳基板1执行沉积工程;和沉积气体供给器33,其为沉积将沉积气体提供给处理室31。例如,处理模块30具有可以各容纳6张基板1的两个处理室31,可同时对12张基板执行沉积工程。但是本发明不局限于所述附图,在处理模块30中可以同时处理的基板1的数量与处理模块30的形态实质上可以进行各种改变。
同时,处理模块30中同时执行沉积工程的基板1的数量与装载舱11具有的基板1的数量之间不成倍数关系时,可能会出现装载舱11的一部分基板1剩余或不足。在本发明的实施例中,如上所述,在传送模块20的一侧配备有缓冲器14,以使在装载和卸载基板1时装载舱11和处理模块30的基板1的数量成倍数关系,避免装载舱11出现剩余一部分基板1或不足。
缓冲器14作为与装载舱11类似的可以容纳存储多个基板1的装置,被配备在传送模块20的一侧,使传送机器人21可以从缓冲器14中取出基板1。此外,为了避免和传送模块20一起在移送基板1时的传送模块20的真空被破坏,缓冲器14与装载锁单元13相似,可选择性地对内部压力进行加压或减压,并可以选择性地封闭与传送模块20的连接器。
在原子层沉积装置中装载基板1的方法简要介绍如下:例如如图1所示,处理模块30同时容纳12张基板1,装载舱11可具有存储有25张基板1的3个舱11a、11b和11c。此外传送机器人21同时将两张基板1移送并各自装载到两个处理室31上。
在这种情况下,基板1的装载,首先,装载缓冲单元12从1号至3号舱即11a、11b和11c中各自取出两张基板1,并在装载锁单元13中将从装载缓冲单元12取出的基板向传送机器人21各装载一张。在此,由于装卸载模块10具有两个装载锁单元13,所以可以将每张基板1各自装载到传送机器人21的两个操作臂211、212上,传送机器人21可以向两个处理室31依次每次同时装载2张基板1。
如上所述,从装载舱11取出基板1时,在各装载舱11a、11b和11c中每次2张取出12次后会剩下一张基板1,剩下的基板1可以从缓冲器14中收纳的基板1取出,因此可以填满处理室31。
根据本实施例,由于具有缓冲器14,所以处理模块30中收纳的基板1的数与装卸载模块10中存储的基板1的数量相互之间构不成倍数关系,因此可以避免装载舱11中的基板1出现剩余的现象,并避免为了补充基板1而造成的工程延误,由此可以提高总生产量。
然而,本发明不局限于所述示图,处理室31可容纳的基板1的数量和装载舱11的数量与缓冲器14的数量和位置、缓冲器14容纳的基板1的数量等实质上可以进行多种改变。
下面,将参照图2和图3对传送模块20和传送机器人21进行描述。图2和图3分别为图1的原子层沉积装置的传送模块20中检查基板正常安装与否的动作的示意图,图2是传送机器人21的正面图,图3是传送机器人21的关键部位立体图。
同时,在下文中为了描述的方便,将以可同时移送2张基板1的形态的传送机器人21为例进行描述,但是本发明不局限于所述附图,且将2张以上的多个基板1同时传送的传送机器人实质上也同样适用。
参照附图,传送机器人21为同时移送两张基板1具备两个操作臂211、212和驱动臂213、214及驱动器215,所述驱动臂213、214和驱动器215可使操作臂211、212进行直线移动、旋转移动以及升降移动。
操作臂211、212可以从基板1的下部将基板1拾取移送,且具备与基板1的直径对应的预定长度和宽度与大小,以牢固地拾取基板1。此外,操作臂211、212牢固地支持基板1的下部的同时,具备向处理模块30装载和卸载基板1时不与升降针321发生干涉的形态。例如,操作臂211、212可具有“C”字或
Figure G2009102658306D00081
型的环状结构。但是传送机器人21及操作臂211、212的形态不局限于附图,操作臂211、212实质上可以具有接触支持基板1的下部或者边缘等多样的形态。
驱动臂213、214及驱动器215连接在操作臂211、212供给和传达操作臂211、212的驱动必需的驱动力,以使操作臂211、212可以直线移动和旋转移动。
同时,操作臂211、212同时移送两张基板1时,基板1的大小越大,传送模块20和传送机器人21的大小就越大,并且传送机器人21的操作所需的空间的大小也增加。在本实施例中,操作臂211、212上下被隔开一定的间隔以减小传送模块20及传送机器人21的大小,在移动基板1时,如图2所示,操作臂211、212并排,以在上下方向上重叠的状态移动,在装卸载基板1时,如图3所示,操作臂211、212左右以一定角度旋转以“V”字形展开。
此外,传送模块20可具备传感器22,所述传感器22用于确认两张基板1是否被牢固地安装在传送机器人21上。在这里,如移送基板1时的重叠状态下确认两张基板1是否被安装牢固较困难,因此在传感器22检查是否安装好时使两张基板1只重叠一部分,并在操作臂211、212左右以一定角度旋转的状态下进行检查。
例如,如图2和图3所示,传感器22可具备两个光传感器,所述光传感器位于在基板1非重叠部分和不受处理臂211、212干涉的位置中可向安装的基板1照射光的位置。在这里,从传感器22照射出光的时候,在两张基板1中的任何一张没有被牢固安装的情况下,可以从传感器控制器211发出异常信号以中断工程。
然而,本发明并不局限于所述附图,传感器22的位置和数量实质上可以被进行各样改变,同时传感器22除光传感器以外实质上还可以使用可确认基板1是否被牢固安装的各种手段。
下面,将参照图4至图14对处理模块30进行详细描述。
首先,将参照图4至图5对处理模块30进行详细描述。其中,图4是图1的原子层沉积装置中处理模块30与传送模块20的剖视图,图5是图4的处理模块30的关键部位立体图。
处理模块30包括容纳6张基板1执行沉积工程的两个处理室31,处理室31内部具备:基座单元320,其用于安置基板1;气体喷射单元310,其用于向基板1提供沉积气体;以及加热单元330,其为沉积工程加热。在这里,由于处理模块30的详细技术组成不属于本发明的要点,因此省略详细描述和图示,只简单描述其主要组成部件。
同时,图4中的图示符号301表示装卸载基板1时传送机器人21出入用的出入口301,图示符号302表示用于开闭出入口301的门302。即,装卸载基板1时如图4所示,由门302打开出入口301使传送机器人21可以进出,在执行沉积工程时门302关闭密封处理室31。
气体喷射单元310处于处理室31上部,向由基座单元302支持的基板1提供沉积气体。
在这里,原子层沉积方法是,随着含有为形成薄膜源物质的种类不同的沉积气体在基板1表面发生反应,形成预定的薄膜,在本实施例中,气体喷射单元310被固定,基座单元320与气体喷射单元310平行并可以旋转。此外,气体喷射单元310具备各自喷射沉积气体的喷射区域400(参照图6)以根据基座单元320的旋转向基板1依次提供沉积气体,处理室31内部具备吸入和排出排放气体的排气器350。
作为参考,本发明中所谓的沉积气体指的是在沉积气体工程中使用的气体,其包括源气体和吹扫气体,所述源气体为构成向基板1沉积薄膜的源气体的至少一种,所述吹扫气体为将源气体从基板1除去的吹扫气体。在本实施例中可使用相互发生化学反应形成薄膜的两种源气体和用于吹扫源气体的1种吹扫气体。例如,为沉积硅薄膜,第一源气体S1可使用包含硅的硅烷(Silance,SiH4)或乙硅烷(Disilane,Si2H6)、四氟化硅(SiF4)、有机金属化合物(metal organic chemical)源气体中的任何一种,第二源气体S2可使用可由氧气(O2)或臭氧(O3)以及等离子体(plasma)分解的反应性气体。此外吹扫气体PG使用不与第一源气体S1、第二源气体S2以及基板1上沉积的薄膜发生化学反应的稳定气体,例如,可使用氩气(Ar)或氮气(N2)、氦气(He)中的一种或两种以上的混合气体。但是本发明不局限于此,沉积气体的数量和类型实质上可以进行多种改变。
其次,参照图6至图10对气体喷射单元310进行描述。作为参照,图6是图5的处理模块30中气体喷射单元310的平面图,图7是图6的气体喷射单元310中沿着I-I线的剖视图。此外,图8是示出图6的气体喷射单元310的变形实施例的平面图,图9是图8的气体喷射单元310中沿着II-II线的剖视图,图10是图8的气体喷射单元310中沿着III-III线的剖视图。
例如,如图6所示,气体喷射单元310可以是各自喷射4种沉积气体而成的喷射区域400扇形形状,根据基板1的移动方向,由第一源气体S1喷射的第一源区域410、吹扫气体喷射的第一吹扫区域430、第二源气体喷射的第二源区域420和吹扫气体喷射的第二吹扫区域440构成。
气体喷射单元310具有多个喷射孔311和排气缓冲器312,所述多个喷射孔311用于沉积气体喷射,排气缓冲器312是向喷射孔311提供沉积气体的通路,并且在排气缓冲器312的一侧具有向排气缓冲器312提供沉积气体的沉积气体供给器33。例如,沉积气体供给器33可由提供第一气体S1的第一供给源33a、提供第二气体S2的第二供给源33b和提供吹扫气体PG的第三供给源33c构成。
在这里,气体喷射单元310形成具有预定样式的喷射孔311,以其对基板1均衡的提供沉积气体。此外,喷射孔311喷射的沉积气体的轨迹,在基板1按基座单元320的旋转移动时对基板1以直线形态接触,此接触好比均匀扫过基板1的整体表面。例如,将喷射孔311排置为相对于基板1移动方向大致竖直的直线形态,基板1与喷射孔311种喷射出的沉积气体以直线形态交叉,因此在基板1的表面可以维持一定的与沉积气体接触的时间。但是本发明并不局限于附图,喷射孔311的大小、形状与排列形态实质上可以进行多种改变。
气体喷射单元310具有定义为向基板1分别喷射一种沉积气体的多个喷射孔311组的喷射区域400,并具有对应沉积气体的数量的多个喷射区域400。例如,喷射区域400划分为喷射第一源气体S1的第一源区域410、喷射第二源气体S2的第二源区域420和分布于第一源区域410及第二源区域420之间喷射吹扫气体PG的两个吹扫区域430、440。此外,如图6所示的虚线所示,喷射区域400可以是将气体喷射单元310大致4等分的扇形。
气体喷射单元310连接着排放气体排出器35,其用于将处理室31内的排放气体吸入放出,并具备排气器350,其将处理室31内的排放气体从基板1上部吸入并向外部排出。所述排气器350由多个排气管511、512与排气缓冲器352构成,其中所述排气管511、512由吸入处理室31内部排放气体的多个排气孔351构成,所述排气缓冲器352是从排气孔351吸入排放气体的通路。
在这里,排气管511、512定义为沿着喷射区域400的边界形成的多个排气孔351组,其作用是通过排气孔351吸入排出排放气体并沿喷射区域400的边界分布以划分喷射区域400。例如,排气器350可具备沿各喷射区域400边界分布的两个“U”字或形的排气管511、512,以将气体喷射单元310大致划分为4个区域。在这里,排气器350使两个“V”字形排气管511、512呈水平方向对称且相对的“V”字形的顶点位于气体喷射单元310的中心,以使其最大确保源区域410、420的面积且在源区域410、420中间起到避免源气体混合的物理屏障的作用。
同时,喷射孔311的大小、个数与排列形态不局限于附图,为向基板1均衡地喷射沉积气体实质上可以用多种形态排列喷射孔。此外,喷射孔311可以是一个圆孔或缝的形态。与此相同,排气孔351的大小、个数与排列形态不局限于附图,实质上可以进行多种改变。
特别是,排气器350为避免由喷射区域400的形态特征上造成的在气体喷射单元310的中央位置上靠近的第一源区域410、第二源区域420之间发生第一源气体S1和第二源气体S2的相互混合,可配置有排气管511、512。下文中,排气器350中气体喷射单元310中央位置的排气管511、512相互邻接形成的部分叫做中央排气块515、516,在图6和图8中以虚线框表示。
在这里,中央排气块515定义为排气管511、512中气体喷射单元310的中央部分所形成的部分,与排气管511、512相连。但是与所述的实施例不同,可以使中央排气块515具有独立于排气管511、512的排气缓冲器352。在这里,排气管511、512与中央排气块515相连是指排放从排气管511、512吸入的排放气体的缓冲器和排放从中央排气块515吸入的气体的缓冲器互相连通。不仅如此,如图6和图8所示,也包括排气管511、512与中央排气块515相连的形态。
同时,由于在排放气体中含有未反应的源物质,在第一源区域410和第二源区域420中被吸入的排放气体可能会在排气缓冲器352内部互相反应生成颗粒。因此,必须在第一源区域410、第二源区域420中通过各自独立的排气管511、512将排放气体排出。但是,由于吹扫气体PG与第一源气体S1、第二源气体S2不发生化学反应,因此在吹扫区域430、440中可以使用与第一源区域410、第二源区域420相同的排气管511、512将气体排出。在本实施例中,排气管由第一排气管511和第二排气管512构成,其中第一排气管511从第一源区域410和吹扫区域430、440吸入气体,第二排气管512从第二源区域420和吹扫区域430、440吸入气体。此外,如图7所示,在中央排气块516内部具有排气缓冲器352a、352b,其划分区域以使中央排气块515也让第一源区域410和第二源区域420中吸入的排放气体不互相混合。  在这里,由于喷射区域400和气体喷射单元310的形态特征,在气体喷射单元310的中央位置第一源区域410和第二源区域420互相邻接。但是根据本实施例,在气体喷射单元310的中央位置具有中央排气块515,因此中央排气块515可以在第一源区域410和第二源区域420之间形成物理屏障,避免第一源气体S1和第二源气体S2发生混合。
同时,如图5所示,若基座单元320高速旋转,则在基座单元320上的排放气体流入基座单元320中央部分CA的过程中可能产生漩涡而引发停滞现象。在这里,中央部分CA定义为在基座单元320的中心附近排放气体流入的同时产生漩涡的区域,大致上是指基座单元320中不安装基板1的区域。
中央排气块515如上所述,可增加发生排放气体的漩涡及停滞现象的基座单元320中央部分CA的排气量,同时起到避免中央部分CA中发生排放气体漩涡和停滞的效果。
详细来讲,中央排气块515在对应基座单元320中央部分CA的部位,即气体喷射单元310的中央位置具有多个排气孔,以此增加中央部分CA的排气量。此外,排气管511、512使沿着喷射区域400边界的两个排气管511、512在气体喷射单元310的中央位置具有互相邻接的形状,中央排气块515在对应中央部分CA的整个区域排置排气孔351。例如如图6和图8所示,中央排气块515在气体喷射单元310的中央位置具有一定长度的直线形形态。此外,中央排气块515可具有比排气管511、512扩张的形态,以对应中央部分CA大小来对应的排气管的大小。
然而,本发明不局限于所述附图,排气管511、512和中央排气块515的形态和位置实质上可以进行各种更改。
同时,为增加排气管511、512排气量,可增加排气管511、512的面积及排气孔351的数量。
图8至图10作为所述实施例的变形实施例,示出了增加排气管511、512面积的气体喷射单元310的一个示例。下面描述的实施例中,除所述实施例的排气管511、512以外,其他部分实质上是相同的,并且对于相同的组成部件使用相同的名称和图示符号,重复描述将被省略。
参照附图,排气器350由排气管511、512和中央排气块516构成,其中排气管511、512沿着喷射区域400的边界分布,中央排气块516位于气体喷射单元310的中央位置,吸入和放出基座单元320的中央位置CA的排放气体。
此外,排气器350可以具有两个排气管511、512和两个辅助排气块513、514,其中排气管511、512具有将气体喷射单元大致划分成4个区域的“U”字形或
Figure G2009102658306D00141
形结构,辅助排气块513、514沿着气体喷射单元310的直径方向横穿气体喷射单元310。此外,排气管511、512是避免从第一源区域410和第一源区域420中吸入的排放气体的两个排气管511、512,辅助排气块513、514横穿吹扫区域430、440。
在这里,吹扫气体PG的喷射压力较高时可能会使第一源气体S1和第二源气体S2偏向一个方向,造成基板1上沉积的薄膜的厚度不均衡。辅助排气块513、514在吹扫区域430、440中可起到调节吹扫区域PG喷射压力的作用。
同时,图8中未描述的图面符号431、432、441、442表示由辅助排气块513、514划分的吹扫区域430、440的各个区域。
排气管511、512和排气块513、514可以连通也可以相互独立。但是,排气管511、512和排气块513、514都可避免从第一源区域410和第二源区域420被吸入的排放气体发生混合。
在气体喷射单元310的中央位置具有中央排气块516,其非常稠密地排列有排气孔351吸入基座单元320中央部分CA的排放气体。排气块516和排气管511、512及辅助排气块513、514可以是连接的也可以相互独立。但是,中央排气块516具有两个以上的中央排气块516以避免从第1源区域410和第2源区域420被吸入的排放气体发生混合,如图10所示,所述两个中央排气块516具有通路互相独立的独立排气缓冲器352a、352b。
下面,参照图11至图14,对根据本发明的实施例的基座单元320和加热器单元330进行描述。图11是为示出原子层沉积装置中传送机器人21向基座单元320装载基板的动作的关键部位立体图,图12是为示出图4和图5的处理模块30中的加热单元330的立体图,图13是图12的加热单元330的剖视图,图14是为示出图12的加热单元330中发热元件333模式的一个示例的平面图。
基座单元320以生产总量(throughput)优秀的半分批类型(semi-batchtype)将多个基板1水平安装在基座单元320上面,并且使多个基板1沿着基座单元320的圆周方向以放射状排列。例如,基座单元320将6张基板1相互间隔一定距离安装,根据基座单元320的旋转基板1以基座单元320的中心点为基准进行公转。此外在基座单元320下部具有驱动轴325,使基座单元320在旋转基座单元320及装卸载基板1时升降移动。
基座单元320中用于安装基板1的位置具有安装基板1的多个升降针321。例如,一张基板1用3个升降针321以三角形形态支持3个点,在基座单元320中每个安装基板1的位置可具有3个升降针321。
基板1不是由传送机器人21直接安装在基座单元320上,如图11所示,装载基板1时,升降针321从基座单元320突出一定的高度,将基板首先安装在升降针321上,然后随着升降针321的下降将其安装在基座单元320的表面。
升降针321贯通基座单元320,随基座单元320的升降移送而升降移动。
详细来讲,如图4所示,在装载和卸载基板1时基座单元320向下下降到基座单元320的下面与加热单元330接近的位置。由于升降针321贯通基座单元320以一定长度延长到下部,在基座单元320下降时加热单元330的上面接触升降针321的下端限制升降针移动的同时,升降针321向基座单元320上部以一定高度突出。此外,基座单元320上升时,升降针321依靠自重向升降针321下部移动,使基板1被安装在基座单元320的表面以执行沉积工程。在这里,为避免升降针321向基座单元320的下部坠落,配置升降针321的上端一部分比针引导孔的直径大,以将升降针321的上端部分卡在基座单元320的针引导孔321上。
同时,在基座单元320上装载和卸载基板1时,在所有升降针321升降移动的情况下,可能会发生已装载的基板1由于升降针321的移动而脱离原位及坠落的事故,因此设置成仅使对应装卸载基板1的位置的两张基板1的升降针321移动。即,升降针321的升降移动,由其在基座单元320升降移动时是否接触加热单元330实现,因此在加热单元330上配有贯穿该加热单元330的针引导孔331,以避免升降针321升降移动。在这里,为方便描述,将与在出入口301由传送机器人21装卸载的两张基板1对应的位置叫做装载位置332a,将与其余4张基板1对应的位置叫做安装位置332b。如图12所示,加热单元330中,在装载位置332a,为使升降针321突出而未设置针引导孔331,与此相反,在其余的安装位置332b因具有针引导孔331,所以在基座单元320下降时升降针321的下端部分可以通过针引导孔331向下移动而避免升降针321突出。
传送机器人21每次装卸载两张基板1,基座单元安装6张基板1,因此基座单元以120°的间隔根据旋转每次可以装卸载两张基板1。在这里,在升降针321被收纳在加热单元330的针引导孔331的状态下旋转加热单元320可能会损伤升降针321和基座单元320以及加热单元330等组成部件,因此在旋转基座单元320时为使升降针321可以从针引导孔331中完全出来而使基座单元320在上升的状态下旋转,使装载位置332a的升降针321突出可以装卸载基板1,使其余安装位置332b的升降针321被收纳在针引导孔331中可保持基板1被安装的状态。
此外,由于传送机器人21的操作臂211、212有高度差而使两张基板1以不同的高度被装载和卸载,所以装载位置332a的升降针321也配合被装载的基板1的高度使升降针321以互不相同的高度突出。
同时,传送机器人21同时将两张基板1装载到基座单元320上,为将基板1牢固地装载和卸载可以不同的模式布置升降针321。例如,可布置升降针321使操作臂211、212相对升降针321所处的三角形的底边沿垂直方向移动。此外,在同时装卸载两张基板1和操作臂211、212出入时为避免基板1发生互相冲突或干涉而以预定的形态布置将2个装载位置332a所具有的升降针321。例如,装载位置332a的6个升降针321可以正三角形或等腰三角形的形态各自分布,6个升降针321形成的两个三角形的底边可被布置在预定虚拟直线(图12中以粗点线标示)上。
加热单元330位于基座单元320下部,以沉积工程所需温度加热基座单元320和基板1。
加热单元330如图13和图14所示,具有将接通电源就发热的发热元件333埋入外壳335的形态。发热元件333具有被埋入外壳内部的形态,因此可以避免进行沉积工程时加热单元330外露在沉积气体中而被沉积气体氧化或损伤而造成加热单元330寿命缩短,并避免加热单元330由于不纯物质的沉积而变得温度分布不均衡。在这里,外壳335内部保持真空或高真空状态,以使加热单元330阻挡沉积气体流入外壳335内部并将发热元件333发出的热量有效地传达给基座单元320。
外壳335形成为将发热元件333放出的热量有效地传递给基座单元,且阻止热量传递至加热单元330下部。例如,外壳335可以用不会因发热元件333发出的热量而发生变形的材料制作。例如,外壳335可以用氮化物陶瓷、碳化物陶瓷或石墨(graphite)材料做成。同时在外壳335的下部,即,外壳335中向着加热单元330下面的部分可具有阻止发热元件333发出的热量传递至加热单元330下部的屏蔽材料(未图示)。在这里,外壳335下部具有屏蔽材料或者使外壳335下部配备可以屏蔽热量的材料,可以避免发热元件333发出的热量造成加热单元330下部具有的组成物发生热变形,并限制发热元件333发出的热量仅向着加热单元330上部传递,即使热量向基座单元320方向传递,从而提高加热单元330的传热效率。
发热元件333可以是接通电源就发热的预定的电阻性加热元件,为使加热元件在外壳335内部均衡加热基板1,其可具有金属丝形态,以便布置为预定的一定形态。例如,发热元件333可以包括钨丝(filament)、线圈(coil)、碳导线(carbon wire)等。
发热元件333可排置为任意的曲线形态以加热和维持基板1的温度。此外,发热元件333可以经由整个加热单元330排列,或者为了更加精密、均衡地加热和维持基板1的温度,如图14所示,该发热元件333可以设置于各基板1对应的位置上,以形成多个(例如6个)发热区域。图14示出了发热元件333的模式及发热元件的布置式样的一个示例。如图14所示,可在各个基板1对应的区域分别配备以预定的的曲线形状布置的6个发热元件333。在这里,加热单元330具有6个独立的发热元件333,可形成6个发热区域。在这种情况下,6个区域各依发热元件333加热,因此可以均衡加热各区域并维持均衡的温度分布。此外,可调整各个发热元件333接通的电源部分控制发热元件333的温度。
在这里,发热元件333具有自由变形金属丝的形态,因此可以形成为任意的避开组成物,且对基板1进行预定的加热的形态,以避免该发热元件333与加热单元330内部的组成物及针引导孔331发生干涉。
同时,发热元件333须具备与电源供给器(未图示)连接的端子部(未图示),而发热元件333形成为使这种端子部的数量最少。
但是本发明不局限于附图,发热元件333可以具有一定的曲线形态或任意自由曲线形态,此外实质上当然可以具有螺旋形曲线、同心圆形状、直线形状等各种形状。
如上所述,在本发明中依照具体组成部件等特征事项和有限的实施例及附图进行了描述,但所述描述只是为了帮助全面、容易地理解本发明,本发明不受所述实施例的限制,具有本发明所属领域的普通知识的技术人员都可以对所述记载进行各种修改和变形。因此,本发明的思想不受所述实施例限制,本发明的权利要求范围及其等同物或等同物的变形的所有内容均属于本发明思想的范畴。

Claims (19)

1.一种原子层沉积装置,其特征在于,包括:
装卸载模块,其装载和卸载基板;
处理模块,其具备同时收纳多个基板执行沉积工程的多个处理室,并具备吸入所述处理室中央部分的排放气体向所述处理室上部排出的配备有排气器的气体喷射单元;和
传送模块,其处于所述装卸载模块和所述处理模块之间移送所述基板,具备将所述多个基板同时拾取移送的传送机器人。
2.如权利要求1所述的原子层沉积装置,其特征在于,所述装卸载模块,具备存储所述多个基板的装载舱和缓冲器,
所述缓冲器用于补充在装载所述基板时数量不足的基板,以使所述装载舱中存储的基板的数量与所述处理室中收纳的基板的数量成倍数关系。
3.如权利要求2所述的原子层沉积装置,其特征在于,所述缓冲器配备于所述传送模块的一侧,对所述缓冲器的内部压力有选择地进行减压/加压,以避免从所述传送模块取出所述基板时所述传送模块的真空遭到破坏。
4.如权利要求1所述的原子层沉积装置,其特征在于,所述传送机器人,包括分别拾取所述基板的多个操作臂,
所述操作臂,对应所述基板的直径,横插所述基板的中心,具有一定宽度的杆或者环的形态,以从所述基板下部支持所述基板。
5.如权利要求4所述的原子层沉积装置,其特征在于,所述传送机器人,在移送所述基板时所述操作臂上下方向重叠,在所述处理室中装载和卸载所述基板时所述操作臂沿左右方向以“V”字形展开。
6.如权利要求4所述的原子层沉积装置,其特征在于,所述传送模块具备检查所述基板是否被正常安装在所述传送机器人的传感器,所述传感器在使所述操作臂左右以“V”字形展开,以所述基板一部分重叠的状态下检查所述基板是否被安装好。
7.如权利要求1所述的原子层沉积装置,其特征在于,所述处理室包括:
基座单元,其在水平方向安装并支持所述多个基板,且可以旋转以使所述基板可以公转,而且在所述处理室内部里可以升降移动;
气体喷射单元,其处于所述基座单元上部,形成多个喷射区域,所述多个喷射区域为提供在所述基板上沉积薄膜的沉积气体中的一种气体的多个喷射孔组和包括至少一个喷射源气体的源区域和至少一个喷射吹扫气体的吹扫区域;
排气器,其处于所述气体喷射单元,吸入并排出所述处理室内部的排放气体;
加热单元,其处于所述基座单元下部,加热所述基板和所述基座单元;
升降针,其处于所述基座单元且安装所述基板,其升降移动以根据所述基座单元的升降移动向所述基座单元上部突出。
8.如权利要求7所述的原子层沉积装置,其特征在于,
所述排气器包括:
排气管,其在所述气体喷射单元中沿着喷射区边界配置,该排气管为吸入和排出所述处理室内部的排放气体的多个排气孔组;和
中央排气块,其与所述排气管相通,位于所述气体喷射单元的中央部位以吸入和排出所述基座中央部分的排放气体。
9.如权利要求8所述的原子层沉积装置,其特征在于,
所述排气器包括至少两个排气管以将在所述各个源区域被吸入的排放气体通过互不相同的排气缓冲器排出。
10.如权利要求9所述的原子层沉积装置,其特征在于,
所述排气管使在一个源区域中被吸入的排放气体与在所述吹扫区域中被吸入的排放气体通过同一个排气缓冲器排出。
11.如权利要求8所述的原子层沉积装置,其特征在于,
所述中央排气块连通或分离在所述中央排气块中被吸入的排放气体排出的通路与所述排气管的所述排气缓冲器。
12.如权利要求8所述的原子层沉积装置,其特征在于,
所述中央排气块具有对应所述基座中央部分大小的区域。
13.如权利要求8所述的原子层沉积装置,其特征在于,
所述中央排气块通过互不相同的通路排出在所述每个源区域中被吸入的排放气体。
14.如权利要求8所述的原子层沉积装置,其特征在于,
所述排气管进一步包括横穿所述喷射区域的辅助排气块;
所述辅助排气块连通或分离在所述辅助排气块中被吸入的排放气体的排出通路与所述排气管及所述中央排气块。
15.如权利要求7所述的原子层沉积装置,其特征在于,
所述升降针贯通所述基座单元,延长至所述基座单元下部;
所述升降针在所述基座单元下降时,该所述升降针的下端部分接触所述加热单元向所述基座单元的上部突出,在所述基座单元上升时依靠所述升降针的自重下降。
16.如权利要求15所述的原子层沉积装置,其特征在于,
所述加热单元具备在所述基座单元下降时收纳所述升降针的下端部分以避免所述升降针突出的针引导孔;
所述针引导孔在所述基座单元下降时,收纳除装载和卸载所述基板的两张基板外的其他基板对应的安装位置的升降针。
17.如权利要求7所述的原子层沉积装置,其特征在于,
装载和卸载所述基板的两张基板对应装载位置的升降针,突出互不相同的高度以对应所述基板的装载和卸载高度差。
18.如权利要求7所述的原子层沉积装置,其特征在于,
所述加热单元在内部密封的外壳内部埋有电源接通就发热的具有金属丝形态或者钨丝形态的发热元件。
19.如权利要求18所述的原子层沉积装置,其特征在于,
所述加热单元的一个或多个发热元件以曲线型布置,以在所述基板对应的位置形成多个发热区域。
CN 200910265830 2008-12-29 2009-12-28 原子层沉积装置 Active CN101768731B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
KR10-2008-0135963 2008-12-29
KR1020080135963A KR101046612B1 (ko) 2008-12-29 2008-12-29 원자층 증착장치
KR1020090047519A KR101135853B1 (ko) 2009-05-29 2009-05-29 원자층 증착장치
KR10-2009-0047519 2009-05-29

Publications (2)

Publication Number Publication Date
CN101768731A true CN101768731A (zh) 2010-07-07
CN101768731B CN101768731B (zh) 2012-10-17

Family

ID=42353116

Family Applications (1)

Application Number Title Priority Date Filing Date
CN 200910265830 Active CN101768731B (zh) 2008-12-29 2009-12-28 原子层沉积装置

Country Status (4)

Country Link
US (1) US8968476B2 (zh)
JP (1) JP5295095B2 (zh)
CN (1) CN101768731B (zh)
TW (1) TWI465599B (zh)

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102560424A (zh) * 2012-01-16 2012-07-11 姜谦 一种真空多腔原子层沉积设备
CN102644063A (zh) * 2012-04-20 2012-08-22 北京七星华创电子股份有限公司 用于实现原子层沉积工艺的设备
CN102817012A (zh) * 2011-06-08 2012-12-12 先进科技新加坡有限公司 一种薄膜沉积装置
CN104081514A (zh) * 2012-01-31 2014-10-01 应用材料公司 多腔室基板处理***
CN104746047A (zh) * 2013-12-31 2015-07-01 丽佳达普株式会社 原子层沉积装置
CN104746048A (zh) * 2013-12-31 2015-07-01 丽佳达普株式会社 原子层沉积装置
CN105463406A (zh) * 2014-09-04 2016-04-06 沈阳拓荆科技有限公司 原子层沉积设备
CN107210252A (zh) * 2014-11-26 2017-09-26 冯·阿登纳有限公司 基板保持装置、基板运送装置、处理布置和用于处理基板的方法
CN107686984A (zh) * 2016-08-03 2018-02-13 东京毅力科创株式会社 成膜装置、成膜方法以及存储介质
CN107743529A (zh) * 2015-06-19 2018-02-27 应用材料公司 用于批处理的注射器及使用方法
CN107835868A (zh) * 2015-06-17 2018-03-23 应用材料公司 在处理腔室中的气体控制
CN108352350A (zh) * 2016-06-30 2018-07-31 日本电产三协株式会社 搬运***
CN110174370A (zh) * 2019-06-19 2019-08-27 宁波市环境监测中心 一种多功能一氧化碳检测***
CN111304637A (zh) * 2020-03-17 2020-06-19 常州捷佳创精密机械有限公司 镀膜生产设备
CN111364025A (zh) * 2020-05-09 2020-07-03 南京原磊纳米材料有限公司 一种改进型ald镀膜机

Families Citing this family (349)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101205433B1 (ko) * 2010-07-28 2012-11-28 국제엘렉트릭코리아 주식회사 기판 서셉터 및 그것을 갖는 증착 장치
KR20130090415A (ko) 2010-11-18 2013-08-13 더 프록터 앤드 갬블 캄파니 N-아실 아미노산 화합물 및 헥실데칸올을 기재로 하는 화장 조성물
JP5815728B2 (ja) 2010-11-19 2015-11-17 ザ プロクター アンド ギャンブルカンパニー トリプシン活性を阻害又は低減するための化粧品組成物及び方法
US20120225207A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
US9512520B2 (en) * 2011-04-25 2016-12-06 Applied Materials, Inc. Semiconductor substrate processing system
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) * 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
KR101363265B1 (ko) * 2011-06-30 2014-02-13 세메스 주식회사 기판처리장치 및 초임계유체 배출방법
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101147192B1 (ko) * 2011-11-11 2012-05-25 주식회사 엘에스테크 웨이퍼 표면상의 증착 이물 제거 장치
US20130192761A1 (en) * 2012-01-31 2013-08-01 Joseph Yudovsky Rotary Substrate Processing System
JP5882777B2 (ja) 2012-02-14 2016-03-09 東京エレクトロン株式会社 成膜装置
GB201206096D0 (en) * 2012-04-05 2012-05-16 Dyson Technology Ltd Atomic layer deposition
JP5947138B2 (ja) 2012-07-25 2016-07-06 東京エレクトロン株式会社 成膜装置
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
JP6027837B2 (ja) * 2012-09-27 2016-11-16 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP5876398B2 (ja) * 2012-10-18 2016-03-02 東京エレクトロン株式会社 成膜方法及び成膜装置
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9511144B2 (en) 2013-03-14 2016-12-06 The Proctor & Gamble Company Cosmetic compositions and methods providing enhanced penetration of skin care actives
JP6134191B2 (ja) * 2013-04-07 2017-05-24 村川 惠美 回転型セミバッチald装置
KR102075528B1 (ko) * 2013-05-16 2020-03-03 삼성디스플레이 주식회사 증착장치, 유기발광 디스플레이 장치 제조방법 및 유기발광 디스플레이 장치
KR102164707B1 (ko) * 2013-08-14 2020-10-13 삼성디스플레이 주식회사 원자층 증착 방법 및 원자층 증착 장치
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP2017157577A (ja) * 2014-06-17 2017-09-07 古河機械金属株式会社 気相成長装置および成膜方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
KR102297567B1 (ko) * 2014-09-01 2021-09-02 삼성전자주식회사 가스 주입 장치 및 이를 포함하는 박막 증착 장비
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6305314B2 (ja) * 2014-10-29 2018-04-04 東京エレクトロン株式会社 成膜装置およびシャワーヘッド
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
TWI676709B (zh) 2015-01-22 2019-11-11 美商應用材料股份有限公司 使用空間上分開的佈植器腔室進行的對薄膜的原子層沈積
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10954597B2 (en) * 2015-03-17 2021-03-23 Asm Ip Holding B.V. Atomic layer deposition apparatus
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
JP6447393B2 (ja) * 2015-07-06 2019-01-09 東京エレクトロン株式会社 成膜処理装置、成膜処理方法及び記憶媒体
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
TWI729101B (zh) 2016-04-02 2021-06-01 美商應用材料股份有限公司 用於旋轉料架基座中的晶圓旋轉的設備及方法
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
TWI734770B (zh) 2016-04-24 2021-08-01 美商應用材料股份有限公司 用於防止空間ald處理腔室中之背側沉積的設備
US11015246B2 (en) 2016-04-24 2021-05-25 Applied Materials, Inc. Apparatus and methods for depositing ALD films with enhanced chemical exchange
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
EP3509800A4 (en) * 2016-09-06 2019-09-18 Advanced Intelligent Systems Inc. MOBILE WORKSTATION FOR TRANSPORTING A VARIETY OF ARTICLES
JP6670713B2 (ja) * 2016-09-20 2020-03-25 東京エレクトロン株式会社 基板処理装置及び基板搬送方法
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6640781B2 (ja) * 2017-03-23 2020-02-05 キオクシア株式会社 半導体製造装置
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR102444878B1 (ko) * 2017-07-04 2022-09-20 세메스 주식회사 기판 처리 장치
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
JP7321768B2 (ja) * 2018-05-23 2023-08-07 信越化学工業株式会社 化学気相成長装置および被膜形成方法
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
CN112292755A (zh) * 2018-06-18 2021-01-29 应用材料公司 成对的动态平行板电容耦合等离子体
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP7183635B2 (ja) 2018-08-31 2022-12-06 東京エレクトロン株式会社 基板搬送機構、基板処理装置及び基板搬送方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) * 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
KR20200056273A (ko) * 2018-11-14 2020-05-22 주성엔지니어링(주) 기판처리장치 및 기판처리방법
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
KR102640172B1 (ko) 2019-07-03 2024-02-23 삼성전자주식회사 기판 처리 장치 및 이의 구동 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US20210202244A1 (en) * 2019-12-30 2021-07-01 Tokyo Electron Limited High-throughput multi-stage manufacturing platform and method for processing a plurality of substrates
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR20230100987A (ko) * 2021-12-29 2023-07-06 주식회사 넥서스비 원자층 증착 장치 및 이를 이용한 원자층 증착방법
CN114914183B (zh) * 2022-07-14 2022-12-13 前海晶方云(深圳)测试设备有限公司 供料方法、存储装置、计算机设备和测试设备
KR20240032233A (ko) * 2022-09-01 2024-03-12 주식회사 넥서스비 원자층 증착 장치

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5444217A (en) * 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
US6299404B1 (en) 1995-10-27 2001-10-09 Brooks Automation Inc. Substrate transport apparatus with double substrate holders
US6198074B1 (en) * 1996-09-06 2001-03-06 Mattson Technology, Inc. System and method for rapid thermal processing with transitional heater
US5882413A (en) * 1997-07-11 1999-03-16 Brooks Automation, Inc. Substrate processing apparatus having a substrate transport with a front end extension and an internal substrate buffer
US6235634B1 (en) * 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
US6143082A (en) * 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
US6183564B1 (en) * 1998-11-12 2001-02-06 Tokyo Electron Limited Buffer chamber for integrating physical and chemical vapor deposition chambers together in a processing system
JP2000174091A (ja) * 1998-12-01 2000-06-23 Fujitsu Ltd 搬送装置及び製造装置
JP2000299367A (ja) * 1999-04-15 2000-10-24 Tokyo Electron Ltd 処理装置及び被処理体の搬送方法
KR100319494B1 (ko) * 1999-07-15 2002-01-09 김용일 원자층 에피택시 공정을 위한 반도체 박막 증착장치
US6342691B1 (en) * 1999-11-12 2002-01-29 Mattson Technology, Inc. Apparatus and method for thermal processing of semiconductor substrates
US6582175B2 (en) * 2000-04-14 2003-06-24 Applied Materials, Inc. Robot for handling semiconductor wafers
US6530733B2 (en) * 2000-07-27 2003-03-11 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
US6541353B1 (en) * 2000-08-31 2003-04-01 Micron Technology, Inc. Atomic layer doping apparatus and method
US6485248B1 (en) 2000-10-10 2002-11-26 Applied Materials, Inc. Multiple wafer lift apparatus and associated method
AU2002211730A1 (en) * 2000-10-16 2002-04-29 Tokyo Electron Limited Plasma reactor with reduced reaction chamber
US20040058293A1 (en) * 2002-08-06 2004-03-25 Tue Nguyen Assembly line processing system
JP4119211B2 (ja) * 2002-09-13 2008-07-16 日本碍子株式会社 加熱装置
US6821563B2 (en) * 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
WO2005015613A2 (en) * 2003-08-07 2005-02-17 Sundew Technologies, Llc Perimeter partition-valve with protected seals
US8668422B2 (en) * 2004-08-17 2014-03-11 Mattson Technology, Inc. Low cost high throughput processing platform
US20060137609A1 (en) * 2004-09-13 2006-06-29 Puchacz Jerzy P Multi-single wafer processing apparatus
EP1824960A2 (en) 2004-11-22 2007-08-29 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
JP2006165173A (ja) * 2004-12-06 2006-06-22 Toshiba Corp 半導体装置の製造装置および製造方法
US7282675B2 (en) * 2004-12-22 2007-10-16 Sokudo Co., Ltd. Integrated thermal unit having a shuttle with a temperature controlled surface
US20070281089A1 (en) * 2006-06-05 2007-12-06 General Electric Company Systems and methods for roll-to-roll atomic layer deposition on continuously fed objects
KR20080004118A (ko) * 2006-07-04 2008-01-09 피에스케이 주식회사 기판 처리 설비
US8187679B2 (en) * 2006-07-29 2012-05-29 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
JP2008135630A (ja) * 2006-11-29 2008-06-12 Jel:Kk 基板搬送装置
KR100803559B1 (ko) * 2007-05-02 2008-02-15 피에스케이 주식회사 기판 반송 유닛 및 방법, 그리고 상기 유닛을 가지는 기판처리 장치 및 상기 유닛을 이용한 기판 처리 방법
US8043432B2 (en) * 2007-02-12 2011-10-25 Tokyo Electron Limited Atomic layer deposition systems and methods
JP2009252050A (ja) 2008-04-08 2009-10-29 Nec Corp サーバ負荷管理システム、サーバ負荷管理方法、サーバ負荷管理プログラム

Cited By (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102817012A (zh) * 2011-06-08 2012-12-12 先进科技新加坡有限公司 一种薄膜沉积装置
CN102817012B (zh) * 2011-06-08 2015-01-28 先进科技新加坡有限公司 一种薄膜沉积装置
CN102560424A (zh) * 2012-01-16 2012-07-11 姜谦 一种真空多腔原子层沉积设备
CN104081514A (zh) * 2012-01-31 2014-10-01 应用材料公司 多腔室基板处理***
CN104081514B (zh) * 2012-01-31 2017-07-28 应用材料公司 多腔室基板处理***
CN102644063A (zh) * 2012-04-20 2012-08-22 北京七星华创电子股份有限公司 用于实现原子层沉积工艺的设备
CN104746047A (zh) * 2013-12-31 2015-07-01 丽佳达普株式会社 原子层沉积装置
CN104746048A (zh) * 2013-12-31 2015-07-01 丽佳达普株式会社 原子层沉积装置
CN105463406A (zh) * 2014-09-04 2016-04-06 沈阳拓荆科技有限公司 原子层沉积设备
CN112111729A (zh) * 2014-09-04 2020-12-22 沈阳拓荆科技有限公司 原子层沉积设备
CN107210252A (zh) * 2014-11-26 2017-09-26 冯·阿登纳有限公司 基板保持装置、基板运送装置、处理布置和用于处理基板的方法
CN107210252B (zh) * 2014-11-26 2021-05-25 冯·阿登纳资产股份有限公司 基板保持装置、基板运送装置、处理布置和用于处理基板的方法
CN107835868A (zh) * 2015-06-17 2018-03-23 应用材料公司 在处理腔室中的气体控制
US10590530B2 (en) 2015-06-17 2020-03-17 Applied Materials, Inc. Gas control in process chamber
CN107835868B (zh) * 2015-06-17 2020-04-10 应用材料公司 在处理腔室中的气体控制
CN107743529A (zh) * 2015-06-19 2018-02-27 应用材料公司 用于批处理的注射器及使用方法
US11530480B2 (en) 2015-06-19 2022-12-20 Applied Materials, Inc. Injector for batch processing and methods of use
US11261525B2 (en) 2015-06-19 2022-03-01 Applied Materials, Inc. Injector for batch processing and methods of use
CN107743529B (zh) * 2015-06-19 2020-08-21 应用材料公司 用于批处理的注射器及使用方法
CN108352350B (zh) * 2016-06-30 2022-06-10 日本电产三协株式会社 搬运***
CN108352350A (zh) * 2016-06-30 2018-07-31 日本电产三协株式会社 搬运***
CN107686984B (zh) * 2016-08-03 2020-08-18 东京毅力科创株式会社 成膜装置、成膜方法以及存储介质
CN107686984A (zh) * 2016-08-03 2018-02-13 东京毅力科创株式会社 成膜装置、成膜方法以及存储介质
CN110174370A (zh) * 2019-06-19 2019-08-27 宁波市环境监测中心 一种多功能一氧化碳检测***
CN111304637A (zh) * 2020-03-17 2020-06-19 常州捷佳创精密机械有限公司 镀膜生产设备
CN111304637B (zh) * 2020-03-17 2024-04-12 常州捷佳创精密机械有限公司 镀膜生产设备
CN111364025A (zh) * 2020-05-09 2020-07-03 南京原磊纳米材料有限公司 一种改进型ald镀膜机

Also Published As

Publication number Publication date
CN101768731B (zh) 2012-10-17
TWI465599B (zh) 2014-12-21
US20100186669A1 (en) 2010-07-29
JP2010157736A (ja) 2010-07-15
JP5295095B2 (ja) 2013-09-18
US8968476B2 (en) 2015-03-03
TW201031769A (en) 2010-09-01

Similar Documents

Publication Publication Date Title
CN101768731B (zh) 原子层沉积装置
EP0548990B1 (en) Chemical vapor deposition method for forming a deposited film with the use of a liquid raw material and apparatus suitable for practising said method
KR100779118B1 (ko) 평판표시장치 제조시스템
US10867819B2 (en) Vacuum processing apparatus, vacuum processing system and vacuum processing method
CN104658946A (zh) 集群批处理式基板处理***
CN103329249A (zh) 化学气相沉积设备和使用该设备制造发光器件的方法
KR101135853B1 (ko) 원자층 증착장치
JP5560093B2 (ja) 基板処理装置及び半導体装置の製造方法及び基板製造方法
WO2012120991A1 (ja) 基板処理装置、及び、基板の製造方法
KR101081625B1 (ko) 가스분사 유닛 및 이를 구비하는 직립방식 증착장치
KR101493250B1 (ko) 원자층 박막 증착장비
CN111033714B (zh) 基板处理装置、半导体器件的制造方法及记录介质
KR101175126B1 (ko) 타원형 유로를 갖는 가스분사 모듈 및 직립방식 증착장치
TWI471453B (zh) Thin film deposition method and thin film deposition apparatus
KR20140140462A (ko) 원자층 증착 장치
KR101839409B1 (ko) 가스 공급 장치, 가스 공급 방법 및 이를 구비하는 기판 처리 장치
KR101213965B1 (ko) 스핀노즐 방식의 가스분사 유닛 및 이를 구비하는 직립방식 증착장치
KR101129058B1 (ko) 분사홀이 나선형으로 배치된 스핀노즐 유닛 및 이를 구비하는 직립방식 증착장치
KR101219061B1 (ko) 스핀노즐 유닛 사이의 간격을 줄인 가스분사 모듈 및 이를 구비하는 직립방식 증착장치
CN104746047A (zh) 原子层沉积装置
JP2020520082A (ja) 真空処理システム、及び処理システムを操作する方法
JP5144231B2 (ja) 成膜装置
KR20120044590A (ko) 샤워헤드 방식 증착장치
KR20120029795A (ko) 박막 증착 장치
KR101145058B1 (ko) 원자층 증착 장치

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CP01 Change in the name or title of a patent holder

Address after: Korea city Daoan

Patentee after: KC Limited by Share Ltd.

Address before: Korea city Daoan

Patentee before: K.C. Tech Co.,Ltd.

CP01 Change in the name or title of a patent holder
TR01 Transfer of patent right

Effective date of registration: 20180830

Address after: Korea city Daoan

Patentee after: K.C.TECH Co.,Ltd.

Address before: Korea city Daoan

Patentee before: KC Limited by Share Ltd.

TR01 Transfer of patent right