WO2002039494A1 - Gaz de gravure seche et procede de gravure seche - Google Patents

Gaz de gravure seche et procede de gravure seche Download PDF

Info

Publication number
WO2002039494A1
WO2002039494A1 PCT/JP2001/009769 JP0109769W WO0239494A1 WO 2002039494 A1 WO2002039494 A1 WO 2002039494A1 JP 0109769 W JP0109769 W JP 0109769W WO 0239494 A1 WO0239494 A1 WO 0239494A1
Authority
WO
WIPO (PCT)
Prior art keywords
dry etching
chf
etching gas
etching
gas according
Prior art date
Application number
PCT/JP2001/009769
Other languages
English (en)
French (fr)
Inventor
Shingo Nakamura
Mitsushi Itano
Original Assignee
Daikin Industries, Ltd.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Daikin Industries, Ltd. filed Critical Daikin Industries, Ltd.
Priority to JP2002541719A priority Critical patent/JP4186045B2/ja
Priority to US10/415,647 priority patent/US20040035825A1/en
Priority to KR1020037006277A priority patent/KR100874813B1/ko
Publication of WO2002039494A1 publication Critical patent/WO2002039494A1/ja

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Definitions

  • the present invention relates to a dry etching gas and a dry etching method.
  • c one C 4 F 8 is a high gas global warming effects, future, reduction of emissions is required, it is possible that its use is limited. If Ar is not mixed with the cyclic c-C 4 F 8 , for example, in a case where a good etching shape is to be obtained in an oxide film etching or the like, a selective ratio with respect to resist and a selective ratio with respect to silicon cannot be sufficiently obtained. If oxygen is not further added, the smaller the pattern size, the more difficult it is for ions to reach the deep portion of the pattern, and the deposition of a fluorocarbon polymer film becomes dominant.
  • the present invention uses an etching gas having a very small influence of global warming, and does not reduce the etching speed even when the size of holes such as contact holes and via holes, and the size of lines, spaces, and wiring patterns are minute.
  • High etching ratio fine pattern without etch stop, where etching speed is less dependent on pattern size It is intended to provide a ⁇ method capable of forming a pattern.
  • the present invention provides a law.
  • Item 1 A dry etching gas containing a compound having a triple bond having a fluorocarbon skeleton that can contain a hetero atom.
  • Item 1 containing at least one compound represented by Dry etching gas as described in.
  • the dry etching gas according to claim 1 comprising at least one compound represented by the formula: Item 5.
  • the dry etching gas according to Item 4 including at least one selected from the group consisting of CF 3 C ⁇ CCF 3 , CF 3 C ⁇ CF, and CF 3 C ⁇ CCF 2 CF 3 .
  • CF 3 CF CFCF 3
  • CF 2 CF 2
  • CF 3 CF dry etching gas according to any one of claim 1-5, further comprising at least one gas selected from the group consisting of CF 2.
  • CF 3 CF Dry etching gas according to Item 6 further including CFCF 3 Item 9.
  • Item 11 The compound according to any one of Items 1 to 6, further comprising at least one kind of the compound represented by the general formula (6):
  • Item 12 In addition, rare gas, inert gas, NH 3 , H 2 , hydrocarbon, ⁇ 2 , oxygenated compound, octogen compound, HFC (Hydrofluorocarbon), and PFC having at least one of single bond and double bond Item 9.
  • the dry etching gas according to any one of Items 1 to 8, containing at least one member selected from the group consisting of (perfluorocarbon) gas.
  • Oxygen compounds such as CF 3 CFOCF 2 CF 3 OCF 3 , CF 3 I,
  • the dry etching gas according to any one of 1 to 8.
  • Item 14 Dry etching characterized by etching a silicon-based material such as a silicon oxide film and a low dielectric constant film containing Z or silicon with the gas plasma of the dry etching gas according to any one of Items 1 to 13. Method.
  • a compound having a triple bond having a fluorcarbon which can contain a hetero atom in a skeleton is defined as “a triple skeleton formed by fluorine and carbon to form a basic skeleton.
  • Heteroatoms include Cl, Br, I and the like.
  • the dry etching gas used in the present invention is at least a compound that may form a basic skeleton of fluorine and carbon and have a triple bond (1-C1) structure, but may contain a hetero atom other than fluorine and carbon.
  • etching gas component One (hereinafter sometimes referred to as "etching gas component"),
  • CF 3 C ⁇ CCF 3 , CF 3 C 3CF, CF 3 C ⁇ CCF 2 CF 3 is included.
  • the particularly preferred dry etching gas for example,
  • CF 3 C ⁇ CCF 3 plasma contains a large amount of CF 3 + ions and low molecular radicals generated from CF 3 C and C 4 C fragments, respectively. Since CF 3 + ions have high etching efficiency, etching can be performed with low bias power, and damage to masks such as resists and underlying materials such as silicon is small. Radicals generated from the CF 3 C fragment form a dense and flat fluorocarbon polymer film, and radicals generated from the C 3 C fragment form a hard fluorocarbon polymer film having a high carbon content.
  • the fluorocarbon polymer film formed by these radicals is a film having both the property of high density and the property of being hard with many carbon components.
  • This film is deposited on the substrate to be etched in plasma and forms a reaction layer with the substance to be etched (for example, a silicon oxide film) by the interaction with the ions containing a large amount of CF 3 + incident on the substrate.
  • the mask such as resist and the base such as silicon are protected and the etching selectivity is improved. Balancing the low molecular radicals generated from the CF 3 C fragment and C ⁇ C fragment, which are precursors of the fluorocarbon film forming the etching reaction layer and the protective film, with the ions containing a large amount of CF 3 +
  • silicon-based materials such as silicon oxide silicon film and low dielectric constant film containing Z or silicon are selectively etched.
  • the dry etching gas for example, CF 3 also in CF 2 C ⁇ CCF 2 CF 3 plasma, including many CF 3 + ions and CF 3 CF 2 C and C ⁇ generated from C fragment of the low-molecular radical respectively In.
  • the effect remains unchanged.
  • the effect of increasing the etching selectivity of the base material can also be added. Further, by adding H, the molecular weight is reduced, and the boiling point can be lowered. This makes it possible to easily supply compounds that must be supplied by heating the gas line without heating.
  • Compounds containing halogens such as iodine instead of H have a dissociation energy lower than that of fluorine F, and have the effect of lowering the electron temperature and increasing the electron density.
  • the higher the electron density the higher the ion density and the faster the etching rate. If the electron temperature is kept low, excessive dissociation can be suppressed, and it becomes easier to obtain CF 2 radicals and CF 3 + ions necessary for etching.
  • the dry etching gas used in the present invention is at least one kind of compound which forms a basic skeleton with fluorine and carbon and has a triple bond and a C ⁇ C structure, and may contain a hetero atom other than fluorine and carbon. (Hereinafter sometimes referred to as “etching gas component”), and preferably has a general formula (1) having a triple bond:
  • a is an integer of 2 to 7, preferably 2 to 5.
  • b is an integer of 1 to 12, preferably 3 to 8.
  • c is an integer of 0-8, preferably 0-5.
  • a more preferable dry etching gas is represented by the following general formula (2): C m F 2m + 1 C CY (2)
  • FC ⁇ CF FC ⁇ CCF 2 CF 3 , IC ⁇ CCF 2 CF 3 ,
  • FC ⁇ CCF 2 CF 2 CF 3 FC ⁇ CCF (CF 3 ) CF 3 , FC ⁇ CC (CF 3 ) 3 , CF 3 CF 2 C ⁇ CCF 2 CF 3 , FC ⁇ CCF 2 CF 2 CF 2 CF 3 ,
  • FC ⁇ CCH (CF 3 ) CF 2 CF 3 FC ⁇ CCHCF 2 (CF 3 ) CF 3 are exemplified, and m is an integer of 1 to 5, preferably 1 to 3.
  • d is an integer from 1 to 4, preferably:! ⁇ 2.
  • e is an integer of 0-9, preferably 3-7.
  • f is an integer of 0-9, preferably 0-6.
  • the dry etching gas of the present invention has the general formula (3):
  • Preferred compounds of the general formula (3) include, specifically,
  • d is an integer of 1-4, preferably 1-2.
  • e is an integer of 0-9, preferably 3-7.
  • is an integer of 0 to 9, preferably 0 to 6.
  • Particularly preferred examples of the compound of the general formula (3) include CF 3 C ⁇ CCF 3 , CF 3 C ⁇ CF, and CF 3 C ⁇ CCF 2 CF 3 .
  • the dry etching gas of the present invention is not only a compound that may form a basic skeleton of fluorine and carbon and has a triple bond (—C ⁇ C-1) structure, but may contain a hetero atom other than fluorine and carbon.
  • at least one selected from the group consisting of rare gas, inert gas, NH 3 , H 2 , hydrocarbon, ⁇ 2 , oxygen-containing compound, halogen compound, HFC (Hydrofluorocarbon) and PFC (perfluorocarbon) gas having a double bond Seeds (hereinafter sometimes referred to as "combined gas components”) can be used in combination.
  • a more preferred combination gas component is represented by the following general formula (5):
  • R fh is any one selected from the group consisting of CF 3 CF, CF 3 H and CF 2 , and X 1 and Y 1 are the same or different and are F, CI, Br, I, H or
  • the dry etching gas of the present invention is, specifically, a rare gas such as He, Ne, Ar, Xe, or Kr; an inert gas such as N 2 ; NH 3 , H 2 , CH, C 2 H 6 , Hydrocarbons composed of C 3 H 8 , C 2 H 4 , C 3 H 6, etc., and oxy-compound gases such as O 2 , C ⁇ , C ⁇ 2 ; CF 3 I, CF 3 CF 2 I, (CF 3 ) 2 CF I, CF 3 CF 2 CF 2 I, CF 3 Br, CF 3 CF 2 Br, (CF 3 ) 2 CFBr, CF 3 CF 2 CF 2 Br,
  • a rare gas such as He, Ne, Ar, Xe, or Kr
  • an inert gas such as N 2 ; NH 3 , H 2 , CH, C 2 H 6 , Hydrocarbons composed of C 3 H 8 , C 2 H 4 , C 3 H 6, etc.
  • CF 2 CC 1 2
  • CF 2 CB r 2 consisting of a halogen compound
  • c_C 5 F 8 At least one selected from the group consisting of PFC (perfluorocarbon) gas having at least one of single and double bonds
  • PFC perfluorocarbon
  • CF 3 C ⁇ CCF 3 and CF 3 CF CFCF 3 low dielectric constant masked silicon-based materials such as film containing silicon oxide film and / or silicon by selectively generated CF 3 + Ion-rich group of ions from the ⁇ ⁇ Selective etching for base such as silicon Ching.
  • CF 2 CF 2
  • the etching selectivity of a silicon-based material such as an oxide film to a mask such as a resist or a base such as silicon is improved.
  • CF 3 + ions are not selectively generated in the plasma, a dense and flat fluorocarbon polymer mainly composed of CF 2 radicals is deposited on the substrate to be etched.
  • An etching reaction layer and a protective film derived from the polymer film are formed, and the silicon oxide film and Z or silicon are contained by a group of ions containing a large amount of CF 3 + ions selectively generated from CF 3 C ⁇ CCF 3.
  • Selectively etch silicon-based materials such as low dielectric constant films.
  • CF 2 CF 2
  • Rare gases such as He, Ne, Ar, Xe, and Kr can change the electron temperature and electron density of plasma, and also have a dilution effect. By using such a rare gas in combination, it is possible to control the balance between fluorocarbon radicals and fluorocarbon ions and determine the appropriate etching conditions.
  • etching the low dielectric constant film of the organic SOG film further combination of N 2 in the mixed gas of c one C 4 F 8 and A r, combination c one C 4 F 8 and A r and 0 2 It is reported in S. Uno et al, Proc. Symp. Dry. Process (Tokyo, 1999) pp. 215-220 that the etched shape is better than the case where it is performed.
  • Hydrocarbons and HFCs increase the etch selectivity by depositing a polymer film with a high carbon concentration in the plasma on a mask such as a resist or a base such as silicon.
  • HFCs also have the effect of generating ions, such as CHF 2 +, which can be used as etching species.
  • H contained in H 2 , NH 3 , hydrocarbons, HFC, etc. binds to the F radical and forms HF It has the effect of removing F radicals from the plasma system, and reduces the reaction between F radicals and a mask such as a resist or a base such as silicon to improve the etching selectivity.
  • Oxygenates contained CO, C_ ⁇ 2 or ketone and acetone, such as (CF 3) 2 C 0, Epokisaido such CF 3 CF_ ⁇ _CF 2, the oxygen such as ethers such as CF 3 OCF 3 Means a compound.
  • Halogen compounds are CF 3 I, CF 3 CF 2 I, (CF 3 ) 2 CF I,
  • Iodine compounds have the greatest effect. As shown in JP-A-11-340211, Jpn. J. Appl. Rhys. Vol. 39 (2000) ppl 583-1596, the iodine compound can easily increase the electron density at a low electron temperature. However, some of them selectively generate CF 3 + with high etching efficiency.
  • HFCs and PFCs which have double bonds in the molecule, have a small global warming effect and easily dissociate the double bonds in plasma, so they control the radicals required for etching.
  • etching gas component having a CF 3 C portion directly bonded to a triple bond and a combined gas component
  • a mixed gas composed of an etching gas component having a CF 3 C portion directly bonded to a triple bond and a combined gas component is used as the dry etching gas of the present invention
  • at least one of the etching gas components should be used at a flow rate of about 10% or more, and at least one of the combined gas components should be used at a flow rate of about 90% or less.
  • at least one of the etching gas components is used at a flow rate of about 20 to 99%, and at least one of the combined gas components is used at a flow rate of about 1 to 80%.
  • Silicon-based materials such as silicon oxide films and / or silicon-containing low dielectric constant films are organic polymer materials having a siloxane bond such as MSQ (Methy 1 si 1 ses QU oxane).
  • HSQ Hydrogensilsesquioxane
  • F fluorine
  • silicon-based materials are often formed by a method such as coating or CVD (Chemical Vapor Deposition), but may be films formed by other methods.
  • Silicon-based materials such as silicon oxide films and Z or silicon-containing low-k films are not limited to materials having a film or layer structure, but are all materials that have a chemical composition including silicon. It may be a constituent material. For example, a solid substance such as a glass or quartz plate corresponds to this.
  • Silicon-based materials such as silicon oxide films and low dielectric constant films containing Z or silicon are applied to masks such as resists and polysilicon, and bases such as silicon, silicon nitride films, silicon carbide, silicide, and metal nitrides. It is possible to selectively etch. Furthermore, in a semiconductor process, it may be necessary to continuously and simultaneously etch a silicon-based material layer as a material to be etched and an etching stopper film such as a silicon nitride film as a base.
  • Preferred etching conditions are as follows:
  • Wafer temperature is 40-100 ° C, preferably 30-50 ° C.
  • Chamber wall temperature 30 ⁇ 300 ° C, preferably 20 ⁇ 200 ° C
  • the discharge power and bias power differ depending on the size of the chamber and the size of the electrode.
  • Etching silicon oxide film and Z or silicon nitride film and low dielectric constant film containing Z or silicon with contact hole etc. using inductively coupled plasma (ICP) etching equipment (chamber volume 3500 cm 3 ) for small diameter wafer These preferred etching conditions when performing
  • I CP Inductive Coupled Plasma
  • discharge power 1000W bias power 250 W
  • pressure 5mTo rr electron density 9 X 10 10 -. 1.
  • 5X 10 11 cm- 3 the electron temperature 3. 8-4 1 etching conditions e
  • S i about 1 m thick silicon oxide on the substrate (S i 0 2) having a membrane thereon was a depth of about 1 m etching a semiconductor substrate having a resist pattern having a hole diameter of 0. 2 m in addition Table 1 below shows the etching rate, selectivity, and hole bottom diameter (m) of 0.2 m at this time.
  • CF 3 C ⁇ CCF 3 has a lower etching rate than the existing etching gas, that is, cyclic c-C 4 F 8, but has a higher etching selectivity to resist. Further, c is an C 4 F 8 in the diameter of 0. 10 m of the hole bottom, as to be reduced from the original hole size, etching is tended to stop. In contrast, CF 3 C CCF 3 can be processed to the bottom of the hole according to the resist pattern.
  • ICP Inductive Coupled Plasma
  • CF 3 C ⁇ CCF 3 / CF 3 CF CFCF 3 mixed gas (flow rate ratio 35% / 65%; Example 2) with etching of contact holes and existing etching gas c—C 4 F 8 ZAr mixed gas
  • Table 2 shows the comparison between the etching rate and the rate of reduction of the etching rate for a 0.2 m diameter with respect to the plane when the contact hole was etched with (flow rate ratio 35% / 65%; Comparative Example 2).
  • the gas plasma derived from the dry etching gas of the present invention has a flat, flat surface composed of selectively generated ions containing a large amount of CF 3 + having a high etching efficiency and radicals generated from CF 3 C and C ⁇ C fragments.
  • the micro-loading effect is reduced by balancing with an etching reaction layer and a protective film formed of a hard fluorocarbon polymer film having a high density and a large amount of carbon component.
  • a silicon-based material such as a silicon-containing low dielectric constant film is selectively etched.
  • CF 3 + ions improve etching efficiency and etch with low bias power And damage to resist, silicon, and other bases is small.
  • Radicals generated from the CF 3 C fragment form a flat and dense fluorocarbon polymer film, and radicals generated from the C ⁇ C fragment form a hard fluorocarbon polymer film having a large carbon component.
  • An etching reaction layer or a protective film derived from a film having both of these properties improves the reaction efficiency of an etching substance, protects a mask such as a resist, or a base such as silicon, and improves an etching selectivity.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)

Description

技術分野
本発明は、 ドライエッチングガス及びドライエッチング方法に関する。
背景技術
半導体デバイスの集積ィ匕が進むに従い、 微細な高アスペクト比 (深さ/ [ホール 径などのパターン寸法])のコンタクトホール、 ビアホールおよび配線パターンな どの形成が必要になってきた。 酸ィ匕シリコン膜などのシリコン系材料のエツチン グにおいては、 従来、 A rを多量に混合した c一 C 4 F 8ZA r (Z02) などの ガスをエッチング装置に導入しプラズマを発生させてエッチングし、 コンタクト ホール等の上述のパターンが形成されることが多かった。 しかし、 環状
c一 C 4 F 8は地球温暖化効果の高いガスであり、 今後、 排出の削減は必須であり、 その使用が制限される可能性もある。 また、 環状 c一 C 4 F 8は A rを混合しない と、 例えば酸化膜エッチング等において良好なエッチング形状を得ようとした場 合、 対レジスト選択比、 対シリコン選択比が十分得られない。 さらに酸素を添加 しないと、 パターンサイズが小さくなるほどイオンがパターン深部まで行き届き にくくなり、 フルォロカ一ボンポリマー膜の堆積が優勢になる。 その結果、 エツ チング速度が低下(これをマイクロローディング効果という)し、 微細なパターン ではエッチングがストップしてしまう(これをエッチストップという)。 一方、 酸 素を添加することでマイクロローディング効果を抑制したとしても、 レジスト、 シリコンに対する選択比が低下するので高ァスぺクト比のパターンを形成するこ とが難しい。 さらに、 Arを多量に混合するとプラズマ中の高エネルギー電子が多 くなり、 デバイスにダメージを与える問題も報告されている(T. Mukai and S. Samuka a, Proc. Symp. Dry. Process (Tokyo, 1999) pp39- 44. )。
本発明は、 地球温暖化の影響が非常に小さいエッチングガスを用いて、 コン夕 クトホールやビアホールなどのホール、 およびライン、 スペース、 配線パターン などのサイズが微細であつてもエツチング速度が低下しない、 エツチング速度の パターンサイズ依存性が小さい、 エッチストップのない高ァスぺクト比微細パ夕 ーンを形成できる ^方法を提供する ことを目的とする。
発明の開示
本発明は、 法を提供する ものである。
項 1. ヘテロ原子を含みうるフルォロカーボンを骨格に持つ三重結合を有する 化合物を含むドライエッチングガス。
項 2. 三重結合を有する一般式 (1)
一般式 ( 1 ):
CaFbXc (1)
(Xは C I, B r, I又は H、 a = 2— 7、 b=l— 12、 c = 0— 8、 b + c =2 a-2) で表される化合物を少なくとも一種含む項 1に記載のドライエッチ ングガス。
項 3. —般式 (2):
CmF2m+1C≡CY (2)
(m=l— 5、 Yは F, I, Hまたは CdFeHf (d=l— 4、 e = 0— 9、 f = 0- 9, e+ f = 2 d+ 1, m+dく 6) を示す。)
で表されるィ匕合物を少なくとも一種含む項 1に記載のドライエッチングガス。 項 4. 一般式 (3):
CF3C≡CY (3)
(Yは F, I, Hまたは CdFeHf — 4、 e = 0— 9、 0— 9、 e+ f = 2d+l) を示す。)
で表される化合物を少なくとも一種含む請求項 1に記載のドライエッチングガス。 項 5. CF3C≡CCF3, CF3C≡CF及び CF3C≡CCF2CF3からなる 群から選ばれる少なくとも一種を含む項 4に記載のドライエッチングガス。
項 6. CF3Cョ CCF3を含む項 5に記載のドライエツチングガス。
項 7. CF3CF = CFCF3, C F 2 = C F 2および C F 3 C F = C F 2からなる 群から選ばれる少なくとも 1種のガスをさらに含む項 1〜 5のいずれかに記載の ドライエッチングガス。 項 8. CF3CF = CFCF3をさらに含む項 6に記載のドライエッチングガス 項 9. 二重結合を有する一般式 (4):
CgFhXi (4)
(Xは C l, B r, I又は H, g=2_6、 h = 4— 12、 i =0-2, h+ i =2 g) で表される化合物の少なくとも 1種をさらに含む項 1〜 6のいずれかに 記載のドライエッチングガス。
項 10. —般式 (5):
R f h = CX1Y1 (5)
(R f hは CF3CF、 CF3CHおよび CF2からなる群から選ばれるいずれか であり、 X1および Y1は、 同一又は異なって F, C 1 , B r, I, Hまたは CjFkH, (j =l— 4、 k + 1 = 2 j + 1 ) を示す。)
で表される化合物の少なくとも 1種をさらに含む項 1〜 6のいずれかに記載のド 項 11. 一般式 (6):
R f=C (CpF2p + 1) (CqF2q + 1) (6)
(R fは CF3CFあるいは CF2、 p, qは同一又は異なって 0, 1, 2または 3を示す。 p + d<5) で表される化合物からなる群から選ばれる少なくとも 1 種をさらに含む項 1〜 6のいずれかに記載のドライエッチングガス。
項 12. さらに希ガス、 不活性ガス、 NH3、 H2、 炭化水素、 〇2、 含酸素化 合物、八ロゲン化合物、 HFC (Hydrofluorocarbon)及び単結合および二重結合の 少なくとも一種を持つ PFC (perfluorocarbon)ガスからなる群から選ばれる少 なくとも 1種を含む項 1〜 8のいずれかに記載のドライエッチングガス。
項 13. さらに He、 Ne、 Ar、 Xe、 K rからなる群から選ばれる希ガス、 N2からなる不活性ガス、 NH3、 H2、 CH4、 C?H6, C3H8、 C2H4
C3H6などからなる炭化水素、 02、 C〇、 C02、 (CF3) 2C=0、
CF3CFOCF2 CF3OCF3などからなる含酸素化合物、 CF3 I、
CF3CF2 I、 (CF3) 2CF I、 CF3CF2CF2 I、 CF3B r、
CF3CF2B r、 (CF3) 2CFB r、 CF3CF2CF2B r、 CF3C 1、 CF3CF2C 1、 (CF3) 2CFC 1、 CF3CF2CF。C 1、 CF2 = CF I、 CF2 = CFC 1、 CF2 = CFB r、 CF2 = C I 2、 CF2 = CC 12
CF2 = CB r 2などからなるハロゲン化合物、 CH2F2、 CHF3、 CHF3、 CF3CHF2、 CHF2CHF2、 CF3CH2F、 CHF2CH2F、 CF3CH3、 CH2FCH2F、 CF2 = CHF、 CHF = CHF、 CH2 = CF2
CH2 = CHF、 CF3CH = CF2、 CF3CH=CH2、 CH3CF = CH2など からなる 11 ( ^(^1101"00&]:1)011)及び ?4、 C2F6、 C3F8、 C4F10、 c一 C4F8、 CF2 = CF2、 CF2 = CFCF = CF2
CF3CF = CFCF = CF2、 c— C 5 F 8などからなる単結合および二重結合の 少なくとも一種を持つ PFC (perfluorocarbon)ガスからなる群から選ばれる少 なくとも 1種のガスを含む項 1〜 8のいずれかに記載のドライエッチングガス。 項 14. 項 1〜13のいずれかに記載のドライエッチングガスのガスプラズマ で、酸化シリコン膜及び Z又はシリコンを含有する低誘電率膜などのシリコン系 材料をエッチングすることを特徴とするドライエツチング方法。
本発明において、 「ヘテロ原子を含みうるフルォロカ一ボンを骨格に持つ三重 結合を有する化合物」 とは、 「フッ素と炭素で基本骨格を形成し三重結合
(― C≡C— ) 構造を有しながら、 フッ素と炭素以外の原子を含んでも良い」 こ とを意味する。 ヘテロ原子としては、 C l、 B r、 Iなどが挙げられる。
本発明で使用するドライエッチングガスは、 フッ素と炭素で基本骨格を形成し 三重結合 (一 Cョ C一) 構造を有しながら、 フッ素と炭素以外のへテロ原子を含 んでも良い化合物の少なくとも 1種(以下、 「エッチングガス成分」ということが ある) を含むものであり、
好ましくは三重結合を有する一般式 (1):
aFbXc 、丄)
(a, b, c及び Xは前記に定義されたとおりである。) で表される化合物、 より好ましくは一般式 (2):
CmF2m+1C≡CY (2)
(mおよび Yは前記に定義されたとおりである。)
で表される化合物を含み、
さらに好ましくは一般式 (3): CF3C≡CY (3)
(Yは前記に定義されたとおりである。) で表される化合物、
特に好ましくは、 CF3C≡CCF3, CF3Cョ CF, CF3C≡CCF2CF3 を含む。
一例として、 特に好ましい該ドライエッチングガス、 例えば、
C F 3 C≡ C C F 3のプラズマでは、 C F 3 +イオンと C F 3 Cおよび Cョ Cフラグ メントから発生する低分子のラジカルをそれぞれ多く含んでいる。 CF3+イオン はエッチング効率が高いため、 低いバイアス電力でのエッチングが可能となりレ ジストなどのマスクやシリコンなどの下地に与えるダメージが少ない。 CF3C フラグメントから発生するラジカルは密度の高い平坦なフルォロカーボンポリマ 一膜を形成し、 Cョ Cフラグメントから発生するラジカルは炭素成分の多い硬い フルォロカーボンポリマー膜を形成する。 これらのラジカルにより形成されたフ ルォロカーボンポリマー膜は、 密度が高い性質と炭素成分が多く硬い性質の両方 を併せ持った膜となる。 この膜はプラズマ中で被エッチング基板上に堆積し、 基 板に入射してくる CF3 +を多く含むイオン群との相互作用により、被エッチング 物質 (例えば酸化シリコン膜など)と反応層を形成し反応効率を向上させるととも に、 レジストなどのマスクやシリコンなどの下地を保護しエッチング選択比を向 上させる。 この様なエッチング反応層や保護膜を形成するフルォロカーボン膜の 前駆体である C F 3 Cフラグメントおよび C≡ Cフラグメントから発生する低分 子ラジカルと CF3 +を多く含むイオン群とのバランスを取ることにより、酸ィ匕シ リコン膜及び Z又はシリコンを含有する低誘電率膜などのシリコン系材料を選択 的にエッチングする。 このようなェツチング効率の高い CF3 +と CF3Cフラグ メントおよび C≡Cフラグメントから発生する低分子ラジカルとの相互作用によ るエッチングでは、 イオンのエッチング能力の不足や高分子ラジカルによる過剰 のフルォロカーボン堆積が起こりにくく、 コンタクトホール、 ビアホ一ルおよび 配線などのサイズが小さくなり高ァスぺクト比パターンになってもエッチング速 度が低下する現象 (マイク口口一ディング効果という)が生じにくい。
CF3C≡CCF3などの低分子化合物を単独で使用した場合やこれらに CF3CF = CFCF3 C F 2 = C F 2および C F 3 C F = C F 2などの低分子化合 物を併用ガスとして用いた場合は、 CF3 +イオンがより多く、 高分子ラジカルの 発生がより少ないため、 マイクロ口一ディング効果はさらに小さくなる利点があ る。
より好ましい該ドライエッチングガス、例えば、 CF3CF2C≡CCF2CF3 のプラズマにおいても、 C F 3+イオンと C F 3 C F 2 Cおよび C≡ Cフラグメント から発生する低分子のラジカルをそれぞれ多く含んでいる。
好ましい該ドライエッチングガス、 例えば、 CF3CHFC≡CCHFCF3の プラズマにおいても、 その効果は変わらず、 水素 Hが分子中に入った事により、 レジスト等のマスクやシリコンなどの下地に対して、 シリコン系材料のエツチン グ選択比が高くなる効果も付加できる。 また、 Hを入れることにより分子量が下 がり、 沸点を低下させることができる。 これにより、 ガスラインを加熱して供給 しなければならなかつた化合物も、 加熱なしに容易に供給できるようになる。
Hの代わりにヨウ素等のハロゲンを含む化合物では、 解離エネルギーがフッ素 Fの場合よりも小さくなり、 電子温度を低くして電子密度を上げる効果がある。 電子密度が高いほどイオン密度も高くなりエツチング速度が増大する。 電子温度 が低く抑えられると過剰な解離を抑制でき、 エッチングに必要な C F 2ラジカル や CF 3+イオンなどを得やすくなる。
本発明で使用するドライエッチングガスは、 フッ素と炭素で基本骨格を形成し 三重結合一 C≡ C一構造を有しながら、 フッ素と炭素以外のへテロ原子を含んで も良い化合物の少なくとも 1種 (以下、 「エッチングガス成分」ということがある) を含むものであり、 好ましくは三重結合を有する一般式 (1):
し a t b X c ( 1ノ
(a, b, cおよび Xは前記に定義されたとおりである。) で表される化合物の 少なくとも 1種からなる。
一般式 (1) の化合物において、
aは 2〜7の整数、 好ましくは 2〜5である。
bは 1〜12の整数、 好ましくは 3〜8である。
cは 0〜8の整数、 好ましくは 0〜5である。
より好ましいドライエッチングガスは、 一般式 (2): CmF2m+1Cョ CY (2)
(mおよび Yは前記に定義されたとおりである。)
で表される化合物の少なくとも 1種からなる。
具体的には、
FC≡CF, FC≡CCF2CF3, I C≡CCF2CF3,
FC≡CCF2CF2CF3, FC≡CCF (CF3) CF3, FC≡CC(CF3)3, CF3CF2C≡CCF2CF3, F C≡C C F 2 C F 2 C F 2 C F 3,
FC≡CCF (CF3) CF2CF3, FC≡CCFCF2 (CF3) CF3, CJ? 3し l 2し し CF2し! ^ 3, Hし =しし し JT 3, Hし =し CFsCr^ sし F3, HC三 CCF (CF3) CF3, HC≡CC (CF3) 3,
CF3CF2C≡CCHFCF3, F C≡C CHF C F 2 C F 2 C F 3,
FC≡CCH(CF3) CF2CF3, FC≡CCHCF2 (CF3) CF3が例示され、 mは 1〜5の整数、 好ましくは 1〜3である。
dは 1〜4の整数、 好ましくは:!〜 2である。
eは 0〜9の整数、 好ましくは 3〜 7である。
fは 0〜9の整数、 好ましくは 0〜6である。
本発明のドライエッチングガスは、 さらに好ましくは一般式 (3) :
CF3C≡CY (3)
(Yは前記に定義されたとおりである。)で表される化合物の少なくとも 1種から なる。
好ましい一般式 (3) の化合物としては、 具体的には、
CF3C≡CCF3, CF3C≡CF, C F 3 C≡ C C F 2 C F 3,
CF3C≡CCF2CF2CF3, CF3C≡CCF (CF3) CF3
CF3C≡CC (CF3) 3, CF3C≡CC4F9、 CF3C≡CH、
CF3C≡C I、 CF3C≡CCHF2、 CF3C≡CCH2F、 CF3C≡CCH3、 CF3C≡CCHFCF3、 CF3C≡CCH2CF3
CF3C≡CCHFCF2CF3、 CF3C≡CCH2CF2CF3
CF3C≡CCF2CHFCF3、 CF3C≡CCF2CH2CF3
CF3C≡CCHFCHFCF3、 CF3C≡CCHFCH2CF3、 CF3C≡CCH2CHFCF3、 CF3C≡CCH2CH2CF3、 CF3C≡CCFCH2CH2CF3、 C F 3 C≡C CHCH2 CH2 C F 3
CF3C≡CCHCHFCH2CF3、 CF3Cョ CCFCH2CHFCF3、 CF3C≡CCH (CF3) CF 3などが例示される
一般式 (3) の化合物において、
dは 1〜4の整数、 好ましくは 1〜2である。
eは 0〜9の整数、 好ましくは 3〜 7である。
ίは 0〜9の整数、 好ましくは 0〜 6である。
特に好ましい一般式 (3) の化合物としては、 具体的には、 CF3C≡CCF3, CF3C≡CF, CF3C≡CCF2CF3が例示される。
本発明のドライエッチングガスは、 フッ素と炭素で基本骨格を形成し三重結合 (― C≡C一) 構造を有しながら、 フッ素と炭素以外のへテロ原子を含んでも良 い化合物に加えて、 さらに希ガス、 不活性ガス、 NH3、 H2、 炭化水素、 〇2、 含酸素化合物、ハロゲン化合物、 HFC(Hydrofluorocarbon)及び二重結合を持つ PFC (perfluorocarbon)ガスからなる群から選ばれる少なくとも 1種 (以下、 「併用ガス成分」 ということがある) を混合して使用することができる。
好ましい併用ガス成分としては、 二重結合を有する一般式 (4):
CgFhXi (4)
(Xは C I, B r, I又は H, g=2— 6、 h = 4— 12、 i =0-2,
+ i =2 g) で表される化合物が例示される。
さらに好ましい併用ガス成分は、 一般式 (5):
R f h = CX1Y1 (5)
(R f hは CF3CF、 CF3Hおよび CF2からなる群から選ばれるいずれかで あり、 X1および Y1は、 同一又は異なって F, C I, B r, I, Hまたは
CjFkH (j =1— 4、 k + 1 =2 j +1) を示す。) で表される化合物、 特に 好ましくはじ 3じ?=〇?0?3,〇?2 =。 2ぉょび〇?3〇?=〇?2からな る群から選ばれる少なくとも 1種である。
また、 本発明のドライエッチングガスは、 具体的には、 He、 Ne、 Ar、 X e、 K rなどの希ガス; N2などの不活性ガス; NH3、 H2、 CH,, C2H6、 C3H8、 C2H4、 C3H6などからなる炭化水素、 02、 C〇、 C〇2などの含酸 素化合物ガス; C F 3 I、 CF3CF2 I、 (CF3) 2CF I、 CF3CF2CF2 I、 CF3B r、 CF3CF2B r、 (CF3) 2CFB r、 CF3CF2CF2B r、
CF3C 1、 CF3CF2C 1、 (CF3) 2CFC 1、 CF3CF2CF2C 1、
CF2 = CF I、 CF2 = CFC 1、 CF2 = CFB r、 CF2 = C I 2
CF2 = CC 12、 CF2 = CB r 2などからなるハロゲン化合物;及び CH2 F 2、 CHF3、 CHF3、 CF3CHF2、 CHF2CHF2、 CF3CH2F、
CHF2CH2F、 CF3CH3、 CH2FCH2F、 CH3CHF2、 CH3CH2F、 CF3CF2CF2H、 CF3CHFCF3、 CHF2CF2CHF2
CF3CF2CH2F、 CF2CHFCHF2、 CF3CH2CF3
し rit 2し Fり CH2F、 CJT 3 し F2し し し ri CriF
CH3CF2CHF2、 CH3CHFCH3, CF2 = CHF、 CHF = CHF、 し ri2
Figure imgf000010_0001
CH3CF = CH2などからなる HFCOiydrofluorocarbon)ガス及び CF4
C 2 F 6¾ C 3 F 8■» 4 io、 c― C 4 F 8 ¾ C F 2― C ヽ
CF2 = CFCF = CF2, CF3CF = CFCF = CF2、 c_C5F8などからな る単結合および二重結合の少なくとも一種を持つ P F C (perfluorocarbon)ガス からなる群から選ばれる少なくとも 1種以上の併用ガス成分をエッチングガス成 分と混合して使用しても良い。
二重結合に直接結合した CF3CFを有する化合物、 一般式 (4) の化合物、 一 般式(5) の化合物および CF3CF = CFCF3および CF3CF = CF2などを 併用ガスとして使用すると複合効果によりエッチング効果はさらに大きいものと なる。 これらの化合物のガスプラズマにおいてもエッチング効率の高い CF 3+ィ オンが選択的に発生し、 CF3CFフラグメントから発生するラジカルによる密 度の高い平坦なフルォロカーボンポリマ一膜が被エッチング基板上に堆積する。 これらのポリマ一膜に由来するエツチング反応層や保護膜が形成され、
CF3C≡CCF3とCF3CF = CFCF3から選択的に発生したCF3 +ィォン を多く含むイオン群により酸化シリコン膜及び/又はシリコンを含有する低誘電 率膜などのシリコン系材料をマスクゃシリコンなどの下地に対して選択的にエツ チングする。 また、 〇 30 =〇 。?3ぉょび〇?3 ?=〇?2など低分子化 合物を併用ガスとして用いた場合は、 高分子ラジカルの発生が少なく、 マイクロ ローディング効果も起こりにくい利点がある。
C F 2 = C F 2を併用ガスとして使用するとレジストなどのマスクやシリコン などの下地に対して酸化膜などのシリコン系材料のエッチング選択比が向上する 効果がある。 プラズマ中において CF 3+イオンが選択的に発生しないが、 CF2 ラジカルを主成分とする密度の高い平坦なフルォロカーボンポリマーが被エッチ ング基板上に堆積する。 このポリマー膜に由来するエツチング反応層や保護膜が 形成され、 CF3C≡CCF3から選択的に発生した CF3+イオンを多く含むィォ ン群により酸化シリコン膜及び Z又はシリコンを含有する低誘電率膜などのシリ コン系材料を選択的にエッチングする。 CF2 = CF2を併用ガスとして使用する とエッチング効率は多少低下するが、 C F 2 = C F 2から多量に発生する C F 2ラ ジカルに由来するフルォロカ一ポンポリマー膜がェッチング効率の高い反応層と 密度の高い保護膜を形成し、 エッチング選択比が向上する効果がある。 高分子ラ ジカルの発生しないためマイク口ローディング効果が非常に小さい。
He、 Ne、 Ar、 Xe、 Krなどの希ガスは、 プラズマの電子温度、 電子密 度を変化させることができ、 また、 希釈効果もある。 この様な希ガスを併用する ことにより、 フルォロカ一ボンラジカルやフルォロカーボンイオンのバランスを コントロールして、 エッチングの適正な条件を決めることができる。
N2、 H2、 NH3を併用することで、 低誘電率膜のエッチングにおいて良好な エツチング形状が得られる。 例えば、 c一 C 4 F 8と A rとの混合ガスにさらに N 2を併用して有機 SOG膜の低誘電率膜をエッチングした場合、 c一 C4F8と A rと 02を併用した場合したよりもエッチング形状がよいことが S.Uno et al, Proc. Symp. Dry. Process (Tokyo, 1999)pp215-220に報告されている。
炭化水素と HFCは、 プラズマ中で炭素濃度の高いポリマー膜をレジストなど のマスクやシリコンなどの下地に堆積させエッチング選択比を向上させる。また、 HFCはそれ自体からもエッチング種となる CHF2 +などのイオンを発生させ る効果もある。
H2、 NH3、 炭化水素、 HFCなどに含まれる Hは Fラジカルと結合し HFと なりプラズマ系内から Fラジカリレを取り除く効果があり、 Fラジカルとレジスト などのマスクやシリコンなどの下地との反応を減らしエッチング選択比を向上さ せる。
含酸素化合物は、 CO、 C〇2や (CF3) 2C=0などのケトンやアセトン、 CF3CF〇CF2などのェポキサイド、 CF3OCF3などのエーテルのような酸 素を含んだ化合物を意味する。 これらの含酸素化合物や O 2を併用することで、 過剰なフルォロカーボンポリマー膜を取り除くことができ、 微細パターンでエツ チング速度が低下すること (マイクロローデイング効果という) を抑制し、 エツ チングがストップするのを防ぐ効果がある。
ハロゲン化合物とは CF3 I、 CF3CF2 I、 (CF3) 2CF I、
CF3CF2CF2 I、 CF3B r、 CF3CF2B r、 (CF3) 2CFB r、
CF3CF2CF2B r、 CF3C 1、 CF3CF2C 1、 (CF3) 2CFC 1、
CF3CF2CF2C 1、 CF2 = CF I、 CF2 = CFC 1、 CF2 = CFB r、 CF2=C I 2、 CF2 = CC 12、 CF2 = CB r 2などの化合物のようにフルォロ カーボン分子中のフッ素が、 臭素、 ヨウ素などと置換された化合物を意味する。 フルォロカ一ボン分子中のフッ素を、塩素、臭素、 ヨウ素に置換することにより、 結合が弱くなるので高い電子密度と低い電子温度のプラズマを発生しやすくなる。 電子密度が高いほどイオン密度も高くなりエッチング速度が増大する。 電子温 度が低く抑えられると過剰な解離を抑制でき、 エッチングに必要な C F2ラジカ ルゃ CF 3 +イオンなどを得やすくなる。 この様な効果が最も大きいのがヨウ素化 合物である。 特開平 11-340211 号公報、 Jpn. J.Appl.Rhys.Vol.39 (2000) ppl 583-1596 などに示されているように、 該ヨウ素化合物は低い電子温度のまま で電子密度を上げやすく、 これらの中にはエツチング効率の高レ C F 3 +を選択的 に発生するものがある。
分子中に二重結合を持つ HFC、 PFCは地球温暖化効果が小さく、 プラズマ 中で二重結合が解離しやすいため、 エツチングに必要なラジカルゃィォンを制御 しゃすい。
本発明のドライエッチングガスとして、 三重結合に直接結合した C F 3 C部分 を持つエッチングガス成分と併用ガス成分からなる混合ガスを使用する場合、 通 常、 エッチングガス成分の少なくとも 1種を流量比 10%程度以上、 併用ガス成 分の少なくとも 1種を流量比 90 %程度以下使用する。 好ましくはエッチングガ ス成分の少なくとも 1種を流量比 20〜99%程度、 併用ガス成分の少なくとも 1種のガスを流量比 1〜80%程度使用する。 好ましい併用ガス成分は、 Ar、 N2、 02、 CO、 CF3CF = CFCF3、 CF2 = CF2、 CF3CF = CF2、 C F 3 I及び C H 2 F 2からなる群から選ばれる少なくとも 1種である。
酸ィ匕シリコン膜及び/"又はシリコンを含有する低誘電率膜などのシリコン系材 料は、 M S Q (Me t hy 1 s i 1 s e s QU i ox ane)などのシロキサン結合を有する有機高分子 材料である有機 S OG膜、 HSQ (Hydogensilsesquioxane)などの無機絶縁膜およ びこれらの多孔質膜、 S i〇Fなどの酸化シリコン膜中に F (フッ素) を含有す る膜、 窒化シリコン膜、 S i OC膜などである。 また、 これらのシリコン系材料 は、 塗布、 CVD (Chemical Vapor Deposition)など方法で膜形成されることが 多いが、 これ以外の方法で形成した膜であってもよい。
酸化シリコン膜及び Z又はシリコンを含有する低誘電率膜などのシリコン系材 料とは、 膜や層構造を持った材料に限らず、 シリコンを含む化学的組成も持つ全 体がその材料そのもので構成される物質でもよい。 例えば、 ガラスや石英板など の固体物質がこれに相当する。
酸化シリコン膜及び Z又はシリコンを含有する低誘電率膜などのシリコン系材 料を、 レジストやポリシリコンなどのマスク、 シリコン、 窒化シリコン膜、 炭化 シリコン、 シリサイド、 金属窒化物などの下地に対して選択的にエッチングする ことが可能である。 さらに、 半導体プロセスにおいては、 被エッチング材料であ るシリコン系材料層と下地である窒化シリコン膜などのエッチングストッパ一膜 とを連続して一度にエッチングする必要が起こる場合もあり得る。 この様な場合 は、 レジストなどのマスクのエッチング速度が下地のエッチング速度よりも小さ い条件を選ぶことにより、 シリコン系材料層とエッチングストッパー膜などの下 地を連続したプロセスの中でエッチングすることが可能となる。
好ましいエッチング条件を以下に示す:
*放電電力 200〜3000W、 好ましくは 400〜2000W;
*バイアス電力 25~2000W、 好ましくは 100〜1000W; *圧力 10 OmTo r r以下、 好ましくは 2〜 5 OmT o r r ; *電子密度 109—1013 cm— 3好ましくは 1010— 1012cm一3
*電子温度 2〜9 e V好ましくは 2〜7 e V
*ウェハー温度一 40〜100°C、 好ましくは一 30〜50°C。
*チヤンバー壁温度一 30〜 300 °C、 好ましくは、 20〜 200 °C
. 放電電力とバイアス電力はチャンバ一の大きさや電極の大きさで異なる。 小口 径ウェハー用の誘導結合プラズマ (I CP) エッチング装置 (チャンバ一容積 3500 cm3) で酸化シリコン膜及び Z又は窒化シリコン膜及び Z又はシリコ ンを含有する低誘電率膜をコンタクトホールなどをエッチングする際のこれらの 好ましいエッチング条件は
*放電電力 200〜1000W、 好ましくは 300〜600W
*バイアス電力 50- 500W、 好ましくは 100〜 300Wである。
なお、 ウェハ一が大口径化するとこれらの値も大きくなる。
発明を実施するための最良の形態
以下、 本発明を実施例及び比較例を用いてより詳細に説明する。
実施例 1及び比較例 1
I CP (Inductive Coupled Plasma)放電電力 1000W、 バイアス電力 250 W、圧力 5mTo r r、 電子密度 9 X 1010- 1. 5X 1011 cm— 3、 電子温度 3. 8-4. 1 e Vのエッチング条件で環状 c— C4F8 (比較例 1) 及び CF3C≡CCF3 (実施例 1) のエッチング特性を比較した。 S i基板上に約 1 m厚さの酸化シリコン (S i 02) 膜を有し、 さらにその上にホール直径 0. 2 mのレジストパターンを有する半導体基板を深さ約 1 mエッチングし たときのエッチング速度、 選択比及び直径 0. 2 mホール底部径 ( m) を以 下の表 1に示した。 CF3C≡CCF3の方が、 既存のエッチングガスである環状 c一 C 4 F 8よりもエッチング速度は小さいが、 レジストに対するエッチング選択 比は大きい。 また、 c一 C4F8ではホール底部の径が 0. 10 mであり、 本来 のホールサイズよりも縮小して、 エッチングがストップする傾向を示している。 これに対して、 C F 3 Cョ C C F 3はレジストパターン通りの加工がホール底部ま で可能である。 表 1
Figure imgf000015_0001
実施例 2及び比較例 2
I CP (Inductive Coupled Plasma)放電電力 1000W、 バイアス電力 250 W、 圧力 5mTo r rのエッチング条件で、
CF3C≡CCF3/CF3CF = CFCF3混合ガス (流量比 35%/65%;実 施例 2) でコンタクトホールをエッチングした場合と既存のエッチングガス c— C4F8ZAr混合ガス (流量比 35%/65%;比較例 2) でコンタクトホ ールをエッチングした場合とのエッチング速度と平面に対する直径 0. 2 mの エッチング速度の減少率を比較し、 表 2に示した。
CF3Cョ CCF3/CF3CF = CFCF 3混合ガスは c— C 4 F s/ A r混合ガ スよりもエッチング速度の減少率が小さい。 従って、 異なった大きさのパターン をほぼ同じエッチング速度でエッチングでき、 下地をエッチングする時間が少な くなりダメージの少ない半導体デバイスの製作に利用できる。
表 2
Figure imgf000015_0002
本発明のドライエッチングガスに由来するガスプラズマでは、 選択的に発生さ せたエッチング効率の高い CF 3 +を多く含むイオン群と CF 3 Cおよび C≡Cフ ラグメントから発生するラジカルからなる平坦で密度が高くかつ炭素成分が多く 硬いフルォロカーボンポリマー膜により形成されるエッチング反応層や保護膜と のバランスを取ることにより、 マイクロローデイング効果を小さくして、 酸ィ匕シ リコン膜及び Z又はシリコンを含有する低誘電率膜などのシリコン系材料を選択 的にエッチングする。
CF 3+イオンはエッチング効率を向上させ、 低いバイアス電力でのエッチング が可能となりレジストゃシリコンなどの下地に与えるダメージが少ない。
CF3Cフラグメントから発生するラジカルは平坦で密度の高いフルォロカ一ポ ンポリマー膜を形成し、 C≡ Cフラグメントから発生するラジカルは炭素成分が 多く硬いフルォロカーボンポリマー膜を形成する。 これらの両方の性質を有する 膜に由来するエッチング反応層や保護膜は、 エッチング物質の反応効率を向上さ せ、 レジストなどのマスクやシリコンなどの下地を保護しエッチング選択比を向 上させる。エッチング効率の高い CF3 +イオンと平坦で密度が高く炭素成分の多 い硬いフルォロカーボン膜を形成する CF3Cおよび C≡Cフラグメントに由来 するラジカルとのバランスをとり、 マイクロ口一ディング効果の小さい、 エッチ ストップのないエッチングを実現する。

Claims

請求の範囲
1. ヘテロ原子を含みうるフルォロカ一ボンを骨格に持つ三重結合を有する化 合物を含むドライエツチングガス。
2. 三重結合を有する一般式 (1)
一般式 ( 1 ):
CaFbXc (1)
(Xは C l, B r, I又は H、 a = 2— 7、 b=l— 12、 c = 0— 8、 b + c =2 a— 2) で表される化合物を少なくとも一種含む請求項 1に記載のドライエ ツチングガス。
3. 一般式 (2):
CmF2m+1C≡CY (2)
(m=l— 5、 Yは F, I, Hまたは CdFeHf (d=l_4、 e = 0— 9、 f = 0-9, e + f = 2d + l、 m+d<6) を示す。)
で表される化合物を少なくとも一種含む請求項 1に記載のドライエッチングガス。
4. 一般式 (3):
CF3C≡CY (3)
(Yは F, I, Hまたは CdFeHf (d=l— 4、 e = 0— 9、 f = 0- 9, e+ f = 2 d+1) を示す。)
で表される化合物を少なくとも一種含む請求項 1に記載のドライエッチングガス。
5. CF3C≡CCF3, CF3C≡CF及び CF3C≡CCF2CF3からなる群 から選ばれる少なくとも一種を含む請求項 4に記載のドライエツチングガス。
6. CF3C≡CCF3 を含む請求項 5に記載のドライエッチングガス。
7. CF3CF = CFCF3, C F 2 = C F 2および C F 3 C F二 C F 2からなる群 から選ばれる少なくとも 1種のガスをさらに含む請求項 1〜 5のいずれかに記載 のドライエッチングガス。
8. CF3CF = CFCF3をさらに含む請求項 6に記載のドライエツチングガ ス。
9. 二重結合を有する一般式 (4):
CgFhXi (4) (Xは C I, B r, I又は H, g = 2— 6、 h = 4_12、 i = 0— 2、 h+ i =2 g) で表される化合物の少なくとも 1種をさらに含む請求項 1〜 6のいずれ かに記載のドライエッチングガス。
10. 一般式 (5):
R f h = CX1Y1 (5)
(R f hは CF3CF、 CF3CHおよび CF2からなる群から選ばれるいずれか であり、 X1および Y1は、 同一又は異なって F, C l, B r, I, Hまたは CjFkHi ( j = 1— 4、 k+ 1 =2 j + 1) を示す。)
で表される化合物の少なくとも 1種をさらに含む請求項 1〜 6のいずれかに記載 のドライエッチングガス。
11. 一般式 (6):
R f=C (CpF2p + 1) (CqF2q + 1) (6)
(R f は CF3CFあるいは CF2、 p, qは同一又は異なって 0, 1, 2または 3を示す。 p + qく 5) で表される化合物からなる群から選ばれる少なくとも 1 種をさらに含む請求項 1〜 6のいずれかに記載のドライエッチングガス。
12. さらに希ガス、 不活性ガス、 NH3、 H2、 炭化水素、 02、 含酸素化合 物、ハロゲン化合物、 HFC (Hydrofluorocarbon)及び単結合および二重結合の 少なくとも一種を持つ PFC (perfluorocarbon)ガスからなる群から選ばれる少 なくとも 1種を含む請求項 1〜 8のいずれかに記載のドライエッチングガス。
13. さらに He、 Ne、 Ar、 Xe、 K rからなる群から選ばれる希ガス、 N2からなる不活性ガス、 NH3、 H2、 CH4、 C2H6、 C3H8、 C2H4
C3H6などからなる炭化水素、 02、 CO、 C02、 (CF3) 2C=〇、
CF3CFOCF2、 CF3OCF3などからなる含酸素化合物、 CF3 I、
CF3CF2 I、 (CF3) 2CF I、 CF3CF2CF2 I、 CF3B r、
CF3CF2B r、 (CF3) 2CFB r、 CF3CF2CF2B r、 CF3C 1、 CF3CF2C 1、 (CF3) 2CFC 1、 CF3CF2CF2C CF2 = CF I、 CF2 = CFC 1、 CF2 = CFB r、 CF2 = C I 2、 CF2 = CC 12,
C F 2 = C B r 2などからなるハロゲン化合物、 CH2F2、 CHF3、 CHF3、 CF3CHF2、 CHF2CHF2、 CF3CH2F、 CHF2CH2F、 CF3CH3、 CH2FCH2F、 CF2 = CHF、 CHF = CHF、 CH2 = CF2
CH2 = CHF、 CF3CH=CF2、 CF3CH=CH2、 CH3CF = CH2など からなる HFC(Hydroiluorocarbon)及び CF4、 C2F6、 C3F8、 C4F10、 c一 C4F8、 CF2 = CF2、 CF2 = CFCF = CF2,
CF3CF = CFCF = CF2、 c— C 5 F 8などからなる単結合および二重結合の 少なくとも一種を持つ PFC (perfluorocarbon)ガスからなる群から選ばれる少 なくとも 1種のガスを含む請求項 1-8のいずれかに記載のドライエツチングガ ス。
14. 請求項 1〜13のいずれかに記載のドライエッチングガスのガスプラズ マで、 酸化シリコン膜及び/又はシリコンを含有する低誘電率膜などのシリコン 系材料をエッチングすることを特徴とするドライエッチング方法。
PCT/JP2001/009769 2000-11-08 2001-11-08 Gaz de gravure seche et procede de gravure seche WO2002039494A1 (fr)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2002541719A JP4186045B2 (ja) 2000-11-08 2001-11-08 ドライエッチングガスおよびドライエッチング方法
US10/415,647 US20040035825A1 (en) 2000-11-08 2001-11-08 Dry etching gas and method for dry etching
KR1020037006277A KR100874813B1 (ko) 2000-11-08 2001-11-08 드라이 에칭 가스 및 드라이 에칭 방법

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2000341110 2000-11-08
JP2000-341110 2000-11-08

Publications (1)

Publication Number Publication Date
WO2002039494A1 true WO2002039494A1 (fr) 2002-05-16

Family

ID=18815902

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2001/009769 WO2002039494A1 (fr) 2000-11-08 2001-11-08 Gaz de gravure seche et procede de gravure seche

Country Status (5)

Country Link
US (1) US20040035825A1 (ja)
JP (1) JP4186045B2 (ja)
KR (1) KR100874813B1 (ja)
TW (1) TWI290741B (ja)
WO (1) WO2002039494A1 (ja)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20040008467A (ko) * 2002-07-18 2004-01-31 주식회사 하이닉스반도체 반도체소자의 콘택홀 형성방법
JP2006108484A (ja) * 2004-10-07 2006-04-20 Ulvac Japan Ltd 層間絶縁膜のドライエッチング方法
JP2006156992A (ja) * 2004-11-05 2006-06-15 Tokyo Electron Ltd プラズマ処理方法
JP2006196663A (ja) * 2005-01-13 2006-07-27 Tokyo Electron Ltd エッチング方法,プログラム,コンピュータ読み取り可能な記録媒体及びプラズマ処理装置
WO2007116515A1 (ja) * 2006-04-07 2007-10-18 Philtech Inc. 半導体装置及びその製造方法、ドライエッチング方法、並びに配線材料の作製方法
US7341764B2 (en) 2001-11-08 2008-03-11 Zeon Corporation Gas for plasma reaction, process for producing the same, and use
KR100843204B1 (ko) 2006-09-14 2008-07-02 삼성전자주식회사 반도체 소자의 식각방법 및 이를 이용한 반도체 소자의제조방법
JP2009206444A (ja) * 2008-02-29 2009-09-10 Nippon Zeon Co Ltd プラズマエッチング方法
US8125069B2 (en) 2006-04-07 2012-02-28 Philtech Inc. Semiconductor device and etching apparatus
KR101362632B1 (ko) * 2010-09-28 2014-02-12 세키스이가가쿠 고교가부시키가이샤 에칭 방법 및 장치
CN106414798A (zh) * 2013-12-30 2017-02-15 科慕埃弗西有限公司 室清洁和半导体蚀刻气体
US11688609B2 (en) 2020-05-29 2023-06-27 Tokyo Electron Limited Etching method and plasma processing apparatus

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100782632B1 (ko) * 2000-12-21 2007-12-06 동경 엘렉트론 주식회사 절연막의 에칭 방법
US20090191715A1 (en) * 2006-03-09 2009-07-30 Toshio Hayashi Method for etching interlayer dielectric film
US7981308B2 (en) 2007-12-31 2011-07-19 Robert Bosch Gmbh Method of etching a device using a hard mask and etch stop layer
KR20100123757A (ko) * 2008-03-07 2010-11-24 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 비-선택적 산화물 에칭용 습윤 세정 조성물 및 사용 방법
US8623148B2 (en) * 2009-09-10 2014-01-07 Matheson Tri-Gas, Inc. NF3 chamber clean additive
JP5537324B2 (ja) * 2010-08-05 2014-07-02 株式会社東芝 半導体装置の製造方法
US10607850B2 (en) 2016-12-30 2020-03-31 American Air Liquide, Inc. Iodine-containing compounds for etching semiconductor structures
US10276439B2 (en) 2017-06-02 2019-04-30 International Business Machines Corporation Rapid oxide etch for manufacturing through dielectric via structures

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4581101A (en) * 1983-10-04 1986-04-08 Asahi Glass Company Ltd. Dry-etching process
JPH0831802A (ja) * 1994-07-18 1996-02-02 Hitachi Ltd エッチング方法及びエッチング装置
JPH09191002A (ja) * 1996-01-10 1997-07-22 Sony Corp プラズマエッチング方法
US5770098A (en) * 1993-03-19 1998-06-23 Tokyo Electron Kabushiki Kaisha Etching process

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4257905A (en) * 1977-09-06 1981-03-24 The United States Of America As Represented By The United States Department Of Energy Gaseous insulators for high voltage electrical equipment
US5366554A (en) * 1986-01-14 1994-11-22 Canon Kabushiki Kaisha Device for forming a deposited film
JP3008510B2 (ja) * 1991-02-16 2000-02-14 ダイキン工業株式会社 含フッ素エタンの2量体の製法
US5674621A (en) * 1996-01-29 1997-10-07 Eastman Kodak Company Fuser members with an outermost layer of a fluorinated diamond like carbon
GB9617811D0 (en) * 1996-08-27 1996-10-09 Nycomed Imaging As Improvements in or relating to contrast agents
KR100490968B1 (ko) * 1996-10-30 2005-05-24 고교기쥬쯔잉초가다이효스루니혼고쿠 드라이 에칭 방법
US6174451B1 (en) * 1998-03-27 2001-01-16 Applied Materials, Inc. Oxide etch process using hexafluorobutadiene and related unsaturated hydrofluorocarbons
US6602434B1 (en) * 1998-03-27 2003-08-05 Applied Materials, Inc. Process for etching oxide using hexafluorobutadiene or related fluorocarbons and manifesting a wide process window
US6143938A (en) * 1998-11-10 2000-11-07 E. I. Du Pont De Nemours And Company Process for perhalocycloalkane purification
JP4776747B2 (ja) * 1998-11-12 2011-09-21 株式会社ハイニックスセミコンダクター 半導体素子のコンタクト形成方法
US6800210B2 (en) * 2001-05-22 2004-10-05 Reflectivity, Inc. Method for making a micromechanical device by removing a sacrificial layer with multiple sequential etchants
US6544319B1 (en) * 2002-01-16 2003-04-08 Air Products And Chemicals, Inc. Purification of hexafluoro-1,3-butadiene

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4581101A (en) * 1983-10-04 1986-04-08 Asahi Glass Company Ltd. Dry-etching process
US5770098A (en) * 1993-03-19 1998-06-23 Tokyo Electron Kabushiki Kaisha Etching process
JPH0831802A (ja) * 1994-07-18 1996-02-02 Hitachi Ltd エッチング方法及びエッチング装置
JPH09191002A (ja) * 1996-01-10 1997-07-22 Sony Corp プラズマエッチング方法

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2317543A3 (en) * 2001-11-08 2012-08-29 Zeon Corporation Gas for plasma reaction, process for producing the same and use
US7341764B2 (en) 2001-11-08 2008-03-11 Zeon Corporation Gas for plasma reaction, process for producing the same, and use
US7652179B2 (en) 2001-11-08 2010-01-26 Zeon Corporation Gas for plasma reaction, process for producing the same, and use thereof
KR20040008467A (ko) * 2002-07-18 2004-01-31 주식회사 하이닉스반도체 반도체소자의 콘택홀 형성방법
JP2006108484A (ja) * 2004-10-07 2006-04-20 Ulvac Japan Ltd 層間絶縁膜のドライエッチング方法
JP2006156992A (ja) * 2004-11-05 2006-06-15 Tokyo Electron Ltd プラズマ処理方法
JP2006196663A (ja) * 2005-01-13 2006-07-27 Tokyo Electron Ltd エッチング方法,プログラム,コンピュータ読み取り可能な記録媒体及びプラズマ処理装置
WO2007116515A1 (ja) * 2006-04-07 2007-10-18 Philtech Inc. 半導体装置及びその製造方法、ドライエッチング方法、並びに配線材料の作製方法
WO2007116964A1 (ja) * 2006-04-07 2007-10-18 Philtech Inc. 半導体装置及びその製造方法、ドライエッチング方法、配線材料の作製方法、並びにエッチング装置
US8125069B2 (en) 2006-04-07 2012-02-28 Philtech Inc. Semiconductor device and etching apparatus
KR100843204B1 (ko) 2006-09-14 2008-07-02 삼성전자주식회사 반도체 소자의 식각방법 및 이를 이용한 반도체 소자의제조방법
JP2009206444A (ja) * 2008-02-29 2009-09-10 Nippon Zeon Co Ltd プラズマエッチング方法
KR101362632B1 (ko) * 2010-09-28 2014-02-12 세키스이가가쿠 고교가부시키가이샤 에칭 방법 및 장치
CN106414798A (zh) * 2013-12-30 2017-02-15 科慕埃弗西有限公司 室清洁和半导体蚀刻气体
TWI650405B (zh) * 2013-12-30 2019-02-11 美商杜邦股份有限公司 腔室清潔及半導體蝕刻氣體
US11688609B2 (en) 2020-05-29 2023-06-27 Tokyo Electron Limited Etching method and plasma processing apparatus

Also Published As

Publication number Publication date
US20040035825A1 (en) 2004-02-26
KR20030051786A (ko) 2003-06-25
KR100874813B1 (ko) 2008-12-19
JPWO2002039494A1 (ja) 2004-03-18
JP4186045B2 (ja) 2008-11-26
TWI290741B (en) 2007-12-01

Similar Documents

Publication Publication Date Title
JP5569416B2 (ja) ドライエッチングガスおよびドライエッチング方法
JP7079872B2 (ja) 半導体構造物上に窒素含有化合物を堆積させる方法
WO2002039494A1 (fr) Gaz de gravure seche et procede de gravure seche
JP2015159308A (ja) ドライエッチングガスおよびドライエッチング方法
JP3866694B2 (ja) Lsiデバイスのエッチング方法および装置
WO2009122771A1 (ja) ドライエッチングガス及びそれを用いたドライエッチング方法
KR20050034566A (ko) 실리콘 탄화물막을 제조하는 방법
JP4839506B2 (ja) ドライエッチング方法
JP2008277812A (ja) 水蒸気及び希釈ガスにより改善された水素アッシング
JP6773110B2 (ja) プラズマエッチング方法
JP2012169408A (ja) マスク用材料、マスクの形成方法、パターン形成方法、及びエッチング保護膜
JP4015510B2 (ja) 半導体集積回路の多層配線用層間絶縁膜及びその製造方法
JP4889199B2 (ja) 低誘電率層間絶縁膜のドライエッチング方法
JP6569578B2 (ja) プラズマエッチング方法
JP4144795B2 (ja) 低誘電率層間絶縁膜のドライエッチング方法
JP4681215B2 (ja) 低誘電率層間絶縁膜のドライエッチング方法
JP3834004B2 (ja) エッチング後処理方法
JP4500029B2 (ja) 低誘電率層間絶縁膜のドライエッチング方法
JP2005033027A (ja) 低誘電率層間絶縁膜のドライエッチング方法
JP2018032667A (ja) プラズマエッチング方法

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): JP KR US

DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
WWE Wipo information: entry into national phase

Ref document number: 2002541719

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 10415647

Country of ref document: US

WWE Wipo information: entry into national phase

Ref document number: 1020037006277

Country of ref document: KR

WWP Wipo information: published in national office

Ref document number: 1020037006277

Country of ref document: KR