JP2008277812A - 水蒸気及び希釈ガスにより改善された水素アッシング - Google Patents

水蒸気及び希釈ガスにより改善された水素アッシング Download PDF

Info

Publication number
JP2008277812A
JP2008277812A JP2008107477A JP2008107477A JP2008277812A JP 2008277812 A JP2008277812 A JP 2008277812A JP 2008107477 A JP2008107477 A JP 2008107477A JP 2008107477 A JP2008107477 A JP 2008107477A JP 2008277812 A JP2008277812 A JP 2008277812A
Authority
JP
Japan
Prior art keywords
gas
amount
ashing
plasma
hydrogen
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2008107477A
Other languages
English (en)
Inventor
Chan-Syun Yang
シュン ヤン チャン
Changhun Lee
リー チャンフン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2008277812A publication Critical patent/JP2008277812A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

【課題】水素化シリコンオキシカーバイド材料に基づく低−k誘電体材料に特に有用な酸素フリーの水素プラズマアッシングプロセスを提供する。
【解決手段】メインアッシング工程は、水素(50)及び任意の窒素(54)、大量の水蒸気(60)、更に大量のアルゴン(80)又はヘリウムのプラズマ(48)に、予めエッチングしておいた誘電体層を露出することを含む。ポーラス低−k誘電体については特に、メインアッシングプラズマは、更に、メタン等の炭化水素ガス(84)を含有する。メインアッシングは、水素及び任意の窒素等の水素含有還元ガスのプラズマにより、短い表面処理を施しておいてもよい。
【選択図】 図2

Description

発明の分野
本発明は、概して、集積回路の製造において、材料をプラズマエッチングすることに関する。特に、本発明は、フォトレジストのアッシングに関する。
プラズマエッチングは、シリコン集積回路の製造に広く用いられている。誘電体エッチングと呼ばれることの多い、そのプロセス工程の1つを用いて、誘電体層を通るホールを形成して、異なるレベルの集積回路間に垂直な電気的接続を与える。典型的なビア構造の概略を、図1の断面図に示す。ウェハ表面に形成された下部誘電体層10は、その表面に形成された導電性フィーチャー12を有する。上部誘電体層14は、下部誘電体層10およびその導電性フィーチャー12上に堆積している。平坦なフォトレジスト層16が、まだパターン化されていない上部誘電体層14にスピンされ、ステッパが、放射パターンに従って、フォトリソグラフィー的にそれを露出して、フォトレジスト層16を通してマスクアパーチャ18を形成することにより、ビアを通して電気的に接触する導電性フィーチャー12を覆うマスクアパーチャ16を備えたフォトマスクが形成される。上部誘電体層14とフォトレジスト層16の間に、エッチングハードマスクや反射防止コーティング等の追加の層が形成されていてもよい。フォトマスクされたウェハをプラズマエッチングリアクタに入れ、上部誘電体層14を通して、導電性フィーチャーへエッチングし、ビアホール20を形成する。一般的に、同じエッチングリアクタで、反射防止コーティング及びハードマスクがある場合には、これを通してエッチングして、エッチングの化学物質を層間で変化させる。誘電体エッチングは、一般的に、フルオロカーボン化学物質に基づいており、例えば、ヘキサフルオロブタジエン(C)を用いる。
誘電体エッチング後、ビアホール20を、アルミニウムや銅等の金属で充填して、導電性フィーチャー12に垂直な電気的接続を与える。銅メタライゼーションに一般的に用いられるデュアルダマシン構造については、ビアホール20は、上部の水平に延在するトレンチに接続された上部誘電体層14の下部で、これより短いビアホールに替える。両者共、同時に銅で充填される。コンタクト層メタライゼーションについては、下部誘電体層10は、活性シリコン層に替え、導電性フィーチャー12は同じくシリコンから構成される。ただし、ビアホール20との界面に複雑なシリサイドとゲート酸化物があり、この場合は、適切には、コンタクトホールと呼ばれる。
誘電体エッチング完了時、フォトレジストが一部、誘電体層14の上部に残ったり、炭素質組成物であることが多いエッチング残渣が、ビアホール18に残る場合がある。残渣は、ビアホール20の側部に、垂直エッチング断面を作成する支援となるポリマーコーティング22を形成したり、ビアホール20の下部の一部に、分離されたエッチング残渣24を形成する。同様のポリマーコーティングで、フォトレジストの残りをカバーして、硬化した外側表面を生成してもよい。金属充填プロセスでは、バリア層、及び電気化学めっき(ECP)により実施される銅メタライゼーションの場合には、シード層及び電気めっき電極として機能する銅層を含むコンフォーマルなライナでビアホール20を、コートする必要がある。現在、バリア層は、一般的に、TaN/Taとそれの二層であり、銅シード層は、スパッタリングの最新の形態により堆積してよい。ビアホールをライニングする層の堆積のために、フォトレジスト及びその他残渣を、構造から除去することが重要である。それらは、ビア側壁への接着を劣化させ、ビア下部での接触抵抗を増大し、いずれの場合も、デバイス収率や信頼性に影響するからである。
プラズマアッシングは、エッチング後にフォトレジスト及びその他残渣を除去するのに長いこと行われてきた。酸素プラズマは、カーボン系層をエッチング除去するのに非常に有効である。アッシングは、多数のウェハのバッチ処理用に設計されたバレルアッシャーで以前は行われていたが、最近の技術では、別個のエッチングリアクタとして、又は、誘電体エッチングに用いるのと同じプラズマエッチングリアクタで実施される別個の処理工程で、単一ウェハプラズマアッシャーを用いている。
従来のアッシングは、誘電体層が、凡そSiOの化学組成を有し、約3.9の誘電率kを有する二酸化ケイ素(シリカ)で形成されていると、有効である。しかしながら、最新の集積回路に必要とされる、より最新の低−k誘電体に適用すると、アッシングには問題がある。以前の低−k誘電体は、シリカにフッ素をドープして、誘電率を約3.5に減じることにより形成されていた。低−3範囲のこれより低い誘電率でも、カリフォルニア州、サンタクララのアプライドマテリアルズ(Applied Materials,Santa Clara,California)より入手可能なブラックダイヤモンド(Black Diamond)誘電体等の水素化シリコンオキシカーバイド材料により得られる。かかる材料をポーラスにして堆積することにより、3未満のさらに低い誘電率が得られていた。これらの材料の酸素アッシングは多くの問題を生じる。酸素プラズマは、炭素質フォトレジスト残渣及びその他残渣を攻撃するばかりでなく、シリコンオキシカーバイドのカーボン含量も枯渇させる傾向があり、その誘電率が増大する。ポーラス誘電体材料は、比較的脆性で、酸素がポアに部分的に透過し、ポアが崩壊するために、酸素プラズマから、より損傷を受け易い。
従って、最新のアッシングは、酸素プラズマの酸化の化学物質から、水素と可能な場合は窒素の組み合わせ、例えば、H、H/N又はNHで形成されたプラズマの還元の化学物質へとシフトしている。水素ラジカルHに基づくアッシングは、酸素アッシングに比べ、高い性能と少ない誘電体損傷を示す。しかしながら、水素アッシングは、遅い還元反応と、還元ガスのみの環境で生成される低い水素ラジカル密度のために、非常に遅いプロセスである。酸素アッシングは、20秒の処理を必要とするが、水素アッシングは、10倍長い時間が必要で、明らかに経済的に不利である。従って、往々にして、少量の酸素を還元ガスに添加して、アッシング速度及びアッシング効率を増大している。しかしながら、ポーラス低−k材料は、少量の酸素にも感受性があり、大量のカーボンをシリコンオキシカーバイド材料から除去し、ポア構造を崩壊して、誘電率を増大する恐れがある。
発明の概要
メインアッシングが、水素ガスと、任意の窒素ガスと、水蒸気と、アルゴンやヘリウム等のその他不活性又は希釈ガスとから形成されたプラズマを含む、酸素フリーのプラズマアッシングプロセスが実施される。アンモニアを、水素と窒素の替わりにしてもよい。プラズマは、水素ガスよりも水蒸気から、水蒸気よりも不活性ガスから形成される。
アッシングは、カーボンおよび酸化ケイ素、例えば、水素化シリコンオキシカーバイドを含有する低−k誘電体材料に特に有用である。
任意で、炭化水素ガス、例えば、メタンを、メインアッシング工程のプラズマに添加してもよい。炭化水素の添加は、例えば、3未満の誘電率を有するポーラスな低−k誘電体材料に特に有用である。
初期の酸素フリープラズマアッシング又は表面処理工程は、水素又はアンモニア等の水素含有還元ガスと、任意の窒素ガスとから形成された、水蒸気は含まないプラズマを含む。
好ましい実施形態の詳細な説明
水蒸気及び大量のアルゴン又はヘリウムを、水素系アッシングプラズマに添加すると、水素ラジカルの濃度が大幅に増加し、低−k誘電体に対する損傷を減じて、アッシング速度が増大する。
本発明は、図2の断面図に概略を示すプラズマアッシングリアクタ30で実施される。真空処理チャンバ32は、真空ポンプシステム36により低トル範囲までポンピングされる。チャンバ32内の台座38は、多数のアパーチャ44からプロセスガスを供給するガスシャワーヘッド42に対向して、アッシングされるウェハ40をサポートする。
プロセスガスは、リモートプラズマソース48を通して、シャワーヘッド42の裏にあるマニホルド46へ供給され、処理ガスがプラズマへ励起される。リモートプラズマソース48は、真空チャンバ48から距離を離して配置してもよいが、それでも、その補助装置と考えられる。リモートプラズマソース48で生成されたプラズマを含有するガスが、活性プラズマ状態で真空チャンバ48に流れるからである。主にラジカルで、比較的少ないプラズマイオンが、処理チャンバ32へ分配されるのが好ましい。リモートプラズマソース及びマニホルドのいくつかが、2006年2月10日出願のフー(Fu)による米国特許出願第11/351,676号で現在は米国特許出願公開第2007/0190266号として公開されたものに開示されている。リモートプラズマソース48は、低ギガヘルツ範囲、例えば、2.54GHzで動作するマイクロ波励起ソース、またはサブギガヘルツ範囲、例えば、270〜650kHzで動作するRF励起ソースを利用してもよい。リモートプラズマソース48が、帯電した粒子フィルタを有していると、チャンバに分配されるプラズマが、中和ラジカルのみを含有していて、帯電したイオンを含有していないため、有利である。水素ガス(H)を主要アッシングガスとして用いる場合には、それは、リモートプラズマソース48に、水素ガスソース50から、マスフローコントローラ52を通して供給される。窒素ガス(N)を、窒素ガスソース(54)から、他のマスフローコントローラ56を通して供給してもよい。窒素は、水素ラジカルエッチングのためのパッシベータとして機能する傾向がある。
水蒸気(HO)は、液体の水のプール62を含む真空密閉水アンプル60からリモートプラズマソース48に供給される。マスフローコントローラ64が、アンプル60から水蒸気を計量する。室温での水の蒸気圧は、約20トルであり、リモートプラズマソース48が動作する通常の真空レベルより遥かに上である。従って、アンプル60を、バックポンピングさせると、約20トルの圧力を有する水蒸気が、アンプル60の液体の水のプール62の上のヘッドスペース66に存在する。アンプル60を、チャンバ32に直接搭載して、管の長さを最小にしてもよく、その壁では、水が濃縮され易い。
コントローラ70は、CDROM等の記録媒体72において、コントローラ70へ挿入されるレシピに従って動作し、ポンピングシステム36、リモートプラズマソース48及び既述したマスフローコントローラ52、56、64その他をはじめとする様々なマスフローコントローラを制御する。
本発明によれば、アルゴン(Ar)等のその他の不活性ガスを、マスフローコントローラ82により計量して、アルゴンガスソース80から供給する。アルゴンをヘリウム(He)に替えてもよい。アルゴンは、HOのH及びOHへの解離を促す。これはぺニングプロセスと考えられ、励起したアルゴンラジカルのエネルギーが、水成分へ移される。これによって、Hのみで可能なよりも、遥かに高い密度の水素ラジカルHが水蒸気から生成される。その結果、アルゴンとヘリウムは、通常は不活性希釈ガスと考えられるものの、実際のアッシングにおいては不活性のままであるが、高密度の活性アッシングラジカルの生成が促進されるものと考えられる。それでも、レシピに有利に含まれるHは、酸素ラジカルOの生成を抑制する。更に、Nを添加すると、HOの解離を促進するばかりでなく、アッシングプロセス中のパッシベーションも行うため有利である。
表1に示した水素化シリコンオキシカーバイドのアッシングプロセスの一実施形態は、標準立方センチメートル(sccm)の単位で表わされたプロセスガスフローでの表1に示した2ステッププロセスである。
Figure 2008277812
第1のステップは、中程度のソフトエッチング又は表面処理であり、フォトレジスト又はポリマー側壁コーティングの表面を硬化するものではない。第1のステップは、主に、水素還元化学物質に基づくものであるため遅い。しかしながら、表面をエッチングするだけのものではない。H/Nは、H単体やアンモニア(NH)等のその他還元ガスに替えてもよい。第2のステップは、フォトレジスト及び残渣の大半を即時に除去するものである。第2のステップは、メインアッシングステップであり、初期表面処理ステップよりも長い。
まとめたレシピは、本発明のプロセスの代表例に過ぎないと理解される。圧力範囲は0.5〜5トル、RPS電源範囲は、300mmのチャンバについては、2kW〜8kW、水素フローは200〜2000sccm、アルゴンフローは3000〜10,000sccm、水蒸気フローは500〜3000sccmまで容易に広げられる。上述した通り、アルゴンをヘリウムに替えてもよい。一般的な言い方をすると、第1のステップでは、主に水素が供給されるが、窒素の供給量は少ない。第2のステップでは、水よりもアルゴンが、水蒸気よりも水素が供給される。酸素ガス又はオゾンのそのラジカル形態は、いずれのステップでも供給されない。
第2のステップのメインアッシングプロセスは、第1のステップの表面前処理又はその他のタイプの前処理なしで実施される。
表1のレシピは、水素化シリコンオキシカーバイドのノンポーラスな低−k誘電体に有効である。しかしながら、現在好まれている同じ一般組成のポーラスな低−k誘電体には、追加のパッシベーションが望まれる。従って、メタン(CH)等の炭化水素を、他のマスフローコントローラ86を通して、炭化水素ガスソース84から供給してもよい。ただし、水素と炭素とからなる他の炭素と炭化水素に替えてもよく、例えば、エタン(C)、エチレン(C)、アセチレン(C)、高級アルカン、アルケン、アルキン等である。ポーラスな低−k誘電体に好ましいレシピは、表2に示してある。
Figure 2008277812
表2のレシピは、第2のステップにおいて添加されるメタンの量が他の成分より非常に少ないという以外は、表1のものに非常に近い。少量の炭化水素は、誘電体材料のポアをシーリングすることにより露出したポーラスな低−k誘電体をパッシベートして、保護し、エッチングプラズマ、特に酸素成分が、ポア内に深く浸透したり、誘電体材料を劣化するのを防ぐ。
本発明は、リモートプラズマソースを用いたプラズマアッシャーに限定されず、ウェハ又はその他基板近傍の真空チャンバ内でプラズマが生成されるが、プラズマのイオン含量を最小にする必要のないプラズマダイオードエッチングリアクタで実施することができる。更に、本発明は、説明した水素化シリコンオキシカーバイドの低−k誘電体に限定されず、他のタイプの誘電体材料に適用することができ、実際、金属又はシリコンエッチングプロセス後のアッシングに適用してよい。
本発明は、このように、低−k誘電体材料をアッシングするのに特に有用な、迅速でありながらも、保護を与えるアッシングプロセスを提供する。
アッシングにより除去される残渣フォトレジスト、側壁ポリマーコーティング及びその他エッチング残渣を含む誘電体エッチング後のビアホールの断面図である。 本発明に有用なプラズマアッシャーの概略断面図である。

Claims (15)

  1. メインアッシングガスのプラズマを基板に適用するメインアッシング工程を含むアッシングプロセスであって、前記メインアッシングガスが、水素ガス及びアンモニアガスからなる群より選択される第1の量の還元ガスと、前記第1の量より多い第2の量の水蒸気と、アルゴン及びヘリウムからなる群より選択され、有効量の酸素ガスを含有しない、前記第2の量より多い第3の量の希釈ガスとを含むアッシングプロセス。
  2. 前記還元ガスが、水素ガスを含む請求項1記載のプロセス。
  3. 前記還元ガスが、アンモニアガスを含む請求項1記載のプロセス。
  4. 前記メインアッシングガスが、第4の量の炭化水素ガスを含む請求項2記載のプロセス。
  5. 前記第4の量が、前記第1の量より少ない請求項4記載のプロセス。
  6. 前記炭化水素ガスが、メタンを含む請求項4記載のプロセス。
  7. 前記アッシングプロセスが施されるホールを、フォトマスクに従って、誘電体層にエッチングしておく工程を含む請求項1記載のプロセス。
  8. 前記メインアッシング工程の前に実施される初期アッシング工程を含み、前記初期アッシング工程が、第4の量の水素含有還元ガスを含み、有効量の水蒸気又は炭化水素を含有しない、初期アッシングガスのプラズマを前記基板に適用する工程である請求項1〜7のいずれか1項記載のプロセス。
  9. 前記水素含有還元ガスが、水素ガスを含む請求項8記載のプロセス。
  10. 前記初期アッシングガスが、前記第4の量より少ない第5の量の窒素ガスを含む請求項9記載のプロセス。
  11. 予めエッチングされたホールを備えた誘電体層を有する基板をアッシングするプロセスであって、前記基板が配置され、補助装置を含むプラズマエッチングチャンバにおいて、
    第1の量の水素ガスを含み、有効量の酸素及び水蒸気は含まない第1のガス混合物を、第1のプラズマへ励起させる第1の工程と、
    第2の量の水素ガスと、第3の量の水蒸気と、アルゴン及びヘリウムからなる群より選択され、有効量の酸素を含まない第4の量の不活性ガスとを含む第2のガス混合物を、第2のプラズマへ励起させる続く第2の工程とを含むプロセス。
  12. 前記補助装置が、前記第1及び第2のプラズマが励起され、前記チャンバへ流れる遠隔プラズマソースを含む請求項11記載のプロセス。
  13. 前記第1のガス混合物が、窒素を含む請求項11記載のプロセス。
  14. 前記第2のガス混合物が、第5の量の炭化水素ガスを含む請求項11〜13のいずれか1項記載のプロセス。
  15. 前記炭化水素ガスが、メタンを含む請求項14記載のプロセス。
JP2008107477A 2007-04-19 2008-04-17 水蒸気及び希釈ガスにより改善された水素アッシング Pending JP2008277812A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/737,731 US7807579B2 (en) 2007-04-19 2007-04-19 Hydrogen ashing enhanced with water vapor and diluent gas

Publications (1)

Publication Number Publication Date
JP2008277812A true JP2008277812A (ja) 2008-11-13

Family

ID=39604669

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008107477A Pending JP2008277812A (ja) 2007-04-19 2008-04-17 水蒸気及び希釈ガスにより改善された水素アッシング

Country Status (7)

Country Link
US (1) US7807579B2 (ja)
EP (1) EP1983554A3 (ja)
JP (1) JP2008277812A (ja)
KR (1) KR100971045B1 (ja)
CN (1) CN101295145B (ja)
SG (1) SG147394A1 (ja)
TW (1) TWI355019B (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013229537A (ja) * 2012-03-28 2013-11-07 Shibaura Mechatronics Corp 反射型マスクの製造方法、および反射型マスクの製造装置
US9001463B2 (en) 2012-08-31 2015-04-07 International Business Machines Corporaton Magnetic recording head having protected reader sensors and near zero recessed write poles
JP2015098082A (ja) * 2013-11-18 2015-05-28 ローベルト ボツシユ ゲゼルシヤフト ミツト ベシユレンクテル ハフツングRobert Bosch Gmbh 構造化された表面を製作する方法
US9349395B2 (en) 2012-08-31 2016-05-24 International Business Machines Corporation System and method for differential etching
CN111433895A (zh) * 2017-10-03 2020-07-17 马特森技术有限公司 使用有机自由基对含碳膜的表面处理
US11302521B2 (en) 2018-04-18 2022-04-12 Tokyo Electron Limited Processing system and processing method

Families Citing this family (266)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8021514B2 (en) * 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
US20090277871A1 (en) 2008-03-05 2009-11-12 Axcelis Technologies, Inc. Plasma mediated ashing processes that include formation of a protective layer before and/or during the plasma mediated ashing process
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8609526B2 (en) * 2009-10-20 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Preventing UBM oxidation in bump formation processes
US20110097904A1 (en) * 2009-10-22 2011-04-28 Lam Research Corporation Method for repairing low-k dielectric damage
CN103348776B (zh) * 2011-02-15 2017-06-09 应用材料公司 多区等离子体生成的方法和设备
JP2013026399A (ja) * 2011-07-20 2013-02-04 Hitachi High-Technologies Corp プラズマ処理方法及びプラズマアッシング装置
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8962469B2 (en) 2012-02-16 2015-02-24 Infineon Technologies Ag Methods of stripping resist after metal deposition
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN103904023A (zh) * 2012-12-25 2014-07-02 上海华虹宏力半导体制造有限公司 厚铝刻蚀工艺中光刻胶的去除方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
FR3018951B1 (fr) * 2014-03-18 2017-06-09 Commissariat Energie Atomique Procede de gravure d'un materiau dielectrique poreux
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CA2955030C (en) * 2014-07-18 2022-08-02 Deublin Company Piston actuated rotary union
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9767989B2 (en) * 2014-11-11 2017-09-19 Seagate Technology Llc Methods of forming features
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6499001B2 (ja) * 2015-04-20 2019-04-10 東京エレクトロン株式会社 多孔質膜をエッチングする方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10304668B2 (en) 2016-05-24 2019-05-28 Tokyo Electron Limited Localized process control using a plasma system
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP6869024B2 (ja) * 2016-12-20 2021-05-12 東京エレクトロン株式会社 パーティクル除去方法及び基板処理方法
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
CN112219266B (zh) 2018-04-13 2024-06-25 玛特森技术公司 以使用烷基卤化物生成的反应性核素处理工件
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11164725B2 (en) 2018-06-11 2021-11-02 Beijing E-town Semiconductor Technology Co., Ltd. Generation of hydrogen reactive species for processing of workpieces
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) * 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11495456B2 (en) 2018-10-15 2022-11-08 Beijing E-Town Semiconductor Technology, Co., Ltd Ozone for selective hydrophilic surface treatment
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
WO2020086288A1 (en) * 2018-10-26 2020-04-30 Mattson Technology, Inc. Water vapor based fluorine containing plasma for removal of hardmask
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10950416B2 (en) 2018-11-16 2021-03-16 Mattson Technology, Inc. Chamber seasoning to improve etch uniformity by reducing chemistry
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US10403492B1 (en) 2018-12-11 2019-09-03 Mattson Technology, Inc. Integration of materials removal and surface treatment in semiconductor device fabrication
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20210131441A (ko) 2019-04-30 2021-11-02 매슨 테크놀로지 인크 메틸화 처리를 사용한 선택적 증착
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
CN112086335B (zh) * 2019-06-12 2022-07-26 长鑫存储技术有限公司 半导体器件的制备方法
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
KR20220028142A (ko) * 2019-07-18 2022-03-08 매슨 테크놀로지 인크 수소 라디칼 및 오존 가스를 사용한 워크피스의 처리
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220223426A1 (en) * 2021-01-13 2022-07-14 Changxin Memory Technologies, Inc. Semiconductor structure manufacturing method and semiconductor structure manufacturing device
CN114765126B (zh) * 2021-01-13 2023-11-17 长鑫存储技术有限公司 半导体结构的制造方法和半导体结构的制造设备
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
CN113460954B (zh) * 2021-05-24 2024-03-12 北京量子信息科学研究院 含钽膜的微纳米结构元件及其制备方法
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60170238A (ja) * 1984-02-15 1985-09-03 Toyota Central Res & Dev Lab Inc ドライエツチング方法
JPH0786146A (ja) * 1993-09-17 1995-03-31 Fujitsu Ltd レジストマスクの除去方法
JP2004103747A (ja) * 2002-09-09 2004-04-02 Renesas Technology Corp 半導体装置の製造方法
JP2004281837A (ja) * 2003-03-18 2004-10-07 Hitachi Ltd 半導体装置の製造方法
JP2006073722A (ja) * 2004-09-01 2006-03-16 Shibaura Mechatronics Corp アッシング方法及びアッシング装置

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5660682A (en) 1996-03-14 1997-08-26 Lsi Logic Corporation Plasma clean with hydrogen gas
US5895245A (en) 1997-06-17 1999-04-20 Vlsi Technology, Inc. Plasma ash for silicon surface preparation
WO1999026277A1 (en) * 1997-11-17 1999-05-27 Mattson Technology, Inc. Systems and methods for plasma enhanced processing of semiconductor wafers
US7001848B1 (en) * 1997-11-26 2006-02-21 Texas Instruments Incorporated Hydrogen plasma photoresist strip and polymeric residue cleanup process for oxygen-sensitive materials
US6340435B1 (en) 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6316354B1 (en) 1999-10-26 2001-11-13 Lsi Logic Corporation Process for removing resist mask of integrated circuit structure which mitigates damage to underlying low dielectric constant silicon oxide dielectric layer
US20010024769A1 (en) * 2000-02-08 2001-09-27 Kevin Donoghue Method for removing photoresist and residues from semiconductor device surfaces
US6503840B2 (en) * 2001-05-02 2003-01-07 Lsi Logic Corporation Process for forming metal-filled openings in low dielectric constant dielectric material while inhibiting via poisoning
US6630406B2 (en) 2001-05-14 2003-10-07 Axcelis Technologies Plasma ashing process
US6951823B2 (en) * 2001-05-14 2005-10-04 Axcelis Technologies, Inc. Plasma ashing process
US6834656B2 (en) 2001-05-23 2004-12-28 Axcelis Technology, Inc. Plasma process for removing polymer and residues from substrates
US6562700B1 (en) 2001-05-31 2003-05-13 Lsi Logic Corporation Process for removal of resist mask over low k carbon-doped silicon oxide dielectric material of an integrated circuit structure, and removal of residues from via etch and resist mask removal
US6849559B2 (en) 2002-04-16 2005-02-01 Tokyo Electron Limited Method for removing photoresist and etch residues
US6806038B2 (en) * 2002-07-08 2004-10-19 Lsi Logic Corporation Plasma passivation
CN102610481B (zh) * 2004-09-01 2016-04-13 朗姆研究公司 用于增加光阻移除率之装置及等离子体灰化方法
JP2006154722A (ja) 2004-10-28 2006-06-15 Daikin Ind Ltd Cu/low−k多層配線構造のアッシング残渣の剥離液及び剥離方法
US20070045227A1 (en) * 2005-08-31 2007-03-01 Chih-Ning Wu Method of stripping photoresist
US7695567B2 (en) 2006-02-10 2010-04-13 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60170238A (ja) * 1984-02-15 1985-09-03 Toyota Central Res & Dev Lab Inc ドライエツチング方法
JPH0786146A (ja) * 1993-09-17 1995-03-31 Fujitsu Ltd レジストマスクの除去方法
JP2004103747A (ja) * 2002-09-09 2004-04-02 Renesas Technology Corp 半導体装置の製造方法
JP2004281837A (ja) * 2003-03-18 2004-10-07 Hitachi Ltd 半導体装置の製造方法
JP2006073722A (ja) * 2004-09-01 2006-03-16 Shibaura Mechatronics Corp アッシング方法及びアッシング装置

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013229537A (ja) * 2012-03-28 2013-11-07 Shibaura Mechatronics Corp 反射型マスクの製造方法、および反射型マスクの製造装置
US9001463B2 (en) 2012-08-31 2015-04-07 International Business Machines Corporaton Magnetic recording head having protected reader sensors and near zero recessed write poles
US9349395B2 (en) 2012-08-31 2016-05-24 International Business Machines Corporation System and method for differential etching
US9472213B2 (en) 2012-08-31 2016-10-18 International Business Machines Corporation Magnetic recording head having protected reader sensors and near zero recessed write poles
US9886972B2 (en) 2012-08-31 2018-02-06 International Business Machines Corporation Magnetic recording head having protected reader sensors and near zero recessed write poles
US9966237B2 (en) 2012-08-31 2018-05-08 International Business Machines Corporation System and method for differential etching
US10170139B2 (en) 2012-08-31 2019-01-01 International Business Machines Corporation Magnetic recording head having protected reader sensors and near zero recessed write poles
JP2015098082A (ja) * 2013-11-18 2015-05-28 ローベルト ボツシユ ゲゼルシヤフト ミツト ベシユレンクテル ハフツングRobert Bosch Gmbh 構造化された表面を製作する方法
CN111433895A (zh) * 2017-10-03 2020-07-17 马特森技术有限公司 使用有机自由基对含碳膜的表面处理
CN111433893A (zh) * 2017-10-03 2020-07-17 马特森技术有限公司 用有机前体通过远程等离子体表面处理含硅和碳的膜
JP2020536389A (ja) * 2017-10-03 2020-12-10 マトソン テクノロジー インコーポレイテッドMattson Technology, Inc. 有機前駆体を使用した遠隔のプラズマによる、シリコンと炭素とを含有する膜の表面処理
JP2020536385A (ja) * 2017-10-03 2020-12-10 マトソン テクノロジー インコーポレイテッドMattson Technology, Inc. 有機ラジカルを使用した炭素含有膜の表面処理
JP6991323B2 (ja) 2017-10-03 2022-01-12 マトソン テクノロジー インコーポレイテッド 有機ラジカルを使用した炭素含有膜の表面処理
JP6991324B2 (ja) 2017-10-03 2022-02-03 マトソン テクノロジー インコーポレイテッド 有機前駆体を使用した遠隔のプラズマによる、シリコンと炭素とを含有する膜の表面処理
CN111433895B (zh) * 2017-10-03 2023-04-07 玛特森技术公司 使用有机自由基对含碳膜的表面处理
CN111433893B (zh) * 2017-10-03 2024-04-02 玛特森技术公司 用有机前体通过远程等离子体表面处理含硅和碳的膜
US11302521B2 (en) 2018-04-18 2022-04-12 Tokyo Electron Limited Processing system and processing method

Also Published As

Publication number Publication date
US7807579B2 (en) 2010-10-05
US20080261405A1 (en) 2008-10-23
EP1983554A3 (en) 2009-08-05
EP1983554A2 (en) 2008-10-22
CN101295145A (zh) 2008-10-29
SG147394A1 (en) 2008-11-28
TW200908074A (en) 2009-02-16
TWI355019B (en) 2011-12-21
KR100971045B1 (ko) 2010-07-16
KR20080094608A (ko) 2008-10-23
CN101295145B (zh) 2011-11-30

Similar Documents

Publication Publication Date Title
US7807579B2 (en) Hydrogen ashing enhanced with water vapor and diluent gas
US9711366B2 (en) Selective etch for metal-containing materials
KR100978704B1 (ko) 밀도 및 스텝 커버리지가 개선된 비정질 탄소막 증착 방법
JP5093479B2 (ja) 多孔質絶縁膜の形成方法
US7238393B2 (en) Method of forming silicon carbide films
JP5671253B2 (ja) 半導体装置の製造方法
JP4825911B2 (ja) 介在チャンバでの脱フッ素化及びウェハ脱フッ素化ステップによるプラズマエッチング及びフォトレジストストリッププロセス
WO2000014793A2 (en) In-situ integrated oxide etch process particularly useful for copper dual damascene
WO2005069367A1 (ja) 半導体装置の製造方法および成膜システム
WO2009111395A2 (en) Method for depositing an amorphous carbon film with improved density and step coverage
US7129171B2 (en) Selective oxygen-free etching process for barrier materials
JP2008218959A (ja) エッチング方法および記憶媒体
KR20070105259A (ko) low-k 유전 물질 손상이 감소한 마스킹 물질 제거방법
JP2005033203A (ja) シリコンカーバイド膜の形成方法
JP2008198659A (ja) プラズマエッチング方法
US20100043821A1 (en) method of photoresist removal in the presence of a low-k dielectric layer
KR20080053239A (ko) 듀얼 다마신 분야에서 바닥부 무반사 코팅층의 2단계 에칭
JP2008004841A (ja) 半導体装置及び半導体装置の製造方法
JP2004363558A (ja) 半導体装置の製造方法およびプラズマエッチング装置のクリーニング方法
JP2011009556A (ja) 半導体装置の製造方法及び半導体装置
KR101179111B1 (ko) 에칭 방법 및 기억 매체
KR102461689B1 (ko) 펜타플루오로프로판올(pentafluoropropanol)을 이용한 플라즈마 식각 방법
JP2007299818A (ja) ドライエッチング方法
JP2008028330A (ja) 半導体装置の製造方法及び半導体装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110415

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120619

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20121018

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121030

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130129

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130201

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130227

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130304

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130329

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130403

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130418

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130820

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20131120

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20131125

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20131219

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20131225

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20140408