JP7079872B2 - 半導体構造物上に窒素含有化合物を堆積させる方法 - Google Patents
半導体構造物上に窒素含有化合物を堆積させる方法 Download PDFInfo
- Publication number
- JP7079872B2 JP7079872B2 JP2021069197A JP2021069197A JP7079872B2 JP 7079872 B2 JP7079872 B2 JP 7079872B2 JP 2021069197 A JP2021069197 A JP 2021069197A JP 2021069197 A JP2021069197 A JP 2021069197A JP 7079872 B2 JP7079872 B2 JP 7079872B2
- Authority
- JP
- Japan
- Prior art keywords
- etching
- layer
- silicon
- organic fluorine
- formula
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
- 238000000034 method Methods 0.000 title claims description 70
- 238000000151 deposition Methods 0.000 title claims description 36
- -1 nitrogen-containing compound Chemical class 0.000 title claims description 19
- 239000004065 semiconductor Substances 0.000 title description 11
- 238000005530 etching Methods 0.000 claims description 243
- 229910052757 nitrogen Inorganic materials 0.000 claims description 80
- 239000000758 substrate Substances 0.000 claims description 66
- 150000004812 organic fluorine compounds Chemical class 0.000 claims description 60
- 238000006243 chemical reaction Methods 0.000 claims description 58
- 229910052799 carbon Inorganic materials 0.000 claims description 54
- 239000000463 material Substances 0.000 claims description 40
- 229920000642 polymer Polymers 0.000 claims description 33
- 239000011261 inert gas Substances 0.000 claims description 27
- 125000000524 functional group Chemical group 0.000 claims description 19
- 239000000126 substance Substances 0.000 claims description 16
- 239000012634 fragment Substances 0.000 claims description 15
- CFPAMJZAMYOJER-UHFFFAOYSA-N 2,3,3,3-tetrafluoropropanenitrile Chemical compound N#CC(F)C(F)(F)F CFPAMJZAMYOJER-UHFFFAOYSA-N 0.000 claims description 11
- DQFXLCKTFSDWHB-UHFFFAOYSA-N 2,2-difluoroacetonitrile Chemical compound FC(F)C#N DQFXLCKTFSDWHB-UHFFFAOYSA-N 0.000 claims description 10
- 229910052786 argon Inorganic materials 0.000 claims description 10
- 238000002161 passivation Methods 0.000 claims description 10
- 239000012535 impurity Substances 0.000 claims description 8
- 150000002500 ions Chemical class 0.000 claims description 8
- 229910052734 helium Inorganic materials 0.000 claims description 7
- 238000001039 wet etching Methods 0.000 claims description 7
- PIORIRQBACOORT-UHFFFAOYSA-N 2,2,3,3-tetrafluoropropanenitrile Chemical compound FC(F)C(F)(F)C#N PIORIRQBACOORT-UHFFFAOYSA-N 0.000 claims description 6
- VVRCKMPEMSAMST-UHFFFAOYSA-N 1,1,1,3,3,3-hexafluoropropan-2-imine Chemical compound FC(F)(F)C(=N)C(F)(F)F VVRCKMPEMSAMST-UHFFFAOYSA-N 0.000 claims description 5
- PZIVXXORSILYOQ-UHFFFAOYSA-N 2,2,3,3,4,4,5,5-octafluorohexanedinitrile Chemical compound N#CC(F)(F)C(F)(F)C(F)(F)C(F)(F)C#N PZIVXXORSILYOQ-UHFFFAOYSA-N 0.000 claims description 5
- PFXBZIOMDHRUQQ-UHFFFAOYSA-N 2-(1,1,1,3,3,3-hexafluoropropan-2-ylidene)propanedinitrile Chemical group FC(F)(F)C(C(F)(F)F)=C(C#N)C#N PFXBZIOMDHRUQQ-UHFFFAOYSA-N 0.000 claims description 5
- 229910052724 xenon Inorganic materials 0.000 claims description 5
- WDGHUZCUXKJUJQ-UHFFFAOYSA-N 3,3,3-trifluoropropanenitrile Chemical compound FC(F)(F)CC#N WDGHUZCUXKJUJQ-UHFFFAOYSA-N 0.000 claims description 4
- 238000001312 dry etching Methods 0.000 claims description 4
- 229910052743 krypton Inorganic materials 0.000 claims description 4
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Chemical compound O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 claims description 4
- 230000003213 activating effect Effects 0.000 claims description 3
- 239000010410 layer Substances 0.000 description 219
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical compound [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 133
- 239000007789 gas Substances 0.000 description 115
- 150000001875 compounds Chemical class 0.000 description 97
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 86
- 229910052710 silicon Inorganic materials 0.000 description 86
- 239000010703 silicon Substances 0.000 description 83
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 82
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 61
- 229910052760 oxygen Inorganic materials 0.000 description 57
- 229910052814 silicon oxide Inorganic materials 0.000 description 57
- 239000001301 oxygen Substances 0.000 description 52
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 51
- 229910052581 Si3N4 Inorganic materials 0.000 description 34
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 34
- 239000000203 mixture Substances 0.000 description 33
- 230000008021 deposition Effects 0.000 description 32
- 230000008569 process Effects 0.000 description 31
- NBVXSUQYWXRMNV-UHFFFAOYSA-N fluoromethane Chemical compound FC NBVXSUQYWXRMNV-UHFFFAOYSA-N 0.000 description 25
- 238000012360 testing method Methods 0.000 description 24
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 23
- 229920002120 photoresistant polymer Polymers 0.000 description 20
- 235000012431 wafers Nutrition 0.000 description 18
- 238000001020 plasma etching Methods 0.000 description 17
- 239000007800 oxidant agent Substances 0.000 description 16
- 230000000052 comparative effect Effects 0.000 description 15
- 239000012528 membrane Substances 0.000 description 13
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 10
- 229910021419 crystalline silicon Inorganic materials 0.000 description 10
- 230000001590 oxidative effect Effects 0.000 description 10
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 9
- 239000011247 coating layer Substances 0.000 description 8
- 230000000694 effects Effects 0.000 description 8
- 230000006870 function Effects 0.000 description 8
- 229920005591 polysilicon Polymers 0.000 description 8
- 229910052731 fluorine Inorganic materials 0.000 description 7
- 229910052751 metal Inorganic materials 0.000 description 7
- 239000002184 metal Substances 0.000 description 7
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 6
- 238000004833 X-ray photoelectron spectroscopy Methods 0.000 description 6
- 229910002091 carbon monoxide Inorganic materials 0.000 description 6
- 239000007788 liquid Substances 0.000 description 6
- 230000035939 shock Effects 0.000 description 6
- 238000009835 boiling Methods 0.000 description 5
- 239000012159 carrier gas Substances 0.000 description 5
- 238000001704 evaporation Methods 0.000 description 5
- 238000004519 manufacturing process Methods 0.000 description 5
- 150000004767 nitrides Chemical class 0.000 description 5
- 239000002245 particle Substances 0.000 description 5
- 150000003254 radicals Chemical class 0.000 description 5
- 229910010271 silicon carbide Inorganic materials 0.000 description 5
- 229910003481 amorphous carbon Inorganic materials 0.000 description 4
- 238000004458 analytical method Methods 0.000 description 4
- 239000006227 byproduct Substances 0.000 description 4
- 239000013626 chemical specie Substances 0.000 description 4
- 230000007423 decrease Effects 0.000 description 4
- 230000009977 dual effect Effects 0.000 description 4
- 230000008020 evaporation Effects 0.000 description 4
- 239000011737 fluorine Substances 0.000 description 4
- 230000000873 masking effect Effects 0.000 description 4
- 230000036961 partial effect Effects 0.000 description 4
- 229920006254 polymer film Polymers 0.000 description 4
- 230000002829 reductive effect Effects 0.000 description 4
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 4
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 3
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 3
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 3
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 3
- 230000006399 behavior Effects 0.000 description 3
- 230000005587 bubbling Effects 0.000 description 3
- 239000003795 chemical substances by application Substances 0.000 description 3
- 239000003989 dielectric material Substances 0.000 description 3
- 229910052739 hydrogen Inorganic materials 0.000 description 3
- 230000000670 limiting effect Effects 0.000 description 3
- 238000005259 measurement Methods 0.000 description 3
- 229910000069 nitrogen hydride Inorganic materials 0.000 description 3
- 239000000376 reactant Substances 0.000 description 3
- 239000000377 silicon dioxide Substances 0.000 description 3
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 description 3
- LMSLTAIWOIYSGZ-XIXRPRMCSA-N (3s,4r)-1,1,2,2,3,4-hexafluorocyclobutane Chemical compound F[C@H]1[C@@H](F)C(F)(F)C1(F)F LMSLTAIWOIYSGZ-XIXRPRMCSA-N 0.000 description 2
- LMSLTAIWOIYSGZ-LWMBPPNESA-N (3s,4s)-1,1,2,2,3,4-hexafluorocyclobutane Chemical compound F[C@H]1[C@H](F)C(F)(F)C1(F)F LMSLTAIWOIYSGZ-LWMBPPNESA-N 0.000 description 2
- NLOLSXYRJFEOTA-OWOJBTEDSA-N (e)-1,1,1,4,4,4-hexafluorobut-2-ene Chemical compound FC(F)(F)\C=C\C(F)(F)F NLOLSXYRJFEOTA-OWOJBTEDSA-N 0.000 description 2
- NLOLSXYRJFEOTA-UPHRSURJSA-N (z)-1,1,1,4,4,4-hexafluorobut-2-ene Chemical compound FC(F)(F)\C=C/C(F)(F)F NLOLSXYRJFEOTA-UPHRSURJSA-N 0.000 description 2
- CCVRBOAAPJPHKL-UHFFFAOYSA-N 1,1,2,2,3-pentafluorocyclobutane Chemical compound FC1CC(F)(F)C1(F)F CCVRBOAAPJPHKL-UHFFFAOYSA-N 0.000 description 2
- XTGOWLIKIQLYRG-UHFFFAOYSA-N 2,3,4,5,6-pentafluoropyridine Chemical compound FC1=NC(F)=C(F)C(F)=C1F XTGOWLIKIQLYRG-UHFFFAOYSA-N 0.000 description 2
- RBICLFYEDLXKIM-UHFFFAOYSA-N 2-(1,1,1,3,3-pentafluoropropan-2-ylidene)propanedinitrile Chemical compound FC(C(C(F)(F)F)=C(C#N)C#N)F RBICLFYEDLXKIM-UHFFFAOYSA-N 0.000 description 2
- GNFVFPBRMLIKIM-UHFFFAOYSA-N 2-fluoroacetonitrile Chemical compound FCC#N GNFVFPBRMLIKIM-UHFFFAOYSA-N 0.000 description 2
- QMIWYOZFFSLIAK-UHFFFAOYSA-N 3,3,3-trifluoro-2-(trifluoromethyl)prop-1-ene Chemical compound FC(F)(F)C(=C)C(F)(F)F QMIWYOZFFSLIAK-UHFFFAOYSA-N 0.000 description 2
- 238000005033 Fourier transform infrared spectroscopy Methods 0.000 description 2
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 2
- KDLHZDBZIXYQEI-UHFFFAOYSA-N Palladium Chemical compound [Pd] KDLHZDBZIXYQEI-UHFFFAOYSA-N 0.000 description 2
- NBIIXXVUZAFLBC-UHFFFAOYSA-N Phosphoric acid Chemical compound OP(O)(O)=O NBIIXXVUZAFLBC-UHFFFAOYSA-N 0.000 description 2
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical group [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 2
- 239000000654 additive Substances 0.000 description 2
- 229910021529 ammonia Inorganic materials 0.000 description 2
- 229910021417 amorphous silicon Inorganic materials 0.000 description 2
- 229910052785 arsenic Inorganic materials 0.000 description 2
- 125000004429 atom Chemical group 0.000 description 2
- 239000005380 borophosphosilicate glass Substances 0.000 description 2
- 239000003990 capacitor Substances 0.000 description 2
- 239000011248 coating agent Substances 0.000 description 2
- 238000000576 coating method Methods 0.000 description 2
- 125000004122 cyclic group Chemical group 0.000 description 2
- 239000010432 diamond Substances 0.000 description 2
- 238000005516 engineering process Methods 0.000 description 2
- 238000002474 experimental method Methods 0.000 description 2
- 125000004435 hydrogen atom Chemical group [H]* 0.000 description 2
- 238000009616 inductively coupled plasma Methods 0.000 description 2
- 239000012212 insulator Substances 0.000 description 2
- 238000002955 isolation Methods 0.000 description 2
- 238000004020 luminiscence type Methods 0.000 description 2
- XZWYZXLIPXDOLR-UHFFFAOYSA-N metformin Chemical compound CN(C)C(=N)NC(N)=N XZWYZXLIPXDOLR-UHFFFAOYSA-N 0.000 description 2
- SYSQUGFVNFXIIT-UHFFFAOYSA-N n-[4-(1,3-benzoxazol-2-yl)phenyl]-4-nitrobenzenesulfonamide Chemical class C1=CC([N+](=O)[O-])=CC=C1S(=O)(=O)NC1=CC=C(C=2OC3=CC=CC=C3N=2)C=C1 SYSQUGFVNFXIIT-UHFFFAOYSA-N 0.000 description 2
- 235000019407 octafluorocyclobutane Nutrition 0.000 description 2
- 229910052698 phosphorus Inorganic materials 0.000 description 2
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 description 2
- 238000006116 polymerization reaction Methods 0.000 description 2
- 238000012545 processing Methods 0.000 description 2
- 238000000746 purification Methods 0.000 description 2
- 229920006395 saturated elastomer Polymers 0.000 description 2
- 238000004626 scanning electron microscopy Methods 0.000 description 2
- 239000000243 solution Substances 0.000 description 2
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 2
- 239000010937 tungsten Substances 0.000 description 2
- 229910052721 tungsten Inorganic materials 0.000 description 2
- LHWSEFCIRYVTLZ-OWOJBTEDSA-N (e)-4,4,4-trifluorobut-2-enenitrile Chemical compound FC(F)(F)\C=C\C#N LHWSEFCIRYVTLZ-OWOJBTEDSA-N 0.000 description 1
- UHEDJBIYIWUMLU-UHFFFAOYSA-N 1,1,1,3,3,3-hexafluoropropan-2-amine Chemical compound FC(F)(F)C(N)C(F)(F)F UHEDJBIYIWUMLU-UHFFFAOYSA-N 0.000 description 1
- AKQMZZOTFNLAQJ-UHFFFAOYSA-N 1,1,2,2-tetrafluorocyclobutane Chemical compound FC1(F)CCC1(F)F AKQMZZOTFNLAQJ-UHFFFAOYSA-N 0.000 description 1
- LGPPATCNSOSOQH-UHFFFAOYSA-N 1,1,2,3,4,4-hexafluorobuta-1,3-diene Chemical compound FC(F)=C(F)C(F)=C(F)F LGPPATCNSOSOQH-UHFFFAOYSA-N 0.000 description 1
- BCOSEZGCLGPUSL-UHFFFAOYSA-N 2,3,3-trichloroprop-2-enoyl chloride Chemical compound ClC(Cl)=C(Cl)C(Cl)=O BCOSEZGCLGPUSL-UHFFFAOYSA-N 0.000 description 1
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 1
- XPDWGBQVDMORPB-UHFFFAOYSA-N Fluoroform Chemical compound FC(F)F XPDWGBQVDMORPB-UHFFFAOYSA-N 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- 239000004341 Octafluorocyclobutane Substances 0.000 description 1
- 239000002033 PVDF binder Substances 0.000 description 1
- 229910018557 Si O Inorganic materials 0.000 description 1
- 229910004298 SiO 2 Inorganic materials 0.000 description 1
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 1
- 239000002253 acid Substances 0.000 description 1
- 230000000996 additive effect Effects 0.000 description 1
- 239000003463 adsorbent Substances 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 229910000147 aluminium phosphate Inorganic materials 0.000 description 1
- LDDQLRUQCUTJBB-UHFFFAOYSA-N ammonium fluoride Chemical compound [NH4+].[F-] LDDQLRUQCUTJBB-UHFFFAOYSA-N 0.000 description 1
- 239000012298 atmosphere Substances 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- 229910052796 boron Inorganic materials 0.000 description 1
- 125000004432 carbon atom Chemical group C* 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 230000000295 complement effect Effects 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- 230000006866 deterioration Effects 0.000 description 1
- RWRIWBAIICGTTQ-UHFFFAOYSA-N difluoromethane Chemical compound FCF RWRIWBAIICGTTQ-UHFFFAOYSA-N 0.000 description 1
- 229910001873 dinitrogen Inorganic materials 0.000 description 1
- 238000004821 distillation Methods 0.000 description 1
- 238000009826 distribution Methods 0.000 description 1
- 239000002019 doping agent Substances 0.000 description 1
- 238000011156 evaluation Methods 0.000 description 1
- 230000005669 field effect Effects 0.000 description 1
- SKRPCQXQBBHPKO-UHFFFAOYSA-N fluorocyclobutane Chemical compound FC1CCC1 SKRPCQXQBBHPKO-UHFFFAOYSA-N 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 description 1
- 229910052737 gold Inorganic materials 0.000 description 1
- 239000010931 gold Substances 0.000 description 1
- 229910052736 halogen Inorganic materials 0.000 description 1
- 150000002367 halogens Chemical class 0.000 description 1
- 238000010438 heat treatment Methods 0.000 description 1
- 229930195733 hydrocarbon Natural products 0.000 description 1
- 150000002430 hydrocarbons Chemical class 0.000 description 1
- 239000001257 hydrogen Substances 0.000 description 1
- 238000011065 in-situ storage Methods 0.000 description 1
- 239000007924 injection Substances 0.000 description 1
- 238000002347 injection Methods 0.000 description 1
- 239000007791 liquid phase Substances 0.000 description 1
- 230000007774 longterm Effects 0.000 description 1
- 238000000691 measurement method Methods 0.000 description 1
- 229910044991 metal oxide Inorganic materials 0.000 description 1
- 150000004706 metal oxides Chemical class 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- CSJDCSCTVDEHRN-UHFFFAOYSA-N methane;molecular oxygen Chemical compound C.O=O CSJDCSCTVDEHRN-UHFFFAOYSA-N 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 239000002808 molecular sieve Substances 0.000 description 1
- 229910052754 neon Inorganic materials 0.000 description 1
- 230000007935 neutral effect Effects 0.000 description 1
- 229910052759 nickel Inorganic materials 0.000 description 1
- 150000002829 nitrogen Chemical class 0.000 description 1
- 125000004433 nitrogen atom Chemical group N* 0.000 description 1
- BCCOBQSFUDVTJQ-UHFFFAOYSA-N octafluorocyclobutane Chemical compound FC1(F)C(F)(F)C(F)(F)C1(F)F BCCOBQSFUDVTJQ-UHFFFAOYSA-N 0.000 description 1
- 150000002894 organic compounds Chemical class 0.000 description 1
- 125000004430 oxygen atom Chemical group O* 0.000 description 1
- 238000006213 oxygenation reaction Methods 0.000 description 1
- 229910052763 palladium Inorganic materials 0.000 description 1
- 230000000737 periodic effect Effects 0.000 description 1
- 229910052697 platinum Inorganic materials 0.000 description 1
- 229920002493 poly(chlorotrifluoroethylene) Polymers 0.000 description 1
- 239000005023 polychlorotrifluoroethylene (PCTFE) polymer Substances 0.000 description 1
- 229920005597 polymer membrane Polymers 0.000 description 1
- 239000004810 polytetrafluoroethylene Substances 0.000 description 1
- 229920001343 polytetrafluoroethylene Polymers 0.000 description 1
- 229920002981 polyvinylidene fluoride Polymers 0.000 description 1
- UAJUXJSXCLUTNU-UHFFFAOYSA-N pranlukast Chemical compound C=1C=C(OCCCCC=2C=CC=CC=2)C=CC=1C(=O)NC(C=1)=CC=C(C(C=2)=O)C=1OC=2C=1N=NNN=1 UAJUXJSXCLUTNU-UHFFFAOYSA-N 0.000 description 1
- 229960004583 pranlukast Drugs 0.000 description 1
- 239000010970 precious metal Substances 0.000 description 1
- 239000000047 product Substances 0.000 description 1
- 230000001681 protective effect Effects 0.000 description 1
- 238000005086 pumping Methods 0.000 description 1
- 239000012264 purified product Substances 0.000 description 1
- 230000009257 reactivity Effects 0.000 description 1
- 238000011160 research Methods 0.000 description 1
- 229910052703 rhodium Inorganic materials 0.000 description 1
- 239000010948 rhodium Substances 0.000 description 1
- MHOVAHRLVXNVSD-UHFFFAOYSA-N rhodium atom Chemical compound [Rh] MHOVAHRLVXNVSD-UHFFFAOYSA-N 0.000 description 1
- 235000012239 silicon dioxide Nutrition 0.000 description 1
- URGAHOPLAPQHLN-UHFFFAOYSA-N sodium aluminosilicate Chemical compound [Na+].[Al+3].[O-][Si]([O-])=O.[O-][Si]([O-])=O URGAHOPLAPQHLN-UHFFFAOYSA-N 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 239000002904 solvent Substances 0.000 description 1
- 238000004544 sputter deposition Methods 0.000 description 1
- 229910001220 stainless steel Inorganic materials 0.000 description 1
- 239000010935 stainless steel Substances 0.000 description 1
- 230000002194 synthesizing effect Effects 0.000 description 1
- 229910052715 tantalum Inorganic materials 0.000 description 1
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 1
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 1
- PGOMVYSURVZIIW-UHFFFAOYSA-N trifluoro(nitroso)methane Chemical compound FC(F)(F)N=O PGOMVYSURVZIIW-UHFFFAOYSA-N 0.000 description 1
- 238000011179 visual inspection Methods 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31144—Etching the insulating layers by chemical or physical means using masks
-
- C—CHEMISTRY; METALLURGY
- C07—ORGANIC CHEMISTRY
- C07C—ACYCLIC OR CARBOCYCLIC COMPOUNDS
- C07C251/00—Compounds containing nitrogen atoms doubly-bound to a carbon skeleton
- C07C251/02—Compounds containing nitrogen atoms doubly-bound to a carbon skeleton containing imino groups
- C07C251/04—Compounds containing nitrogen atoms doubly-bound to a carbon skeleton containing imino groups having carbon atoms of imino groups bound to hydrogen atoms or to acyclic carbon atoms
- C07C251/06—Compounds containing nitrogen atoms doubly-bound to a carbon skeleton containing imino groups having carbon atoms of imino groups bound to hydrogen atoms or to acyclic carbon atoms to carbon atoms of a saturated carbon skeleton
- C07C251/08—Compounds containing nitrogen atoms doubly-bound to a carbon skeleton containing imino groups having carbon atoms of imino groups bound to hydrogen atoms or to acyclic carbon atoms to carbon atoms of a saturated carbon skeleton being acyclic
-
- C—CHEMISTRY; METALLURGY
- C07—ORGANIC CHEMISTRY
- C07C—ACYCLIC OR CARBOCYCLIC COMPOUNDS
- C07C251/00—Compounds containing nitrogen atoms doubly-bound to a carbon skeleton
- C07C251/02—Compounds containing nitrogen atoms doubly-bound to a carbon skeleton containing imino groups
- C07C251/26—Compounds containing nitrogen atoms doubly-bound to a carbon skeleton containing imino groups having nitrogen atoms of imino groups further bound to halogen atoms
-
- C—CHEMISTRY; METALLURGY
- C07—ORGANIC CHEMISTRY
- C07C—ACYCLIC OR CARBOCYCLIC COMPOUNDS
- C07C255/00—Carboxylic acid nitriles
- C07C255/01—Carboxylic acid nitriles having cyano groups bound to acyclic carbon atoms
- C07C255/10—Carboxylic acid nitriles having cyano groups bound to acyclic carbon atoms containing cyano groups and halogen atoms, or nitro or nitroso groups, bound to the same acyclic carbon skeleton
-
- C—CHEMISTRY; METALLURGY
- C09—DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
- C09K—MATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
- C09K13/00—Etching, surface-brightening or pickling compositions
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02118—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
- H01L21/0212—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/0271—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31127—Etching organic layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31127—Etching organic layers
- H01L21/31133—Etching organic layers by chemical means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31127—Etching organic layers
- H01L21/31133—Etching organic layers by chemical means
- H01L21/31138—Etching organic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B12/00—Dynamic random access memory [DRAM] devices
- H10B12/01—Manufacture or treatment
- H10B12/02—Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
- H10B12/03—Making the capacitor or connections thereto
- H10B12/033—Making the capacitor or connections thereto the capacitor extending over the transistor
- H10B12/0335—Making a connection between the transistor and the capacitor, e.g. plug
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B69/00—Erasable-and-programmable ROM [EPROM] devices not provided for in groups H10B41/00 - H10B63/00, e.g. ultraviolet erasable-and-programmable ROM [UVEPROM] devices
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Organic Chemistry (AREA)
- Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Power Engineering (AREA)
- Computer Hardware Design (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Inorganic Chemistry (AREA)
- Plasma & Fusion (AREA)
- Materials Engineering (AREA)
- Drying Of Semiconductors (AREA)
- Semiconductor Memories (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
Description
本出願は、米国特許出願第14/841,271号明細書(出願日:2015年8月31日)の利益を主張し、引用することによりその全てを、全ての目的のために本明細書に組み入れたものとする。
CMOS devices”,Nanochip Tech.J.,vol.11,issue 2,2013,p.17-19)は、エッチング剤としてNH4Fを使用した、インサイチューのドライ除去プロセスを開示している。Gargら(米国特許出願公開第2006/0062914号明細書)は、基材の表面を処理するための、活性化された反応性ガスを開示している。Gargらは、パラグラフ[0019]において、その活性化される反応性ガスとして、広く各種のフッ素含有ガスたとえばC3F3N3、フルオロアミンたとえばCF5N、フルオロニトリルたとえばC2F3N、C3F6N、およびCF3NOなどが挙げられることを述べている。Felkerら(米国特許第6,508,948号明細書)は、シアヌル酸フルオリド化合物も含めた、ペルフルオロ化ヘテロアロマティックアミンエッチング化合物を開示している。開示されている一つのシアヌル酸フルオリド化合物は、ペンタフルオロピリジン、C5F5Nである。
れている方法には、以下の態様の一つまたは複数を含むことができる:
・その有機フッ素化合物がC≡N官能基を含む;
・その有機フッ素化合物が、式N≡C-R1を有し、ここでR1が式HaFbCcを有し、そしてa=1~11、b=1~11、c=1~5である;
・その有機フッ素化合物が、次式
・その有機フッ素化合物が、次式
・その有機フッ素化合物が、次式
・その有機フッ素化合物が、次式
・その有機フッ素化合物が、次式
・その有機フッ素化合物が、次式
・その有機フッ素化合物が、式(N≡C-)-(R2)-(-C≡N)を有し、ここでR2は独立して式HaFbCcを有し、a=0、b=1~11、c=1~5である;
・その有機フッ素化合物が、次式
・その有機フッ素化合物が、次式
・その有機フッ素化合物が、式(N≡C-)-(R2)-(-C≡N)を有し、ここでR2は式HaFbCcを有し、a=1~11、b=1~11、およびc=1~5である;
・その有機フッ素化合物が、次式
・その有機フッ素化合物がC=N官能基を含む;
・その有機フッ素化合物が式R1 x[-C=N(R2 z)]yを有し、ここで、x=1~2、y=1~2、z=0~1、x+z=1~3、であり、そしてそれぞれのR1およびR2は独立して、式HaFbCcであり、a=0、b=1~11、およびc=0~5である;
・その有機フッ素化合物が、次式
・その有機フッ素化合物が式R1 x[-C=N(R3 z)]yを有し、ここで、x=1~2、y=1~2、z=0~1、x+z=1~3、であり、そしてそれぞれのR1およびR3は独立して、式HaFbCcであり、a=1~11、b=0~11、およびc=0~5である;
・その有機フッ素化合物が、次式
・その有機フッ素化合物が、次式
・その活性化された窒素含有エッチング化合物が、シリコン含有膜と反応して揮発性の副生物を形成する;
・その揮発性の副生物が、反応チャンバから除去される;
・その不活性ガスが、He、Ar、Xe、Kr、およびNeからなる群より選択される;
・その不活性ガスがArである;
・その窒素含有エッチング化合物の蒸気と不活性ガスとを、反応チャンバに導入する前に混合して、混合物を形成させる;
・その窒素含有エッチング化合物の蒸気を、不活性ガスとは別に、反応チャンバの中に導入する;
・反応チャンバの中に不活性ガスを連続的に導入し、そして反応チャンバの中に窒素含有エッチング化合物の蒸気をパルス的に導入する;
・その不活性ガスが、反応チャンバの中に導入する窒素含有エッチング化合物の蒸気と不活性ガスとを合計した容積の約0.01%(v/v)~約99.9%(v/v)の量で含まれている;
・その不活性ガスが、反応チャンバの中に導入する窒素含有エッチング化合物の蒸気と不活性ガスとを合計した容積の約90%(v/v)~約99%(v/v)の量で含まれている;
・その反応チャンバの中に酸化剤を導入する;
・その反応チャンバの中に酸化剤を導入しない;
・その酸化剤が、O2、CO、CO2、NO、N2O、およびNO2からなる群より選択される;
・その酸化剤がO2である;
・窒素含有エッチング化合物の蒸気と酸化剤とを、反応チャンバの中に導入する前に混合しておく;
・その窒素含有エッチング化合物の蒸気を、酸化剤とは別に、反応チャンバの中に導入する;
・反応チャンバの中に酸化剤を連続的に導入し、そして反応チャンバの中に窒素含有エッチング化合物の蒸気をパルス的に導入する;
・その酸化剤が、反応チャンバの中に導入する窒素含有エッチング化合物の蒸気と酸化剤とを合計した容積の約0.01%(v/v)~約99.9%(v/v)の量で含まれている;
・その酸化剤が、反応チャンバの中に導入する窒素含有エッチング化合物の蒸気と酸化剤とを合計した容積の約0.01%(v/v)~約10%(v/v)の量で含まれている;
・そのシリコン含有膜が、シリコン酸化物、シリコン窒化物、ポリシリコン、結晶質シリコン、SiON、SiOCH、SiaObCcNdHe(ここで、a>0;b、c、dおよびe≧0)、またはそれらの組合せの層を含む;
・そのシリコン含有膜が、酸素原子、窒素原子、炭素原子、水素原子、またはそれらの組合せを含む;
・そのシリコン含有膜が、シリコン炭化物を含む;
・そのシリコン含有膜が、a-C層から選択的にエッチングされる;
・そのシリコン含有膜が、フォトレジスト層から選択的にエッチングされる;
・そのシリコン含有膜が、シリコン窒化物層から選択的にエッチングされる;
・そのシリコン含有膜が、ポリシリコン層から選択的にエッチングされる;
・そのシリコン含有膜が、結晶質シリコン層から選択的にエッチングされる;
・そのシリコン含有膜が、メタルコンタクト層から選択的にエッチングされる;
・そのシリコン含有膜が、チタン窒化物層から選択的にエッチングされる;
・そのシリコン含有膜が、タンタル層から選択的にエッチングされる;
・そのシリコン含有膜が、シリコン酸化物層である;
・そのシリコン酸化物層が、a-C層から選択的にエッチングされる;
・そのシリコン酸化物層が、フォトレジスト層から選択的にエッチングされる;
・そのシリコン酸化物層が、p-Si層から選択的にエッチングされる;
・そのシリコン酸化物層が、結晶質シリコン層から選択的にエッチングされる;
・そのシリコン酸化物層が、メタルコンタクト層から選択的にエッチングされる;
・そのシリコン酸化物層が、SiN層から選択的にエッチングされる;
・そのシリコン含有膜が、シリコン窒化物層である;
・そのシリコン窒化物層が、a-C層から選択的にエッチングされる;
・そのシリコン窒化物層が、パターン化されたフォトレジスト層から選択的にエッチングされる;
・そのシリコン窒化物層が、p-Si層から選択的にエッチングされる;
・そのシリコン窒化物層が、結晶質シリコン層から選択的にエッチングされる;
・そのシリコン窒化物層が、メタルコンタクト層から選択的にエッチングされる;
・そのシリコン窒化物層が、シリコン酸化物層から選択的にエッチングされる;
・そのシリコン含有膜が、SiON層である;
・そのSiON層が、フォトレジスト層から選択的にエッチングされる;
・そのシリコン含有膜が、SiCOH層である;
・そのSiCOH層が、チタン窒化物層から選択的にエッチングされる;
・そのSiCOH層が、a-C層から選択的にエッチングされる;
・そのSiCOH層が、フォトレジスト層から選択的にエッチングされる;
・そのシリコン含有膜が、シリコン酸化物層とシリコン窒化物層との交互の層である;
・シリコン酸化物層とシリコン窒化物層との両方が、同程度のエッチング速度でエッチングされる;
・シリコン層からシリコン酸化物層とシリコン窒化物層の両方が、選択的にエッチングされる;
・p-Si層からシリコン酸化物層とシリコン窒化物層の両方が、選択的にエッチングされる;
・結晶質シリコン層からシリコン酸化物層とシリコン窒化物層の両方が、選択的にエッチングされる;
・a-C層からシリコン酸化物層とシリコン窒化物層の両方が、選択的にエッチングされる;
・そのシリコン含有膜が、シリコン酸化物層とp-Si層との交互の層である;
・シリコン酸化物層とp-Si層の両方が、同程度のエッチング速度でエッチングされる;
・a-C層からシリコン酸化物層とp-Si層の両方が、選択的にエッチングされる;
・シリコン窒化物層からシリコン酸化物層とp-Si層の両方が、選択的にエッチングされる;
・シリコン含有膜の中に、約(10:1)から約(200:1)までの間のアスペクト比を有する開口を作成する;
・ゲートトレンチを作成する;
・階段状のコンタクト(staircase contact)を作成する;
・チャネルホールを作成する;
・約(60:1)から約(100:1)までの間のアスペクト比を有するチャネルホールを作成する;
・約5nm~約100nmの範囲の直径を有するチャネルホールを作成する;
・約10nm~約50nmの範囲の直径を有するチャネルホールを作成する;
・反応チャンバの中にエッチングガスを導入することによって選択性を改良する;
・そのエッチングガスが、cC4F8、C4F8、C4F6、CF4、CH3F、CF3H、CH2F2、COS、CF3I、C2F3I、C2F5I、F-C≡N、CS2、SO2、trans-1,1,1,4,4,4-ヘキサフルオロ-2-ブテン(trans-C4H2F6)、cis-1,1,1,4,4,4-ヘキサフルオロ-2-ブテン(cis-C4H2F6)、ヘキサフルオロイソブテン(C4H2F6)、trans-1,1,2,2,3,4-ヘキサフルオロシクロブタン(trans-C4H2F6)、1,1,2,2,3-ペンタフルオロシクロブタン(C4H3F5)、1,1,2,2-テトラフルオロシクロブタン(C4H4F4)、またはcis-1,1,2,2,3,4-ヘキサフルオロシクロブタン(cis-C4H2F6)からなる群より選択される;
・そのエッチングガスが、cC5F8である;
・そのエッチングガスが、cC4F8である;
・そのエッチングガスが、C4F6である;
・窒素含有エッチング化合物の蒸気とエッチングガスとを、反応チャンバの中に導入する前に混合しておく;
・その窒素含有エッチング化合物の蒸気を、エッチングガスとは別に、反応チャンバの中に導入する;
・反応チャンバの中に約0.01%(v/v)~約99.99%(v/v)のエッチングガスを導入する;
・RF出力を印加することによってプラズマを活性化させる;
・約25W~約10,000Wの範囲のRF出力によってプラズマを活性化させる;
・その反応チャンバが、約1mトール~約10トールの範囲の圧力を有する;
・その反応チャンバの中へ窒素含有エッチング化合物の蒸気を、約0.1sccm~約1slmの範囲の流速で導入する;
・その基材を約-196℃~約500℃の温度範囲に維持する;
・その基材を約-120℃~約300℃の温度範囲に維持する;
・その基材を約-100℃~約50℃の温度範囲に維持する;
・その基材を約-10℃~約40℃の温度範囲に維持する;そして
・活性化された窒素含有エッチング化合物を、四重極質量分析計、発光分析計、FTIR、またはその他のラジカル/イオン測定器によって測定する。
・その有機フッ素化合物が、C≡N官能基を含む;
・その有機フッ素化合物が、式N≡C-R1を有し、ここでR1が式HaFbCcを有し、そしてa=1~11、b=1~11、およびc=1~5である;
・その有機フッ素化合物が、次式
・その有機フッ素化合物が、次式
・その有機フッ素化合物が、次式
・その有機フッ素化合物が、次式
・その有機フッ素化合物が、次式
・その有機フッ素化合物が、次式
・その有機フッ素化合物が、式(N≡C-)-(R2)-(-C≡N)を有し、ここで
R2は式HaFbCcを有し、a=0、b=1~11、およびc=1~5である;
・その有機フッ素化合物が、次式
・その有機フッ素化合物が、次式
・その有機フッ素化合物が、式(N≡C-)-(R2)-(-C≡N)を有し、ここでR2は式HaFbCcを有し、a=1~11、b=1~11、およびc=1~5である;
・その有機フッ素化合物が、次式
・その有機フッ素化合物が、C=N官能基を含む;
・その有機フッ素化合物が、式R1 x[-C=N(R2 z)]yを有し、ここで、x=
1~2、y=1~2、z=0~1、x+z=1~3、であり、そしてそれぞれのR1およびR2は独立して、式HaFbCcであり、a=0、b=0~11、およびc=0~5である;
・その有機フッ素化合物が、次式
・その有機フッ素化合物が、式R1 x[-C=N(R2 z)]yを有し、ここで、x=1~2、y=1~2、z=0~1、x+z=1~3、であり、そしてそれぞれのR1およびR2は独立して、式HaFbCcであり、a=1~11、b=0~11、およびc=0~5である;
・その有機フッ素化合物が、次式
・その有機フッ素化合物が、次式
・容積で約95%~約99.999%の範囲の純度を有する;
・容積で約10ppt~約5%の間の微量のガス不純物を含む;
・その微量のガス不純物が、水を含む;
・その微量のガス不純物が、CO2を含む;
・その微量のガス不純物が、N2を含む;そして
・その窒素含有エッチング化合物が、20ppmw未満の水含量を有する。
以下の詳細な説明および請求項において、多くの略号、符号、および用語を使用するが、それらは当技術分野においては周知のものである。わかりやすいように、典型的には、定義にはそれぞれの頭字語の第一字を採用しているが、使用される略号、符号、および用語のリストをそれぞれの定義と共に表1に列記する。
ホール、ゲートトレンチ、階段状のコンタクト、コンデンサホール、コンタクトホールなどのような開口が形成される。
N≡C-R1 (I)
(N≡C-)(R2)(-C≡N) (II)
式中、R1は、式HaFbCc(ここで、a=1~11、b=1~11、およびc=0~5)を有する官能基であり、そしてR2は、式HaFbCc(ここで、a=0~11、b=1~11、およびc=0~5)を有する官能基である。そのHaFbCc官能基は、直鎖状、分岐状もしくは環状、そして飽和もしくは不飽和であってよい。本願出願人の確信するところでは、そのC≡N官能基が、CxFyポリマー(ここで、xは0.01~1の範囲、yは0.01~4の範囲である)に比較して、エッチ抵抗性のポリマーデポジションの点において改良を与えることができる。その有機フッ素化合物に少なくとも1個のHが含まれている場合には、マスク層に対する高い選択性を維持しながらも、SiNのエッチング速度を高くすることができる。
R1 x[-C=N(R2 z)]y (III)
式中、x=1~2、y=1~2、z=0~1、x+z=1~3であり、それぞれのR1およびR2は独立して、式HaFbCc(ここで、a=0~11、b=0~11、およびc=0~5)を有している。そのHaFbCc官能基は、直鎖状、分岐状もしくは環状、そして飽和もしくは不飽和であってよい。本願出願人の確信するところでは、そのC=N官能基が、CxFyポリマー(ここで、xは0.01~1の範囲、yは0.01~4の範囲である)に比較して、シリコン層の間での改良された選択性を与えることができる。その有機フッ素化合物に少なくとも1個のHが含まれ、好ましくはR2がHである場合には、マスク層に対する高い選択性を維持しながらも、SiNのエッチング速度を高くすることができる。
であってよい(ここで、m、n、x、yおよびzは整数である)。
シーブのような適切な吸着剤の中にそのガスまたは液を通過させることによって製造することができる。
化の測定、引張強度、硬度など。
たはn-ドープされていてもよい)、シリカ、シリコン窒化物、シリコン酸化物、シリコンオキシニトリド、SiaObHcCdNe(ここで、a>0;b、c、d、e≧0)、マスク層物質たとえば非晶質カーボン、反射防止コーティング、フォトレジスト物質、タングステン、チタン窒化物、タンタル窒化物またはそれらの組合せ、エッチストップ層物質たとえば、シリコン窒化物、ポリシリコン、結晶質シリコン、シリコン炭化物、SiCNまたはそれらの組合せ、デバイスチャネル物質たとえば、結晶質シリコン、エピタキシャルシリコン、ドープトシリコン、SiaObHcCdNe(ここで、a>0;b、c、d、e≧0)またはそれらの組合せ。シリコン酸化物層が、誘電体、たとえば有機ベースまたはシリコン酸化物ベースのlow-k誘電体(たとえば、多孔質SiCOH膜)を形成してもよい。例示的なlow-k誘電体は、Applied Materialsから、Black Diamond IIまたはIIIの商品名で販売されている。それらに加えて、タングステンまたは貴金属(たとえば、白金、パラジウム、ロジウム、または金)を含む層を使用してもよい。さらに、そのシリコン含有膜の例が、SiaObHcCdNe(ここで、a>0;b、c、d、e≧0)であってもよい。本明細書および請求項の全体を通じて、ウェーハおよびその上の各種の付随する層は、基材(substrate)と呼ばれる。
上に、ポリマーの不動態化層212をデポジットさせている。そのポリマーの不動態化層212はさらに、より滑らかなサイドウォール、より少ないボーイング、およびバイア214の底部でのより少ない変形を与えている。しかしながら、そのポリマーの不動態化層212は、当業者に公知のドライもしくはウェットなエッチ用化学薬品によって容易に除去、清浄化することができる。
り囲むSiO絶縁層の上のフォトレジストパターンを示す、例示的な層の断面側面図である。図3aに見られるように、基材600には、シリコンウェーハ602の上に担持されたトランジスタゲート電極領域を取り囲む4層のスタックが含まれる。図3aに示されているトランジスタ領域には、ソースおよびドレインとして機能する二つのドープトシリコン領域606が含まれている。ゲート電極616の下にはトランジスタゲート絶縁膜614が存在している。トランジスタ全体、すなわちトランジスタゲート絶縁膜614およびゲート電極616が、薄いSiN層608で囲まれているが、これは後ほど、コンタクトエッチの際のエッチストップ層として機能する。それぞれのトランジスタデバイス領域616/606は、シリコンウェーハ602の中のSiOアイソレーション領域604によって分離されて、電気的干渉が最小化されている。当業者のよく認識するところであろうが、層602が、絶縁体上シリコン(Silicon on Insulator=SOI)ウェーハのシリコン酸化物層の上に位置していてもよい。別のSiO層610が、トランジスタの上にデポジットされ、トランジスタデバイス領域606に対する金属コンタクトを絶縁するために使用されている。フォトレジストマスク612を使用して、SiO層610のパターン化を行う。プラズマ環境の中で開示されている窒素含有エッチング化合物を使用して、エッチングを実施する。本明細書においては、フォトレジストは、SiO層610をエッチングするためのマスクとして機能し、エッチングは、図3bに見られるように、SiN層608の上で停止される。
れらのガスを混合して、入っていくガスの濃度を均質にするのが好ましい。
CCPプラズマエッチ装置の中に導入する。そのガス流量調節装置は、質量流量調節器であっても、あるいは所望の分子の蒸気を送り込むための不活性ガス流量用に設計したバブラーであってもよい。高沸点の分子の場合においては、Brooks Automation(No.GF120XSD)(MKS Instruments)などの特殊な低圧力損失質量流量調節器を使用するのがよい。反応チャンバの圧力は、約30mトールに設定する。2,3,3,3-テトラフルオロプロピオニトリルの蒸気圧が室温で約498トールであるので、ガス源を加熱する必要はない。二つのCCP電極の間の距離を1.35cmに維持し、上部電極のRF出力を750Wに固定する。下部電極のRF出力を変化させて、分子の挙動を解析する。その反応チャンバには、その上にシリコン含有膜を有する基材(図1aに示したのと同様)が入っている。その反射防止コーティング層108を、フルオロカーボン(たとえば,CF4およびCH2F2)および酸素含有ガス(たとえば、O2)によりパターン化/エッチングする。その非晶質カーボンマスク層は、酸素含有ガスによってパターン化/エッチングする。そのSiOおよびSiN層104は、開示されている窒素含有有機フッ素化合物(たとえば、2,3,3,3-テトラフルオロプロピオニトリル)およびアルゴンのプラズマによってパターン化する。アルゴンは独立して
、250sccmの流量でチャンバの中に導入する。2,3,3,3-テトラフルオロプロピオニトリルは独立して、15sccmでチャンバの中に導入する。O2も独立してチャンバの中に導入するが、最適なエッチング条件を決めるために、0sccm~20sccmの間で変化させる。30:1以上のアスペクト比を有する開口を作成すると、そのものは、垂直型(vertical)NANDにおけるチャネルホールとして使用することができる。図2および3aに示したような他のスタック層でも、同様の例を使用することができる。
Instruments)などの特殊な低圧力損失質量流量調節器を使用するのがよい。反応チャンバの圧力は、約30mトールに設定する。ジフルオロアセトニトリルの蒸気圧が20℃で約900トールであるので、ガス源を加熱する必要はない。二つのCCP電極の間の距離を1.35cmに維持し、上部電極のRF出力を750Wに固定する。下部電極のRF出力を変化させて、ジフルオロアセトニトリルの挙動を解析する。その反応チャンバには、その上に図2に示した層に類似の厚いSiO層104aを有する基材100が入っている。このプロセスを実施するより前に、フルオロカーボン(たとえば、CF4)および酸素含有ガス(たとえば、O2)によって反射防止コーティング層108を除去し、そして酸素含有ガスによってA-cマスク層106を除去しておく。アルゴンは独立して、250sccmの流量でチャンバの中に導入する。ジフルオロアセトニトリルは独立して、15sccmでチャンバの中に導入する。O2は、最適なエッチング条件を決めるために、独立して0~20sccmでチャンバの中に導入する。10:1以上のアスペクト比を有する開口を作成すると、そのものは、DRAMにおけるコンタクトホールとして使用することができる。図1aおよび3aに示したような他のスタック層でも、同様の例を使用することができる。
1.酸化物(すなわち、SiO)のエッチング速度が、高くなければならない;
2.他の物質、典型的にはSiNに対するSiOの選択性が、高くあるべきである;
3.他の物質、典型的にはp-Siまたはa-Cに対するSiOの選択性が、高くあるべきである。
それらが、コンタクトエッチング用途で要求されるエッチング目標すべてに適合しているために、大きな将来性を示している。
図5は、C3HF4Nについての電子衝撃イオン化データを示すグラフである。図5においては、x軸は、電子エネルギーを表し、y軸は、フラグメント種の分圧を表している。図5は、C3HF4Nの主なフラグメントが、CF3およびC2HFNであることを示
している。C2HFNフラグメントは、1:2のF/C比を有しており、基材に到達すると容易に重合することができる。
図6は、C2HF2Nについての電子衝撃イオン化データを示すグラフである。図6においては、x軸は、電子エネルギーを表し、y軸は、フラグメント種の分圧を表している。図6は、C2HF2N主なフラグメントが、CF2およびC2F2Nであることを示している。C2F2Nフラグメントは低いF/C比を有していて、基材に到達すると容易に重合するであろう。
デポジション試験は、ブランケット1×1cm2Si試験片について実施される実験であって、その場合、電源出力(750W@27MHz)が、バイアス出力なしで印加される。バイアス出力が存在しないために、基材に到達するイオンは、エッチングするのに十分なエネルギーは有していない。それに加えて、表面に到達する中性および活性な種が、それらの付着係数に基づいて、その表面に粘着し、薄いポリマー層としてデポジットする。この薄いポリマー層が、サイドウォールを不動態化させる原因となって、多くの場合選択性を付与する。デポジション試験の実験条件によって、表面上およびサイドウォール上両方のパターンのプラズマ加工の際に形成されるポリマー層をシミュレートすることができるようになる。
図8は、C2HF2NおよびO2を用いたときのSiO、SiN、p-Si、およびa-Cのエッチング速度を示すグラフである。図8において、y軸のプラス側がエッチング速度を表すのに対して、y軸のマイナス側はデポジション速度を表しており、x軸はO2流量(単位:sccm)であり、C2HF2N流量を15sccmに固定しているのに対して、O2流量は0~15sccmで変化させている。
他の基材物質は保護するので、それによって、酸化物と他の基材物質との間で無限大の選択性が得られるからである。そのエッチングガスが他の基材物質の保護を開始するより前に、プラズマイオンボンバードが原因の数ナノメートルの小さなダメージが想定される。このダメージは測定不能であり、選択性の測定には組み入れられない。その混合物に5sccmの酸素を加えると、シリコン酸化物のエッチング速度が0sccm酸素の条件に比べて低下はするが、C2HF2Nは依然としてすべての他の基材の上にデポジットして、酸化物対他の基材物質の選択性が保持される。その混合物に10sccmの酸素を加えると、シリコン酸化物のエッチング速度は減り続けるが、C2HF2Nは依然としてすべての他の基材の上にデポジットして、シリコン酸化物対他の基材物質の選択性が保持される。その混合物に15sccmの酸素を加えると、シリコン酸化物のエッチング速度はさらに一段と低下するが、C2HF2Nは依然として窒化物およびp-Siの上にデポジットして、シリコン酸化物のシリコン窒化物およびp-Siに対する選択性が保持される。15sccmのO2試験条件では、a-C上でのエッチングが観察され、シリコン酸化物対a-Cの選択性が無限大から~2にまで劇的に低下する(すなわち、SiOのエッチング速度/a-Cのエッチング速度)。全体として、C2HF2Nは、最も広い、プロセス条件の可能な範囲を与え、それよって、シリコン窒化物およびp-Si基材に対して無限大の選択性が得られる。シリコン酸化物のエッチング速度は、標準的に使用されているcC4F8ガス(これは、550nm/minを超える)よりは低いが、CF4、C3F8、cC4F8、またはC4F6のような添加ガスを追加することによって、容易に高くすることができる。
図9は、C3HF4NおよびO2を用いたときのSiO、SiN、p-Si、およびa-Cのエッチング速度を示すグラフである。図9において、y軸のプラス側がエッチング速度を表すのに対して、y軸のマイナス側はデポジション速度を表しており、x軸はO2流量(単位:sccm)であり、C3HF4N流量を15sccmに固定しているのに対して、O2流量は0~15sccmで変化させている。
図10は、C3HF4NおよびCF4を用いたときのSiO、SiN、p-Si、およびa-Cのエッチング速度を示すグラフである。図10においては、y軸は、エッチング速度を表し、x軸は、CF4流量(単位:sccm)を表している。C3HF4N流量を15sccmに固定し、O2流量を5sccmに固定するが、CF4流量は、10~15sccmで変化させる。
5)、CxF2x(x=3~5)、CxF2x-2(x=4~5)のような添加物を添加するのがよい。
図11は、C3HF4N、C2HF2N、C5F5N、C2F3N、cC4F8、およびC4F6のエッチングガスのシリコン酸化物のエッチング速度を比較して示すグラフである。図11においては、y軸のプラス側がエッチング速度を表すのに対して、y軸のマイナス側はデポジション速度を表しており、x軸は、比較する化合物を表している。図11から、窒素含有化合物のC2HF2NおよびC2F3Nが、C3HF4NおよびC5F5Nよりも高いエッチング速度を有していることがわかる。
図12は、C3HF4N、C2HF2N、C5F5N、C2F3N、cC4F8、およびC4F6のエッチングガスを使用し、酸素を添加しない場合の、シリコン酸化物対シリコン窒化物の選択性を比較して示すグラフである。図12において、y軸は、SiO:SiNの選択性を表し、x軸は、比較する化合物を表している。図12は、酸素添加0sccmでは、C2HF2NおよびC5F5Nが、シリコン酸化物対シリコン窒化物で無限大の選択性(150として表されている)を有していることを示している。
図13は、5sccmの酸素を添加した場合の、C3HF4N、C2HF2N、C5F5N、C2F3N、cC4F8、およびC4F6のエッチングガスでのシリコン酸化物のエッチング速度を比較して示すグラフである。図13において、y軸は、エッチング速度をあらわし、x軸は、比較する化合物を表している。図13に見られるように、5sccmの酸素を添加した場合のエッチング速度の順位は以下のとおりである:cC4F8>C2F3N>C3HF4N>C2HF2N>C4F6>C5F5N。
図14は、5sccmの酸素を添加した場合の、C3HF4N、C2HF2N、C5F5N、C2F3N、cC4F8、およびC4F6のエッチングガスの選択性(SiO/SiN)を比較して示すグラフである。図14において、y軸は、SiO:SiNの選択性を表し、そしてx軸は、比較する化合物を表している。図14は、5sccmの酸素添加では、C3HF4N、C2HF2N、およびC5F5Nが、酸化物対窒化物で無限大の選択性(150として表されている)を有していることを示している。
図15は、10sccmの酸素を添加した場合の、C3HF4N、C2HF2N、C5F5N、C2F3N、cC4F8、およびC4F6のエッチングガスでのシリコン酸化物のエッチング速度を比較して示すグラフである。図15において、y軸は、エッチング速度をあらわし、x軸は、比較する化合物を表している。図15に見られるように、10sccmの酸素を添加した場合のエッチング速度の順位は以下のとおりである:cC4F8>C4F6>C3HF4N>C2F3N>C2HF2N>C5F5N。
図16は、10sccmの酸素を添加した場合の、C3HF4N、C2HF2N、C5F5N、C2F3N、cC4F8、およびC4F6のエッチングガスの選択性(SiO/SiN)を比較して示すグラフである。図16において、y軸は、(SiO:SiN)の選択性を表し、そしてx軸は、比較する化合物を表している。図16は、10sccmの酸素添加では、C3HF4N、C2HF2N、およびC5F5Nが、酸化物対窒化物で無限大の選択性(150として表されている)を有していることを示している。
図17は、15sccmの酸素を添加した場合の、C3HF4N、C2HF2N、C2F3N、cC4F8、およびC4F6のエッチングガスでのシリコン酸化物のエッチング速度を比較して示すグラフである。図17において、y軸は、エッチング速度をあらわし、x軸は、比較する化合物を表している。図17に見られるように、15sccmの酸素を添加した場合のエッチング速度の順位は以下のとおりである:C4F6>cC4F8>C3HF4N>C2F3N>C2HF2N。
図18は、15sccmの酸素を添加した場合の、C3HF4N、C2HF2N、C2F3N、cC4F8、およびC4F6のエッチングガスの選択性(SiO/SiN)を比較して示すグラフである。図18において、y軸は、エッチング速度をあらわし、x軸は、比較する化合物を表している。図18は、15sccmの酸素を添加した場合、C2HF2Nだけが、酸化物対窒化物で無限大の選択性(150として表されている)を有していることを示している。
N2をエッチングガス混合物(cC4F8を含む)に添加して、エッチング速度および選択性に及ぼすN2の効果を調べた。エッチング試験は30mトールで実施し、電源出力を750W(27MHz)とし、バイアス出力を1500W(2MHz)とした。そのフィード混合物には、250sccmのAr、15sccmのcC4F8、10sccmのO2が含まれていたが、それに対してN2は、0sccm~20sccmの量で変化させた。図19は、各種の基材物質のエッチング速度に及ぼす、N2添加の効果を示すグラフである。図19において、y軸は、エッチング速度をあらわし、x軸はN2の流量を表している。
NH3をエッチングガス混合物に添加して、エッチング速度および選択性に及ぼす窒素の効果を調べた。エッチング試験は30mトールで実施し、電源出力を750W(27MHz)とし、バイアス出力を1500W(2MHz)とした。そのフィード混合物には、250sccmのAr、15sccmのcC4F8、15sccmのNH3が含まれていたが、それに対してO2は、0sccm~15sccmの量で変化させた。図20は、各種の基材物質のエッチング速度に及ぼす、O2添加の効果を示すグラフである。図20においては、y軸のプラス側がエッチング速度を表すのに対して、y軸のマイナス側はデポジション速度を表しており、x軸は、O2の流量を表している。
くの場合、エッチングガス混合物に酸素が添加される。しかしながら、酸素が過剰であると、選択性が失われる結果を招く恐れがある。したがって、エッチストップと選択性との間には、トレードオフの関係がある。
図21は、C2F3Nについての電子衝撃イオン化データを示すグラフである。図21においては、x軸は、電子エネルギーを表し、y軸は、フラグメント種の分圧を表している。図21は、C2F3Nからの主たるフラグメントがCF3およびC2F2Nであることを示している。C2F2Nフラグメントは低いF/C比を有していて、基材に到達すると容易に重合するであろう。
図22は、C2F3NおよびO2を用いたときのSiO、SiN、p-Si、およびa-Cのエッチング速度を示すグラフである。図22においては、y軸のプラス側がエッチング速度を表すのに対して、y軸のマイナス側はデポジション速度を表しており、x軸は、O2の流量(単位:sccm)である。C2F3Nの流量を15sccmに固定するに対して、O2の流量は0~15sccmで変化させる。
図23は、C3H3F6N(1,1,1,3,3,3-ヘキサフルオロイソプロピルアミン
3H3F6Nからの主たるフラグメントがC2H3F3Nであることを示している。C2H3F3Nフラグメントは高いF/C比を有しており、基材の上にエッチ耐性のポリマーを与えることはできない。
図24は、酸素なしで、各種の基材物質にC3H3F6Nを添加したときの、エッチング速度の結果を示すグラフである。デポジション試験の条件では、それは、210nm/minの速度でデポジットして、サイドウォール保護を与えることができる。この分子は、p-Siおよびa-Cに対しては良好な選択性を与えるが、SiN膜に対しては、たとえ酸素を全く添加しない場合であっても、選択性が低下している。
Claims (18)
- 基材上にエッチ抵抗性のポリマー層を堆積させる方法であって、
前記基材を含む反応チャンバの中に有機フッ素化合物の蒸気を導入する工程であって、前記有機フッ素化合物が、N≡C-R1[式中、R1は、式HaFbCc(ここで、a=1~11、b=1~11、c=1~5である)を有する];(N≡C-)-(R2)-(-C≡N)[式中、R2は、式HaFbCc(ここで、a=0~11、b=1~11、c=1~5である)を有する];ならびに、R1 x[-C=N(R2 z)]y[ここで、x=1~2、y=1~2、z=0~1、x+z=1~3であり、それぞれのR1およびR2は独立して、式HaFbCc(ここで、a=0~11、b=0~11、c=0~5である)を有する]からなる群より選択される式を有する、工程;と、
前記有機フッ素化合物をプラズマ活性化させ、前記基材上に前記エッチ抵抗性のポリマー層を形成する工程と、を含み、
前記有機フッ素化合物が、容積で約95%~約99.999%の範囲の純度を有し、容積で約10ppt~約5%の水蒸気不純物を含む、方法。 - 前記有機フッ素化合物が、式N≡C-R 1 [式中、R 1 は、式H a F b C c (ここで、a=1~11、b=1~11、c=1~5である)を有する。]、又は式(N≡C-)-(R 2 )-(-C≡N)[式中、R 2 は、式H a F b C c (ここで、a=0~11、b=1~11、c=1~5である)を有する官能基である。]を含む、請求項1に記載の方法。
- 前記有機フッ素化合物が、2,2,3,3-テトラフルオロプロピオニトリル、2,3,3,3-テトラフルオロプロピオニトリル(C3HF4N)、ジフルオロアセトニトリル(C2HF2N)、ヘキサフルオロアセトンイミン(C3HF6N);4,4,4-トリフルオロクロトノ-ニトリル、3,3,3-トリフルオロプロピオニトリル、フルオロアセトニトリル、オクタフルオロヘキサン-1,6-ジニトリル、1,1-ビス(トリフルオロメチル)-2,2-ジシアノエチレン、N,1,1,1,3,3,3-ヘプタフルオロ-プロパンアミン、および1,1,1,6,6,6-ヘキサフルオロ-3-アザヘキセ-3-エンからなる群より選択される、請求項1に記載の方法。
- 前記有機フッ素化合物が、2,3,3,3-テトラフルオロプロピオニトリル(C3HF4N)である、請求項1に記載の方法。
- 前記有機フッ素化合物が、ジフルオロアセトニトリル(C2HF2N)である、請求項1に記載の方法。
- 前記エッチ抵抗性のポリマー層が、パターンエッチ構造物のサイドウォール上にN含有ポリマーの不動態化層を形成する、請求項1に記載の方法。
- 前記パターンエッチ構造物が、1:1から50:1の範囲のアスペクト比を有する、請求項6に記載の方法。
- 前記パターンエッチ構造物が、10:1から200:1の範囲のアスペクト比を有する、請求項6に記載の方法。
- 前記N含有ポリマーの不動態化層は、イオン及びラジカルが前記サイドウォールをエッチングすることを抑制する、請求項6に記載の方法。
- 前記エッチ抵抗性のポリマー層が、ボーイングのない真っ直ぐで垂直なプロファイルを有する前記パターンエッチ構造物をもたらす、請求項6に記載の方法。
- さらに、ドライ又はウェットなエッチ用化学薬品を用いて、前記エッチ抵抗性のポリマー層を除去する工程を含む、請求項1ないし請求項10のいずれか一項に記載の方法。
- さらに、前記反応チャンバの中に不活性ガスを導入する工程を含む、請求項1ないし請求項10のいずれか一項に記載の方法。
- 前記不活性ガスが、He、Ar、Xe、Kr、Ne、N 2 及びこれらの組み合わせからなる群より選択される1種である、請求項12に記載の方法。
- 基材上にN含有ポリマーの不動態化層を堆積させる方法であって、
前記基材を含む反応チャンバの中に有機フッ素化合物の蒸気を導入する工程であって、前記有機フッ素化合物が、C≡NまたはC=N官能基を含む、工程;と、
プラズマを活性化させて、前記有機フッ素化合物のフラグメントを生成し、前記基材上に前記N含有ポリマーの不動態化層を形成する工程と、を含む方法。 - 前記有機フッ素化合物が、2,2,3,3-テトラフルオロプロピオニトリル、2,3,3,3-テトラフルオロプロピオニトリル(C3HF4N)、ジフルオロアセトニトリル(C2HF2N)、ヘキサフルオロアセトンイミン(C3HF6N);4,4,4-トリフルオロクロトノ-ニトリル、3,3,3-トリフルオロプロピオニトリル、フルオロアセトニトリル、オクタフルオロヘキサン-1,6-ジニトリル、1,1-ビス(トリフルオロメチル)-2,2-ジシアノエチレン、N,1,1,1,3,3,3-ヘプタフルオロ-プロパンアミン、および1,1,1,6,6,6-ヘキサフルオロ-3-アザヘキセ-3-エンからなる群より選択される、請求項14に記載の方法。
- さらに、ドライ又はウェットなエッチ用化学薬品を用いて、前記N含有ポリマーの不動態化層を除去する工程を含む、請求項14または請求項15に記載の方法。
- さらに、前記反応チャンバの中に不活性ガスを導入する工程を含む、請求項14または
請求項15に記載の方法。 - 前記不活性ガスが、He、Ar、Xe、Kr、Ne、N 2 及びこれらの組み合わせからなる群より選択される1種である、請求項17に記載の方法。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US14/841,271 US9659788B2 (en) | 2015-08-31 | 2015-08-31 | Nitrogen-containing compounds for etching semiconductor structures |
US14/841,271 | 2015-08-31 | ||
JP2018505026A JP6871233B2 (ja) | 2015-08-31 | 2016-08-30 | シリコン含有膜をエッチングするための方法 |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2018505026A Division JP6871233B2 (ja) | 2015-08-31 | 2016-08-30 | シリコン含有膜をエッチングするための方法 |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2021108391A JP2021108391A (ja) | 2021-07-29 |
JP7079872B2 true JP7079872B2 (ja) | 2022-06-02 |
Family
ID=54870307
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2018505026A Active JP6871233B2 (ja) | 2015-08-31 | 2016-08-30 | シリコン含有膜をエッチングするための方法 |
JP2021069197A Active JP7079872B2 (ja) | 2015-08-31 | 2021-04-15 | 半導体構造物上に窒素含有化合物を堆積させる方法 |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2018505026A Active JP6871233B2 (ja) | 2015-08-31 | 2016-08-30 | シリコン含有膜をエッチングするための方法 |
Country Status (7)
Country | Link |
---|---|
US (2) | US9659788B2 (ja) |
EP (1) | EP3345211A1 (ja) |
JP (2) | JP6871233B2 (ja) |
KR (2) | KR20240011245A (ja) |
CN (2) | CN107924842B (ja) |
TW (2) | TWI745202B (ja) |
WO (1) | WO2017040518A1 (ja) |
Families Citing this family (80)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US20180277387A1 (en) * | 2014-08-06 | 2018-09-27 | American Air Liquide, Inc. | Gases for low damage selective silicon nitride etching |
US10825652B2 (en) | 2014-08-29 | 2020-11-03 | Lam Research Corporation | Ion beam etch without need for wafer tilt or rotation |
US9406535B2 (en) | 2014-08-29 | 2016-08-02 | Lam Research Corporation | Ion injector and lens system for ion beam milling |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US9659788B2 (en) | 2015-08-31 | 2017-05-23 | American Air Liquide, Inc. | Nitrogen-containing compounds for etching semiconductor structures |
US9917097B2 (en) * | 2016-01-07 | 2018-03-13 | Toshiba Memory Corporation | Method of manufacturing semiconductor device |
US9779955B2 (en) | 2016-02-25 | 2017-10-03 | Lam Research Corporation | Ion beam etching utilizing cryogenic wafer temperatures |
US10515820B2 (en) | 2016-03-30 | 2019-12-24 | Tokyo Electron Limited | Process and apparatus for processing a nitride structure without silica deposition |
US10325779B2 (en) | 2016-03-30 | 2019-06-18 | Tokyo Electron Limited | Colloidal silica growth inhibitor and associated method and system |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
DE102016220248A1 (de) * | 2016-10-17 | 2018-04-19 | Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. | Vorrichtung und verfahren zum anisotropen drie-ätzen mit fluorgasmischung |
US10692880B2 (en) * | 2016-12-27 | 2020-06-23 | Applied Materials, Inc. | 3D NAND high aspect ratio structure etch |
US10607850B2 (en) * | 2016-12-30 | 2020-03-31 | American Air Liquide, Inc. | Iodine-containing compounds for etching semiconductor structures |
US10347498B2 (en) | 2016-12-31 | 2019-07-09 | L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude | Methods of minimizing plasma-induced sidewall damage during low K etch processes |
US20170110336A1 (en) * | 2016-12-31 | 2017-04-20 | L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges CLuadeq | Methods for minimizing sidewall damage during low k etch processes |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
JP6875152B2 (ja) * | 2017-03-03 | 2021-05-19 | レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード | 多孔質膜封孔方法および多孔質膜封孔用材料 |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
KR102603885B1 (ko) * | 2017-04-06 | 2023-11-20 | 칸토 덴카 코교 가부시키가이샤 | 드라이 에칭 가스 조성물 및 드라이 에칭 방법 |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US10242883B2 (en) * | 2017-06-23 | 2019-03-26 | Lam Research Corporation | High aspect ratio etch of oxide metal oxide metal stack |
TW201909264A (zh) | 2017-07-17 | 2019-03-01 | 美商應用材料股份有限公司 | 氮化物特徵的受控蝕刻 |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10586710B2 (en) * | 2017-09-01 | 2020-03-10 | Tokyo Electron Limited | Etching method |
JP6883495B2 (ja) * | 2017-09-04 | 2021-06-09 | 東京エレクトロン株式会社 | エッチング方法 |
US10847374B2 (en) * | 2017-10-31 | 2020-11-24 | Lam Research Corporation | Method for etching features in a stack |
US10410878B2 (en) * | 2017-10-31 | 2019-09-10 | American Air Liquide, Inc. | Hydrofluorocarbons containing —NH2 functional group for 3D NAND and DRAM applications |
CN107833842B (zh) * | 2017-11-01 | 2019-03-29 | 长江存储科技有限责任公司 | 一种3d nand存储器的层叠结构的薄膜层厚度测量方法 |
CN111418046A (zh) * | 2017-11-30 | 2020-07-14 | 朗姆研究公司 | 氧化硅氮化硅堆叠件楼梯踏步式蚀刻 |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
CN110010464B (zh) | 2017-12-25 | 2023-07-14 | 东京毅力科创株式会社 | 处理基板的方法 |
JP7067424B2 (ja) * | 2017-12-27 | 2022-05-16 | 東京エレクトロン株式会社 | エッチング方法及びエッチング装置 |
US10529581B2 (en) * | 2017-12-29 | 2020-01-07 | L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude | SiN selective etch to SiO2 with non-plasma dry process for 3D NAND device applications |
US10903109B2 (en) * | 2017-12-29 | 2021-01-26 | Micron Technology, Inc. | Methods of forming high aspect ratio openings and methods of forming high aspect ratio features |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10361092B1 (en) | 2018-02-23 | 2019-07-23 | Lam Research Corporation | Etching features using metal passivation |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
CN112219266B (zh) * | 2018-04-13 | 2024-06-25 | 玛特森技术公司 | 以使用烷基卤化物生成的反应性核素处理工件 |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
KR20190132834A (ko) | 2018-05-21 | 2019-11-29 | 삼성전자주식회사 | 3차원 반도체 메모리 장치 및 이의 제조 방법 |
US11011351B2 (en) * | 2018-07-13 | 2021-05-18 | Lam Research Corporation | Monoenergetic ion generation for controlled etch |
SG11202100018XA (en) * | 2018-07-27 | 2021-02-25 | Applied Materials Inc | 3d nand etch |
KR102272823B1 (ko) | 2018-07-30 | 2021-07-02 | 도쿄엘렉트론가부시키가이샤 | 에칭 방법 및 에칭 장치 |
WO2020051063A2 (en) * | 2018-09-05 | 2020-03-12 | Tokyo Electron Limited | Surface modification process |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) * | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
CN111048415B (zh) * | 2018-10-11 | 2023-03-14 | 上海新阳半导体材料股份有限公司 | 一种选择性刻蚀液组合物及其制备方法和应用 |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
JP7174634B2 (ja) | 2019-01-18 | 2022-11-17 | 東京エレクトロン株式会社 | 膜をエッチングする方法 |
WO2020153066A1 (ja) * | 2019-01-23 | 2020-07-30 | セントラル硝子株式会社 | ドライエッチング方法、ドライエッチング剤、及びその保存容器 |
KR20210123409A (ko) | 2019-02-28 | 2021-10-13 | 램 리써치 코포레이션 | 측벽 세정을 사용한 이온 빔 에칭 |
KR20200127101A (ko) | 2019-04-30 | 2020-11-10 | 삼성전자주식회사 | 반도체 메모리 소자 및 이의 제조 방법 |
KR102675057B1 (ko) * | 2019-10-29 | 2024-06-12 | 오씨아이 주식회사 | 실리콘 질화막 식각 용액 및 이를 사용한 반도체 소자의 제조 방법 |
KR102338512B1 (ko) * | 2019-10-30 | 2021-12-10 | 세메스 주식회사 | 기판 처리 장치 및 기판 처리 방법 |
CN114787999A (zh) | 2019-11-08 | 2022-07-22 | 应用材料公司 | 三维nand栅极堆叠强化 |
CN113035694A (zh) * | 2019-12-25 | 2021-06-25 | 中微半导体设备(上海)股份有限公司 | 刻蚀方法 |
JP7403314B2 (ja) * | 2019-12-26 | 2023-12-22 | 東京エレクトロン株式会社 | エッチング方法及びエッチング装置 |
US11024511B1 (en) * | 2020-04-21 | 2021-06-01 | Winbond Electronics Corp. | Patterning method |
US11329064B2 (en) * | 2020-06-16 | 2022-05-10 | Micron Technology, Inc. | Integrated assemblies and methods of forming integrated assemblies |
US11195723B1 (en) * | 2020-12-11 | 2021-12-07 | Tokyo Electron Limited | Non-atomic layer deposition (ALD) method of forming sidewall passivation layer during high aspect ratio carbon layer etch |
CN112635475B (zh) * | 2020-12-18 | 2024-05-24 | 长江存储科技有限责任公司 | 一种堆叠结构及其制备方法 |
US20230193460A1 (en) * | 2021-12-17 | 2023-06-22 | American Air Liquide, Inc. | Deposition of iodine-containing carbon films |
US20240096640A1 (en) * | 2022-09-20 | 2024-03-21 | Tokyo Electron Limited | High Aspect Ratio Contact (HARC) Etch |
US20240242971A1 (en) * | 2022-12-30 | 2024-07-18 | American Air Liquide, Inc. | Nitrogen-containing aromatic or ring structure molecules for plasma etch and deposition |
Citations (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20020190027A1 (en) | 2001-06-13 | 2002-12-19 | Felker Brian Scott | Cyanuric fluoride and related compounds for anisotropic etching |
JP2005142255A (ja) | 2003-11-05 | 2005-06-02 | Ulvac Japan Ltd | 低誘電率層間絶縁膜のドライエッチング方法 |
JP2006237603A (ja) | 2005-02-15 | 2006-09-07 | Air Products & Chemicals Inc | 基材上に特徴を画定する方法 |
JP2007532781A (ja) | 2004-04-14 | 2007-11-15 | ネーデルランドセ オルガニサティエ フォール トエゲパストナトールヴェテンシャッペリク オンデルゾエク ティエヌオー | コーティング、並びにそれを製造するための方法及び装置 |
US20100105595A1 (en) | 2008-10-29 | 2010-04-29 | Wai Mun Lee | Composition comprising chelating agents containing amidoxime compounds |
JP2014199815A (ja) | 2001-02-02 | 2014-10-23 | ダイキン工業株式会社 | 電極表面被膜形成剤 |
Family Cites Families (32)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US2730543A (en) | 1950-05-20 | 1956-01-10 | Minnesota Mining & Mfg | Fluorinated nitriles |
US2704769A (en) | 1951-05-31 | 1955-03-22 | Minnesota Mining & Mfg | Preparation of alpha-hydroperfluoronitriles |
US3585218A (en) * | 1967-05-24 | 1971-06-15 | Minnesota Mining & Mfg | Preparation of difluoramines by oxidation with 1,1-bis(fluoroxy)perfluoroalkane |
US3671509A (en) | 1971-03-22 | 1972-06-20 | Du Pont | Copolymers of fluoroketone imines with polymerizable unsaturated compounds |
JPH0597478A (ja) * | 1991-10-04 | 1993-04-20 | Nippon Sheet Glass Co Ltd | 撥水性ガラス物品およびその製造方法 |
US5242538A (en) | 1992-01-29 | 1993-09-07 | Applied Materials, Inc. | Reactive ion etch process including hydrogen radicals |
US5814563A (en) | 1996-04-29 | 1998-09-29 | Applied Materials, Inc. | Method for etching dielectric using fluorohydrocarbon gas, NH3 -generating gas, and carbon-oxygen gas |
US5843847A (en) | 1996-04-29 | 1998-12-01 | Applied Materials, Inc. | Method for etching dielectric layers with high selectivity and low microloading |
US6387287B1 (en) | 1998-03-27 | 2002-05-14 | Applied Materials, Inc. | Process for etching oxide using a hexafluorobutadiene and manifesting a wide process window |
US6569774B1 (en) | 2000-08-31 | 2003-05-27 | Micron Technology, Inc. | Method to eliminate striations and surface roughness caused by dry etch |
US6413877B1 (en) | 2000-12-22 | 2002-07-02 | Lam Research Corporation | Method of preventing damage to organo-silicate-glass materials during resist stripping |
US20020121500A1 (en) | 2000-12-22 | 2002-09-05 | Rao Annapragada | Method of etching with NH3 and fluorine chemistries |
US6777344B2 (en) | 2001-02-12 | 2004-08-17 | Lam Research Corporation | Post-etch photoresist strip with O2 and NH3 for organosilicate glass low-K dielectric etch applications |
US7311852B2 (en) | 2001-03-30 | 2007-12-25 | Lam Research Corporation | Method of plasma etching low-k dielectric materials |
JP2002319551A (ja) * | 2001-04-23 | 2002-10-31 | Nec Corp | 半導体装置およびその製造方法 |
CN1675058B (zh) * | 2002-08-07 | 2010-12-29 | 株式会社丰田中央研究所 | 包括粘合层的层压产品和包括保护膜的层压产品 |
JP2004158534A (ja) | 2002-11-05 | 2004-06-03 | Kobe Steel Ltd | 微細構造体の洗浄方法 |
US6693047B1 (en) | 2002-12-19 | 2004-02-17 | Taiwan Semiconductor Manufacturing Co. Ltd. | Method for recycling semiconductor wafers having carbon doped low-k dielectric layers |
JP2005116801A (ja) * | 2003-10-08 | 2005-04-28 | Toshiba Corp | 半導体装置の製造方法 |
US7695590B2 (en) * | 2004-03-26 | 2010-04-13 | Applied Materials, Inc. | Chemical vapor deposition plasma reactor having plural ion shower grids |
US20060062914A1 (en) * | 2004-09-21 | 2006-03-23 | Diwakar Garg | Apparatus and process for surface treatment of substrate using an activated reactive gas |
KR100683400B1 (ko) | 2005-12-28 | 2007-02-15 | 동부일렉트로닉스 주식회사 | 저유전 물질 측정 방법 |
US7540971B2 (en) * | 2006-04-28 | 2009-06-02 | Applied Materials, Inc. | Plasma etch process using polymerizing etch gases across a wafer surface and additional polymer managing or controlling gases in independently fed gas zones with time and spatial modulation of gas content |
CN101379608A (zh) * | 2007-03-15 | 2009-03-04 | 乔治洛德方法研究和开发液化空气有限公司 | 用于制造平板显示器的铜互连 |
KR101660488B1 (ko) | 2010-01-22 | 2016-09-28 | 삼성전자주식회사 | 3차원 반도체 장치 및 그 제조 방법 |
JP5698558B2 (ja) * | 2011-02-21 | 2015-04-08 | 東京エレクトロン株式会社 | 基板処理方法及び記憶媒体 |
FR2972452B1 (fr) * | 2011-03-09 | 2013-03-15 | Rhodia Operations | Procede de preparation du difluoroacetonitrile et de ses derives |
GB201107737D0 (en) | 2011-05-09 | 2011-06-22 | Univ Birmingham | Extraction from cells |
KR101276258B1 (ko) * | 2011-11-21 | 2013-06-20 | 피에스케이 주식회사 | 반도체 제조 장치 및 반도체 제조 방법 |
US9093389B2 (en) | 2013-01-16 | 2015-07-28 | Applied Materials, Inc. | Method of patterning a silicon nitride dielectric film |
CN104752307B (zh) * | 2013-12-25 | 2018-03-20 | 中芯国际集成电路制造(上海)有限公司 | 一种浅沟槽隔离结构及其制造方法 |
US9659788B2 (en) | 2015-08-31 | 2017-05-23 | American Air Liquide, Inc. | Nitrogen-containing compounds for etching semiconductor structures |
-
2015
- 2015-08-31 US US14/841,271 patent/US9659788B2/en active Active
-
2016
- 2016-08-30 KR KR1020247001077A patent/KR20240011245A/ko active Application Filing
- 2016-08-30 TW TW109146301A patent/TWI745202B/zh active
- 2016-08-30 TW TW105127842A patent/TWI716443B/zh active
- 2016-08-30 KR KR1020187006602A patent/KR102625367B1/ko active IP Right Grant
- 2016-08-30 EP EP16770815.5A patent/EP3345211A1/en active Pending
- 2016-08-30 CN CN201680048436.5A patent/CN107924842B/zh active Active
- 2016-08-30 CN CN202211081337.0A patent/CN115394641A/zh active Pending
- 2016-08-30 WO PCT/US2016/049479 patent/WO2017040518A1/en active Application Filing
- 2016-08-30 JP JP2018505026A patent/JP6871233B2/ja active Active
-
2017
- 2017-04-26 US US15/497,393 patent/US10256109B2/en active Active
-
2021
- 2021-04-15 JP JP2021069197A patent/JP7079872B2/ja active Active
Patent Citations (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2014199815A (ja) | 2001-02-02 | 2014-10-23 | ダイキン工業株式会社 | 電極表面被膜形成剤 |
US20020190027A1 (en) | 2001-06-13 | 2002-12-19 | Felker Brian Scott | Cyanuric fluoride and related compounds for anisotropic etching |
JP2005142255A (ja) | 2003-11-05 | 2005-06-02 | Ulvac Japan Ltd | 低誘電率層間絶縁膜のドライエッチング方法 |
JP2007532781A (ja) | 2004-04-14 | 2007-11-15 | ネーデルランドセ オルガニサティエ フォール トエゲパストナトールヴェテンシャッペリク オンデルゾエク ティエヌオー | コーティング、並びにそれを製造するための方法及び装置 |
JP2006237603A (ja) | 2005-02-15 | 2006-09-07 | Air Products & Chemicals Inc | 基材上に特徴を画定する方法 |
US20100105595A1 (en) | 2008-10-29 | 2010-04-29 | Wai Mun Lee | Composition comprising chelating agents containing amidoxime compounds |
Also Published As
Publication number | Publication date |
---|---|
EP3345211A1 (en) | 2018-07-11 |
CN115394641A (zh) | 2022-11-25 |
US10256109B2 (en) | 2019-04-09 |
US9659788B2 (en) | 2017-05-23 |
JP2021108391A (ja) | 2021-07-29 |
JP2018529225A (ja) | 2018-10-04 |
JP6871233B2 (ja) | 2021-05-12 |
TW201714873A (zh) | 2017-05-01 |
KR20240011245A (ko) | 2024-01-25 |
CN107924842A (zh) | 2018-04-17 |
KR20180048689A (ko) | 2018-05-10 |
US20150371869A1 (en) | 2015-12-24 |
CN107924842B (zh) | 2022-09-06 |
US20170229316A1 (en) | 2017-08-10 |
TWI745202B (zh) | 2021-11-01 |
WO2017040518A1 (en) | 2017-03-09 |
TWI716443B (zh) | 2021-01-21 |
KR102625367B1 (ko) | 2024-01-15 |
TW202124361A (zh) | 2021-07-01 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP7079872B2 (ja) | 半導体構造物上に窒素含有化合物を堆積させる方法 | |
JP7470834B2 (ja) | 半導体構造エッチング用ヨウ素含有化合物 | |
KR102153246B1 (ko) | 규소-함유 필름의 에칭을 위한 방법 및 에칭 가스 | |
JP6974468B2 (ja) | 低誘電率エッチングプロセスの間の側壁ダメージを最小化する方法 | |
TW202204297A (zh) | 用於蝕刻半導體結構之含碘的氟碳化合物及氫氟烴化合物 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20210507 |
|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20210507 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20220407 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20220517 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20220523 |
|
R150 | Certificate of patent or registration of utility model |
Ref document number: 7079872 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 |