TWI352402B - Method for forming copper on substrate - Google Patents

Method for forming copper on substrate Download PDF

Info

Publication number
TWI352402B
TWI352402B TW095132131A TW95132131A TWI352402B TW I352402 B TWI352402 B TW I352402B TW 095132131 A TW095132131 A TW 095132131A TW 95132131 A TW95132131 A TW 95132131A TW I352402 B TWI352402 B TW I352402B
Authority
TW
Taiwan
Prior art keywords
substrate
copper
layer
solution
chamber
Prior art date
Application number
TW095132131A
Other languages
English (en)
Other versions
TW200721380A (en
Inventor
Alan Lee
Iii Andrew Bailey
William Thie
Yunsang Kim
Yezdi Dordi
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of TW200721380A publication Critical patent/TW200721380A/zh
Application granted granted Critical
Publication of TWI352402B publication Critical patent/TWI352402B/zh

Links

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/10Apparatus or processes for manufacturing printed circuits in which conductive material is applied to the insulating support in such a manner as to form the desired conductive pattern
    • H05K3/18Apparatus or processes for manufacturing printed circuits in which conductive material is applied to the insulating support in such a manner as to form the desired conductive pattern using precipitation techniques to apply the conductive material
    • H05K3/181Apparatus or processes for manufacturing printed circuits in which conductive material is applied to the insulating support in such a manner as to form the desired conductive pattern using precipitation techniques to apply the conductive material by electroless plating
    • H05K3/182Apparatus or processes for manufacturing printed circuits in which conductive material is applied to the insulating support in such a manner as to form the desired conductive pattern using precipitation techniques to apply the conductive material by electroless plating characterised by the patterning method
    • H05K3/184Apparatus or processes for manufacturing printed circuits in which conductive material is applied to the insulating support in such a manner as to form the desired conductive pattern using precipitation techniques to apply the conductive material by electroless plating characterised by the patterning method using masks
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/10Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by other chemical means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1603Process or apparatus coating on selected surface areas
    • C23C18/1605Process or apparatus coating on selected surface areas by masking
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/32Processes for applying liquids or other fluent materials using means for protecting parts of a surface not to be coated, e.g. using stencils, resists
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1635Composition of the substrate
    • C23C18/1639Substrates other than metallic, e.g. inorganic or organic or non-conductive
    • C23C18/1642Substrates other than metallic, e.g. inorganic or organic or non-conductive semiconductor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1655Process features
    • C23C18/1664Process features with additional means during the plating process
    • C23C18/1669Agitation, e.g. air introduction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/18Pretreatment of the material to be coated
    • C23C18/1851Pretreatment of the material to be coated of surfaces of non-metallic or semiconducting in organic material
    • C23C18/1872Pretreatment of the material to be coated of surfaces of non-metallic or semiconducting in organic material by chemical pretreatment
    • C23C18/1875Pretreatment of the material to be coated of surfaces of non-metallic or semiconducting in organic material by chemical pretreatment only one step pretreatment
    • C23C18/1879Use of metal, e.g. activation, sensitisation with noble metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/18Pretreatment of the material to be coated
    • C23C18/1851Pretreatment of the material to be coated of surfaces of non-metallic or semiconducting in organic material
    • C23C18/1872Pretreatment of the material to be coated of surfaces of non-metallic or semiconducting in organic material by chemical pretreatment
    • C23C18/1875Pretreatment of the material to be coated of surfaces of non-metallic or semiconducting in organic material by chemical pretreatment only one step pretreatment
    • C23C18/1882Use of organic or inorganic compounds other than metals, e.g. activation, sensitisation with polymers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/31Coating with metals
    • C23C18/38Coating with copper
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/31Coating with metals
    • C23C18/38Coating with copper
    • C23C18/40Coating with copper using reducing agents
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/02Apparatus or processes for manufacturing printed circuits in which the conductive material is applied to the surface of the insulating support and is thereafter removed from such areas of the surface which are not intended for current conducting or shielding
    • H05K3/06Apparatus or processes for manufacturing printed circuits in which the conductive material is applied to the surface of the insulating support and is thereafter removed from such areas of the surface which are not intended for current conducting or shielding the conductive material being removed chemically or electrolytically, e.g. by photo-etch process
    • H05K3/061Etching masks
    • H05K3/064Photoresists
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2203/00Indexing scheme relating to apparatus or processes for manufacturing printed circuits covered by H05K3/00
    • H05K2203/05Patterning and lithography; Masks; Details of resist
    • H05K2203/0562Details of resist
    • H05K2203/0571Dual purpose resist, e.g. etch resist used as solder resist, solder resist used as plating resist
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2203/00Indexing scheme relating to apparatus or processes for manufacturing printed circuits covered by H05K3/00
    • H05K2203/07Treatments involving liquids, e.g. plating, rinsing
    • H05K2203/0703Plating
    • H05K2203/072Electroless plating, e.g. finish plating or initial plating
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2203/00Indexing scheme relating to apparatus or processes for manufacturing printed circuits covered by H05K3/00
    • H05K2203/08Treatments involving gases
    • H05K2203/087Using a reactive gas

Description

1352402 九、發明說明: 【發明所屬之技術領域】 本發明係關於一種半導體製造處理,更且 種以無電鍍銅方式形成圖案化銅線的系統及^法。σ '、;一 【先前技術】 你又壞I衣枉τ隹;丨電材枓中形成溝槽、以填滿 積ίίΐ屬Ϊ銅而形成了過度料的狀態。—般使用化學以ί 坦^处理’來移除在與溝槽相鄰之場區巾的過度裝填。熟知此 篇S知道膽解:在不同位準上的溝槽係藉由銅;充填的通孔 内介電材料之介電t數值大幅地降低,由於材料 免付更易碎、多孔化且變得無刻、清理及平坦化材料之標 理技術更不相容,岐得魏嵌技術的整合變得更哪。此 =目前所遇_整合問題,而使多孔化性質增加了的low-κ材 雷ϊί期望:將介電材料一起消除而使用氣承來作為 截至目前為止尚未有可行的整合方案來達 通常’無電鍍鋼使用具有還原劑之鹼性銅離子溶 如半導體晶圓)放置到該種驗性溶液中。在基板上存 的情況下,還原劑在基板表面上將銅離子還原為鋼層或銅膜。 經類溶液(例如,帽)為無電鏟溶液中常使用 、 貫質上將銅離子還原為元素銅。不幸地是,此一還原處理^產甲^ =包含進人銅母射賴,而引起挪並降低所沈積之銅層的 品質。 0 、典型鹼性溶液無電鍍鋼處理的另一個限制包含了以相當低的 成長速率來產生銅層。例如,典黯性減無電_具有^每 知100-500埃之最大成長速率。此受到限制之成長速率需要額外的 1352402 溶液通入至一混合器中;將還原溶液通入人 源溶液及還絲液卿成pH值大㈣6 5° ’處合銅之 無電鐘溶液施加至包含一催化層的基板,复二電鑛浴液;及將該 至該基板包含了在該催鋪±形她。〃 β加销電鑛溶液 吾人可在將絲麵溶祕加至顧時 無電鍍溶液。該無電錢溶液可具有介於約72至、質上地產生遠 在催化層上形成銅後,可將該無電鑛溶液检棄。.之ρΗ值。 該基板可包含一圖案化之光阻層,其^ 露了催化層之第一部分,其中施加該益電===光阻層暴 在該催化層之該第-部分上形成銅。本 除該無紐溶液;沖洗該基板;及乾燥該基板。^.自基板移 本方法亦可包含:移除該圖案化之光阻。 阻使催化層的第二部分裸露。亦可移除該催化=第ϋ匕先 該無電鍍溶液與未受保護的光阻相容。彤^ ^ ^ 可實形成在催化層上之銅可實質上為未“i ί變=電?溶液經由,彎液面來施加至基板,以動 還原離子。 幽化物。遲原溶液可包含- _^層可包含不止-層。低層可包含—底舰反射塗佈 今4::施Ξ供;f在基板上形成圖案化之銅結構的方法。 二ίΓ 而該基板包含形成於其上之一催化層 及幵y成於絲化層上的-_魏光阻層。刻案美 i圖案化光阻層覆蓋催化層之第二部^、將銅 合,以形成具有阳值介於約7.2至約7.8之益 電鍍溶液施加至一基板包含:在催化層之第Γ 13524〇2 更另-實關提,:種處理設備,包含:低 壓處理室、連接至低壓處理室與大氣壓處理室之每 J:該傳送室包含-受到控制的環境。該傳送室提供 ^ $含^以控制該低壓處理室、該大氣壓處理室及該傳送$ 的母*~者。 該低壓處理t可包含*止—個健處理室,該其所 壓處理室可包含㈣/雜室’而域壓處理室可包含—無铜 f。該無賴銅室可包含-混合器。該電漿室可為電、^室又: 蝕刻/移除室中至少一者可為濕式處理室。 電水至 ,傳送至包含輸入/輸出模組。控制系統可包含一配方,該配 03 .將圖案化之基板載人至無電醜室液 ,入至混合財的邏輯;將還原溶液輸入至混合二 5銅源溶液及綠溶液以形成具有pH值大於約6 5之I電於 η;及將無電鍍溶液施加至圖案化之基板上的邏輯,該又圖案 該峨基板包含在 光阻,蓋催化層的第二部分。該電漿室可為—下游案化 述 ίϊΓ结ΐ了附圖並以本發明之例示性原理所作之詳細闡 ,本心月之其他態樣與優點將愈形清晰。 【實施方式】 細丨見ϋ f雜由無電鍍_形成®案化銅線之系統及方法的數 ϊ]: 項技述者應知··在不使用此處所提出之 杲二:¾王。疋細即的情況下,仍可施行本發明。 本發明提供-種改善無電鑛銅處理之系統及方法,其係實質 BARC :約 20 °C、40-100 mTorr、200-700 W 與 27MHz、5〇〇_i0〇〇w 及 2MHz、100-500 seem 氬氣、o-ioo sccm CF4、0-30 seem 氧氣、 0-150 seem 氮氣、0-150 seem 氫氣及 0-10 seem CA,施行約 2〇 至約90秒。吾人可依據材料的需求來使用上列設定與氣體之各種 組合與變化。熟知此項技藝者應瞭解:亦可使用感應式耦合電漿 源(例如,自LamResearch所販售之Versys™電漿處理室)來妒二 該BARC。 示 現參照圖1與2C,在操作130中,若有必要,將催化層2〇2 之裸露部分202A上之任何氧化物或其他殘留物移除。—種^除催 化層202之裸露部分202A上之任何氧化物或其他殘留物的方^包 含:將電漿產生之自由基施加至催化層202之裸露部分2〇2八/。= 如,可利用下列配方,施加在Lam23〇〇微波剝除室或類似者中 產生的自由基以將裸露部分202A上的氧化物或其他殘留物移 除··在1 Torr下700 sccm具有3·9%濃度氫氣的氦載氣、丨kw 打約5分鐘。可使用氨氣_3)或一氧化碳(c〇)來代替3 q〇乂备名士
鍍銅處理有更詳細之闡述。言 介於500 2GQG埃的元素銅 中,將非鹼性無電鍍銅處理施加至基板2〇〇。; 液面來將非鹼性無電鍍銅處理施加至基板2〇〇 操作135巾,將非祕無電鍍銅處理施 ί構208。下圖3中將會對該非鹼性益電 。該非鹼性無電鍍銅處理每分鐘可產生 •銅°可在一垂直或水平浸泡式的條件 或者,可經由動態彎 ),下列將會對動態彎 1352402 ^替代CF4。無支撐銅結構2〇8包含催化層之剩餘部分2〇2c。氣 係形成於無支撐銅結構2〇8之間。氣隙21〇可允許空氣介 使I在接續形成在無支撐銅結構施上之結構中。氣隙210 = ' =可介於小於或大於約1〇nm。無支撐銅結構2〇8可為任何期 度。例如,無支撐銅結構2〇8可介於小於約1〇nm及大於約 钿支撐銅結構208之寬度可為約3〇〇nm或更*。無支撐 銅結構208之最大寬度僅受限於基板之寬度。. 讀iff操作140中之光阻206A的移除可根據需求(例如,為 I將,構施之損傷降至最低的需求,或促進銅結構观間之 光阻完全移除的需求)來決定是;㈣壓神來施行。@此,可 增加包含了施加5GGW偏壓之簡短恤移除操作,以更進一步地 32〇8間的光阻2〇6A及其任何殘留物。若亦使用舒層來 保濩巧化層,則施加500w的偏壓亦會移除釕。 操作10^-145的每一者涉及了低於約3〇〇〇c之低溫,以實質 士限制溫度下可發生_遷移。亦在低溫下施行BARC移 除及預處_作,赚制_在㈣温度下之網狀化。 #圖本發明之—實施例在高速率非祕無電鍍銅製程 中丁万法知作135的流程圖。圖4A係根據本發明之一實施例之 ,電鑛處理設備働的簡化示意圖。無魏處理設備棚包含: 及第二源412。第一源41G包含大量的第-源材料 410A。第一源412包含大量的第二源材料412A。第一源41〇及 係連接至混合器416。混合器416係連接至無電鍍室402。 無電鍍處理設備亦可包含連接至無電鍵室術 440。沖洗溶液源440可提供大量的沖洗溶液梢a。m/合㈣ “無電鑛處理設備400亦可包含—控制器43〇。該控制器43〇 係連严無電鍍室及混合^很。該控㈣㈣根據 430中之配方432雜制在無電鍍處理設傷侧巾之操 , 混合、充注、沖洗等)。 /x 現參照圖3及4A,在操作3〇5 t,將基板2〇〇放置到無電鑛 13 1352402 操作所用之無電鍍室402中。
在操作310及315中,混合器416混合第一源材料41〇A及第 二源材料412A以形成無電鍍溶液416A。第一源材料41〇A相對 於銅離子(例如Co2+)為還原離子。第二源材料412A包含氧化之銅 源(例如Cu2+)、複合劑(例如乙烯二胺、二乙烯三胺)、pH調整劑(例 如HN〇3、HjO4、HC1等)及i素離子(例如Br-、cr#)。同在審 理中之美國專利申請案編號11/382,906、申請於2006年5月π日、 申請人為 Vaskelis 等人且案名為「Plating Solutionf〇rElectr〇less Deposition〇fCopper」之申請案,以及同在審理中之美國專利申請 案編號11/427,266、申請於2006年6月28曰、申請人為D〇rdi等 人且,名為「Plating Solutions for Electroless Deposition 〇f copper」 之申睛案中對銅無電鍍溶液之額外細節及例子有更詳盡之闡述, 特將上述申請案之所有目的之内容包含於此作為參考。本申靖案 亦於同在審理中之美國專利申請案編號11/398,254、申請於如〇6 月4日、申請人為JeffreyMarks且案名為「他如也如d Apparatus for Fabricating Conductive Features on Glass Substrates jmUquid Crystal Displays」之申請案相關,特將上述申請案之 所有目的之内容包含於此作為參考。 5作320中,無電錢溶液416A係自混合器416輸出而進入 搬中並在無電鍵室中施加至基板200上。混合器416 々I Γ至402需要時,將第—源材料410Α及第二源材料412Α 二口丨I/,、、電鑛溶液416八具有大於、約6.5之口則直,而在至少一實 =ί有ί範圍約7.2至約7.8内之ΡΗ值。無電鑛溶液偷、 銅’其實質上不具有任何因包含氫所引起的空隙。 電鑛溶ί 416f中中將中將基板移除。自無 2〇〇難…2將基板淨夕除可包含:自無電鐘室402將基板 二二自“、、電鐘室402將無電鍍溶液416Α移除。 325中呆^自ί二Iff ^中沖洗基板200。例如,在操作 ”、、電鍍至402中移除無電鍍溶液416Α,並將沖洗溶 1352402 液440A輸入至無電鍍室中以將基板2〇〇之任何殘留無電鍍溶 416A實質上沖洗掉。 ’ X/ 在操作335中,可乾燥基板200。例如,可自無電鍍室4〇2 移除基板200,並將基板放置到沖洗與乾燥用的第二室(例如,旋 轉、沖洗及乾燥室)。或者,無電鍵室402可包含沖洗及乾燥基板 2〇〇所需的機構。 汁土板 例如’無電鍍室402可包含能夠沖洗及乾燥基板2〇〇的近接 頭450。近接頭450亦可施加無電鍍溶液至基板。
圖4B係根據本發明之一實施例,顯示可利用近接頭wo來施 行之例示性基板處理的一實施例。雖然圖4B顯示基板受到處理之 上表面458a,但應注意:可以實質上相同的方法來達到處理基板 2〇〇之下表面458b的基板處理。雖然圖4B顯示了基板乾燥處理, 但亦可以類似的方式來將其他製造處理施加至基板表面。可使用 源入口 462以朝向基板2〇〇的上表面458a施加異丙醇(ipa)蒸氣, 可使用源入口 466以朝向基板200的上表面458a施加去離子'水 (DIW)或其他處理化學品。此外,可使用源出口 以施加真空吸 引至接近晶圓表面之區域,以移除可位於上表面458a上或靠近上 表面458a之液體或蒸氣。應注意··只要至少存在一組其中至少一 源入口 462與至少一源出口 464相鄰而該源出口 464又接著與至 少一源入口 466相鄰的組合,可使用任何合適之源入口及源出'口 。/伙可為任何適合的形式,例如,經由使用%載氣來輸入 U氣形式之Π>Α的IPA蒸氣。此外,雖然在此處使用DIW,但可 使用任何其他可適合於晶圓處理或增進晶圓處理的流體,例如, 以其他方式純化之水、清理流體及其他處理流體及化學品。在一 實施例中,經由源入口 462提供!pA蒸氣流人流、可經由源出 口 464來施加真空吸引472及經由源入口 466來提供DIW流入流 474。因此,若有一流體膜滯留在基板200上,可藉由IPA入口流 460施加一第一流體壓力至基板表面、可藉由diw流入流々μ施 加第一流體塵力至基板表面及藉由真空吸引472來施加第三流體 15 1352402 壓力來移除DIW、IPA蒸氣及基板表面上之流體膜。 因此,在一實施例中,當吾人朝向晶圓表面施加DIW流入流 474及IPA蒸氣流入流460時,晶圓表面上的任何流體會與DIW 流入流474混合。此時,朝向晶圓表面施加之mw流入流474會 遇到IPA蒸氣流入流460。IPA與DIW流入流474會形成介面 • 478(亦知為IPA/DIW介面478) ’並在真空吸引472的幫助下自基 板200將DIW流入流474與任何其他流體移除。]pA蒸氣/pIW介 面478減少了 DIW之表面張力。在操作中,朝向基板表面施加 DIW’並幾乎立即藉由源出口 464所施加之真空吸引將D〗w與基 ^ 板表面上的流體一起移除。朝向基板表面施加之DIW在近接頭與 ® 基板表面間之區域中稍作停留而與基板表面上的任何流體一起形 ^彎液面476,其中彎液面476的邊界為IpA/DIW介面478。因此, 4液面476為朝向基板表面施加之流體常流,並與基板表面上之 任何流體在實質上相同的時間下受到移除。近乎立即地自基板表 面上將DIW移除防止了液滴形成在基板表面受處理之區域上,藉 此減少了污染物乾燥在基板2〇〇上的可能性。〗pA向下注射的壓力 (由IPA蒸氣流量所產生)亦幫助控制彎液面476。 、IPA洛氣之N2載氣流量幫助水流自近接頭與基板表面間之區 域移動或對其作推擠以使其進入源出口 304,流體可經由源中 φ 304流體而自近接頭輸出。因此,當IpA蒸氣與DIW被吸引進入 源出口 464時,由於氣體(例如,空氣)與流體一起被吸入源出口 464—中,而使得構成IpA/DIW介面478之邊界為不連續邊界。在 一實施例中,當自源出口 464之真空吸引吸引DIW、IPA蒸氣及 . 基板表面上之流體時,進入源出口 464之流動為非連續。此流動 非連續性係類似於施加真空吸引力至流體與氣體之組合而 與氣體經由吸被上吸的情況。因此,當近接頭彻移動時,彎 液面476與近接頭一起移動,使得原先被彎液面佔據之區 處理並因IPA蒸氣/diw介面桃的移動而受到乾燥。應瞭解:依 據設備的配置及'f液面的期望尺寸與形狀,可使用任何適合的源 16 1352402 入口 462、源出口 464及源入口 466數目。在另一實施例中,可控 制液體流量及真空流量而俾使流入真空出口之總液體流量為連續 的’因此無氣體流入至真空出口中。 應注意:只要可維持彎液面476,可使用任何適合的ipA蒗 氣、DFW流量。在一實施例中,經過一組源入口 466之DIW流量 係介於約每分鐘25ml至約每分鐘3000ml。經過一組源入口 466 之DIW流量可為約每分鐘4〇〇ml。應瞭解:流體之流量可依據近 接頭之尺寸來變化。在一實施例中,較大之近接頭之流體流量可 大於較小近接頭之流體流量。此情況的發生係由於在一實施例中 較大的近接頭具有較多的源入口 462、466及源出口 464來針對較 大的近接頭來提供流量。 經由一阻源入口 462之IPA蒸氣流量可介於約每小時丨標準 立方英尺(SCFH)至約1〇〇 SCFH4PA流量可介於約5至50 SCFH。 經由一組源出口 464之真空吸引的流量係介於約每小時標準立 方英尺(SCFH)至約1250SCFH。在一較佳實施例中,經由二組源 出口 464之真空吸引的流量約為35〇 SCFH。在一例示性實施例 中,可使用一流量計來測量IPA蒸氣、DIW及真空吸引的流量。 圖5係根據本發明之一實施例之模組處理設備5〇〇的簡化示 意圖。该模組處理設備500包含:多重處理模組512_52〇、共用傳 送室510及輪入/輸出模組502。多重處理模組512_52〇可包含一 或多個低壓處理室及大氣壓處理室。該一或多個低壓處理室之操 作壓力範圍係小於大氣壓力之壓力至小於約1〇mT〇rr之直空。哼 低壓處理室可包含-個以上之低壓處理室,該低壓處理室包含一 電聚至1包含齡H之-無電鍍鋼室、—沈積室。該大氣壓處理 ,可包3 —或多個蝕刻/移除室。該模組處理室5〇〇亦包含一控制 器530,其可控制母一多重處理模組、共用傳送室及 輸入/輸出模组502中之操作。控制器53〇可包含一或多個配方 532,配方532包含在每一多重處理池512_52〇、共用傳送室训 及輸入/輸出模組502中之操作的各種參數。 17 1352402 處理模組似520之—或多者可支_刻操作、清理/沖 6 A呆作、電聚操作及非驗性無電鑛銅操作。例如,腔室518 電漿室、腔室520可為無電鍍銅室(例如無電鍍處理設備 腔室512可為蝕刻/移除室,而腔室514可為適合用以沈積 如刖述之阻障層或BARC層或催化層之沈積室。 龍,,,送室510可允許一或多片基板200傳送進及出每一處 i t ^520 ’卻使傳送室510之受控制環境(例如,低氧氣及 低水孔水準)付以維持。例如,可將傳送室训維持在期望的遲力 (例如’高於姐域壓、真空)、的溫度 如’氬氣、氮氣、嶋,並瞻氧繼小(例 於明^^18可為習知之電漿室或下游賴室。圖6係根據本 ;月之貝她例之例示性下游電漿室6〇〇的簡化示意圖。下游 ^ 6〇〇 J含處理室6〇2。處理室6〇2包含用以支樓在處理室_ 中受到處理之基板200的支撐件63〇。處理室6〇2亦包含 604 ’於電漿室604中產生電漿6〇4Α。氣體源6〇6係連接至 至604並提供用以產生電漿6〇4Α之氣體。賴產生自由笑 ㈣’而自由基620自電漿室經由導管612輸送至處理室6〇2。^ Ϊΐ:又Ϊ含分散裝置(例如喷淋頭)614’實質上將自由基⑽ 均勻地为散至整個基板200。下游電漿室6〇〇產生自由美 將基板200暴露至電漿6〇4a的相對高電位及溫度中。a /考^上,實施例,應瞭解:本發明可實施各種涉及儲存 腦糸統中之浦之電職施行的操作。此賴作麵 操控物理量的操作。通常但非必須,此類物理^ 之形式,能夠被存在、傳送、結合、比較及進行其他 虎 所施行的此類操控通常被稱為,例如產生、識別、判斷或 此處所述之形成本發明之—部分的任何操作為有用又 作。本發明亦關於-_以施行此些操作的裝置或設備。可j 所需的目的來特別打造該設備’或其可為儲存於電腦中 _ 式所選擇性活化或配置的普通用途電腦。尤其,可使用具有根^ 18 1352402 此處之教輯撰寫之電驗式的各種普通 地建造-特製的設備以施行所需簡作。^ w更便利 本發明亦可以電腦可讀媒體上之電腦 裝置,㈣叙後以=腦= 例如’電腦可讀媒體可包含 _、唯讀記憶體、隨機存取記憶體、cd^om罔^力^存 =勵、磁帶,及其減學及非絲雜儲魏置。 ,亦^散在連接至電腦系統的網路中, 來只某 存及執行電腦可讀碼。 77 需以: 操作所顯示之教導並不 、"s ^'並摘有細作所示之處理為施行本發
、八。此外,在上述任何圖中所述的處理,亦可以儲存在X =、ROM或硬碟驅動中之任何一者或其組合中的軟體來=于 ,;、、i上面僅就α楚瞭解本發明之目的來對本發明作某 種程度 ’但應瞭解:在隨附中請專利範圍的範田壽内,可對 而^制it㈣及修正。111此應將本判之實施慨為例示性
If ifl二#者’且本發明並不限於此處所述之細節,在隨附申情 乾圍之範疇及等效範嘴内可對本發明作修正。 顿甲明 【圖式簡單說明】 解 。藉由下列結合了關之詳細闡述,應對本發财全面性的瞭 行形在非驗性無電鍍銅處理中施 ΐ 示根據本發明之—實補在基板上形成鋼結構。 處理中施行操作之之在高速率 圖4A係根據本發明之一實施例之無電鍍處理設備的簡化 19 1352402 206A :光阻層期望部分 208 :銅結構 210 :氣隙 305 :操作 310 :操作 315 :操作 318 :操作 320 :操作 325 :操作 330 :操作 335 :操作 400 :無電鍍處理設備 402 :無電鍍室 410 :第一源 410A :第一源材料 412 :第二源 412A :第二源材料 416 :混合器 416A :無電鍍溶液 430 :控制器 432 :配方 440 :沖洗溶液源 440A :沖洗溶液 450 :近接頭 458a :基板受到處理之上表面 458b :基板200之下表面 460 : IPA蒸氣流入流 462 :源入口 464 :源出口 21 1352402 466 :源入口 472 :真空吸引 474 : DIW流入流 476 :彎液面 478 : IPA/DIW 介面 500 :模組處理設備 502 :輸入/輸出模組 510 :共用傳送室 512 :蝕刻/移除室 514 :沈積室 518 :電漿室 520 :無電鍍銅室 530 :控制器 532 :配方 600 :下游電漿室 602 :處理室 604 :電漿室 604A :電漿 606 :氣體源 612 :導管 614 :分散裝置 620 :自由基 630 :支撐件 22

Claims (1)

1352402 100年5月30曰修正替換頁 95132131(無劃線) 十、申請專利範圍: 上形成銅之方法,包含下列步薄: Hi入ϊ驟’將—銅源溶液輸入至-混合器; 值介 無电鍍步驟,將該無電鍍溶液施加至一基板, 八 n/其帽基板包含形成在該催化層圖 阻層’其中該_化之光阻層不具有—健層, 案 鍍溶液至該基板包含在該催化層上形成銅。s八 热電 每圍第1項之在基板上形成銅之方法,其中於 μ貝上施加該無電鍍溶液至基板的同時產生該無電鍍溶液。於 3—如申請專利範圍帛!項之在基板上形成銅之方法, 在5亥催化層上形成銅後,丟棄該無電鍍溶液。 , 同安几如^ 肖專利範圍第I項之在基板上形成銅之方法,其中診 .圖木化之光阻層暴露該催化層之一第—部分,且1 兮、二< 鍍溶液至該基板包含在該催化層之該第—部分上开^成^、、电 =如申請專利範圍第4項之在基板上形成銅之方法 自该基板移除該無電鍵溶液; s · 沖洗該基板;及 乾無該基板。 i 23 1 ί申請專利範圍f 5項之在基板上形成銅之方法,更包含. 私除该圖案化之光阻,其中移除該圖案化光阻暴露該^化層 1352402 替換頁 的一第二部分;及 移除該催化層的該第二部分 其中形 成在成銅之方法,形 成在^形成銅之方法, 無電鑛溶液係成n方法’其中該 液面係形成於—近接且其一態齊 綱源專利卿1項之在基板上形成銅之方法,其中該 —氧化之銅源(oxidizing copper source); 一複合劑; —PH調整劑;及 —鹵素離子。 鋼實1 質2上t申包 =範圍第1項之在基板上形成銅之方法,其中該 十一、圖式: 24 1352402 圖式
TW095132131A 2005-08-31 2006-08-31 Method for forming copper on substrate TWI352402B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US71349405P 2005-08-31 2005-08-31
US11/461,415 US20070048447A1 (en) 2005-08-31 2006-07-31 System and method for forming patterned copper lines through electroless copper plating

Publications (2)

Publication Number Publication Date
TW200721380A TW200721380A (en) 2007-06-01
TWI352402B true TWI352402B (en) 2011-11-11

Family

ID=37804525

Family Applications (2)

Application Number Title Priority Date Filing Date
TW095132131A TWI352402B (en) 2005-08-31 2006-08-31 Method for forming copper on substrate
TW099115332A TWI419258B (zh) 2005-08-31 2006-08-31 以無電鍍銅方式形成圖案化銅線的系統及方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW099115332A TWI419258B (zh) 2005-08-31 2006-08-31 以無電鍍銅方式形成圖案化銅線的系統及方法

Country Status (5)

Country Link
US (2) US20070048447A1 (zh)
JP (1) JP5043014B2 (zh)
KR (1) KR101385419B1 (zh)
TW (2) TWI352402B (zh)
WO (1) WO2007028156A2 (zh)

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7592259B2 (en) 2006-12-18 2009-09-22 Lam Research Corporation Methods and systems for barrier layer surface passivation
US7297190B1 (en) * 2006-06-28 2007-11-20 Lam Research Corporation Plating solutions for electroless deposition of copper
US7306662B2 (en) * 2006-05-11 2007-12-11 Lam Research Corporation Plating solution for electroless deposition of copper
US8298325B2 (en) * 2006-05-11 2012-10-30 Lam Research Corporation Electroless deposition from non-aqueous solutions
US7752996B2 (en) * 2006-05-11 2010-07-13 Lam Research Corporation Apparatus for applying a plating solution for electroless deposition
JP4755573B2 (ja) * 2006-11-30 2011-08-24 東京応化工業株式会社 処理装置および処理方法、ならびに表面処理治具
US8026605B2 (en) * 2006-12-14 2011-09-27 Lam Research Corporation Interconnect structure and method of manufacturing a damascene structure
US7749893B2 (en) * 2006-12-18 2010-07-06 Lam Research Corporation Methods and systems for low interfacial oxide contact between barrier and copper metallization
US7794530B2 (en) * 2006-12-22 2010-09-14 Lam Research Corporation Electroless deposition of cobalt alloys
US7521358B2 (en) * 2006-12-26 2009-04-21 Lam Research Corporation Process integration scheme to lower overall dielectric constant in BEoL interconnect structures
US8058164B2 (en) * 2007-06-04 2011-11-15 Lam Research Corporation Methods of fabricating electronic devices using direct copper plating
US8673769B2 (en) * 2007-06-20 2014-03-18 Lam Research Corporation Methods and apparatuses for three dimensional integrated circuits
US8877565B2 (en) * 2007-06-28 2014-11-04 Intel Corporation Method of forming a multilayer substrate core structure using sequential microvia laser drilling and substrate core structure formed according to the method
JP4971078B2 (ja) * 2007-08-30 2012-07-11 東京応化工業株式会社 表面処理装置
US20110052797A1 (en) * 2009-08-26 2011-03-03 International Business Machines Corporation Low Temperature Plasma-Free Method for the Nitridation of Copper
JP2011129568A (ja) * 2009-12-15 2011-06-30 Tdk Corp 電子部品の製造方法及び電子部品
JP2011134875A (ja) * 2009-12-24 2011-07-07 Tdk Corp 電子部品の製造方法
JP5492140B2 (ja) * 2011-04-28 2014-05-14 名古屋メッキ工業株式会社 高分子繊維材料のめっき方法及び高分子繊維材料の製造方法並びに被めっき用高分子繊維材料
EP2672520B1 (en) * 2012-06-06 2018-07-04 SEMIKRON Elektronik GmbH & Co. KG Method for electroless deposition of a copper layer, electroless deposited copper layer and semiconductor component comprising said electroless deposited copper layer
US9865501B2 (en) * 2013-03-06 2018-01-09 Lam Research Corporation Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer
US9469912B2 (en) * 2014-04-21 2016-10-18 Lam Research Corporation Pretreatment method for photoresist wafer processing
KR20170106300A (ko) * 2014-11-12 2017-09-20 온토스 이큅먼트 시스템즈 포토레지스트 표면 및 금속 표면을 준비하는 동시 친수화: 방법, 시스템, 및 생성물
US10535566B2 (en) 2016-04-28 2020-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US10443146B2 (en) 2017-03-30 2019-10-15 Lam Research Corporation Monitoring surface oxide on seed layers during electroplating
US10425704B2 (en) * 2017-10-24 2019-09-24 Landis+Gyr Innovations, Inc. Radio and advanced metering device
JP7063101B2 (ja) * 2018-05-11 2022-05-09 住友電気工業株式会社 プリント配線板及びプリント配線板の製造方法
US20220251709A1 (en) * 2019-06-17 2022-08-11 Tokyo Electron Limited Substrate processing method and substrate processing apparatus

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS56120943A (en) * 1980-02-29 1981-09-22 Hitachi Ltd Manufacture of ph-detecting electrode
US4565575A (en) * 1984-11-02 1986-01-21 Shiplay Company Inc. Apparatus and method for automatically maintaining an electroless plating bath
JPS61149479A (ja) * 1984-12-25 1986-07-08 Toshiba Corp 化学銅めつき廃液の処理方法
JP3089961B2 (ja) * 1994-12-27 2000-09-18 松下電工株式会社 セラミック基板の銅メタライズ法
US5741626A (en) * 1996-04-15 1998-04-21 Motorola, Inc. Method for forming a dielectric tantalum nitride layer as an anti-reflective coating (ARC)
US6424068B2 (en) * 1997-06-27 2002-07-23 Asahi Kogaku Kogyo Kabushiki Kaisha Galvano mirror unit
US6117784A (en) * 1997-11-12 2000-09-12 International Business Machines Corporation Process for integrated circuit wiring
US6204168B1 (en) * 1998-02-02 2001-03-20 Applied Materials, Inc. Damascene structure fabricated using a layer of silicon-based photoresist material
JPH11236679A (ja) * 1998-02-20 1999-08-31 Fuji Film Olin Kk 金属薄膜パターン形成装置
JPH11236678A (ja) * 1998-02-20 1999-08-31 Fuji Film Olin Kk 金属薄膜パターン形成装置
JP3032503B2 (ja) * 1998-07-10 2000-04-17 松下電器産業株式会社 半導体装置の製造方法
EP0991115A1 (en) * 1998-09-28 2000-04-05 STMicroelectronics S.r.l. Process for the definition of openings in a dielectric layer
JP2001011643A (ja) * 1999-06-25 2001-01-16 Inoac Corp 不導体のめっき方法
JP2001085397A (ja) * 1999-09-10 2001-03-30 Toshiba Corp パターン形成方法
US6559070B1 (en) * 2000-04-11 2003-05-06 Applied Materials, Inc. Mesoporous silica films with mobile ion gettering and accelerated processing
JP4895420B2 (ja) * 2000-08-10 2012-03-14 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US7253124B2 (en) * 2000-10-20 2007-08-07 Texas Instruments Incorporated Process for defect reduction in electrochemical plating
TW525221B (en) * 2000-12-04 2003-03-21 Ebara Corp Substrate processing method
JP3772973B2 (ja) * 2000-12-11 2006-05-10 株式会社荏原製作所 無電解めっき装置
JP2002237486A (ja) * 2001-02-08 2002-08-23 Tokyo Electron Ltd プラズマ処理装置およびプラズマ処理方法
KR100421036B1 (ko) * 2001-03-13 2004-03-03 삼성전자주식회사 웨이퍼 처리 장치 및 이를 이용한 웨이퍼 처리 방법
JP4595237B2 (ja) * 2001-04-27 2010-12-08 日立金属株式会社 銅めっき液および銅めっき方法
JP2002348673A (ja) * 2001-05-24 2002-12-04 Learonal Japan Inc ホルムアルデヒドを使用しない無電解銅めっき方法および該方法に使用される無電解銅めっき液
JP2002361787A (ja) 2001-06-04 2002-12-18 Kansai Paint Co Ltd 高意匠性金属サイディング構造
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US6875474B2 (en) * 2001-11-06 2005-04-05 Georgia Tech Research Corporation Electroless copper plating solutions and methods of use thereof
JP3847611B2 (ja) 2001-11-20 2006-11-22 日新製鋼株式会社 耐跡残り性,加工性に優れたクリア塗装ステンレス鋼板
US6645567B2 (en) * 2001-12-19 2003-11-11 Intel Corporation Electroless plating bath composition and method of using
JP2004115885A (ja) * 2002-09-27 2004-04-15 Tokyo Electron Ltd 無電解メッキ方法
US7293571B2 (en) * 2002-09-30 2007-11-13 Lam Research Corporation Substrate proximity processing housing and insert for generating a fluid meniscus
US7153400B2 (en) * 2002-09-30 2006-12-26 Lam Research Corporation Apparatus and method for depositing and planarizing thin films of semiconductor wafers
JP3864138B2 (ja) * 2002-12-19 2006-12-27 株式会社荏原製作所 基板の銅配線形成方法
US7256120B2 (en) * 2004-12-28 2007-08-14 Taiwan Semiconductor Manufacturing Co. Method to eliminate plating copper defect
US20060246699A1 (en) * 2005-03-18 2006-11-02 Weidman Timothy W Process for electroless copper deposition on a ruthenium seed
CN102157494B (zh) * 2005-07-22 2013-05-01 米辑电子股份有限公司 线路组件
US20070099422A1 (en) * 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
IL175011A (en) * 2006-04-20 2011-09-27 Amitech Ltd Coreless cavity substrates for chip packaging and their fabrication
US7682972B2 (en) * 2006-06-01 2010-03-23 Amitec-Advanced Multilayer Interconnect Technoloiges Ltd. Advanced multilayer coreless support structures and method for their fabrication
US9469912B2 (en) * 2014-04-21 2016-10-18 Lam Research Corporation Pretreatment method for photoresist wafer processing

Also Published As

Publication number Publication date
WO2007028156A2 (en) 2007-03-08
US20150034589A1 (en) 2015-02-05
JP2009507135A (ja) 2009-02-19
TW200721380A (en) 2007-06-01
US20070048447A1 (en) 2007-03-01
JP5043014B2 (ja) 2012-10-10
TWI419258B (zh) 2013-12-11
TW201041091A (en) 2010-11-16
KR101385419B1 (ko) 2014-04-25
KR20080041226A (ko) 2008-05-09
WO2007028156A3 (en) 2009-05-22

Similar Documents

Publication Publication Date Title
TWI352402B (en) Method for forming copper on substrate
US6497768B2 (en) Process for treating a workpiece with hydrofluoric acid and ozone
US6927176B2 (en) Cleaning method and solution for cleaning a wafer in a single wafer process
TWI409862B (zh) 在單晶圓製程中用於潔淨晶圓之潔淨方法及溶液
US6837252B2 (en) Apparatus for treating a workpiece with steam and ozone
JP4951625B2 (ja) シリコン表面の調製
JP3185753B2 (ja) 半導体装置の製造方法
JP2001237236A (ja) エッチング処理した基板表面の洗浄方法
US9263249B2 (en) Method and apparatus for manufacturing semiconductor device
JP2011192885A (ja) 半導体基板の洗浄方法
EP1481741A2 (en) Process and apparatus for treating a workpiece such as a semiconductor wafer
JP4367587B2 (ja) 洗浄方法
JP5139451B2 (ja) 低誘電率材料を修復するための装置および方法
TWI375987B (en) Verfahren zur reinigung, trocknung und hydrophilierung einer halbleiterscheibe
JP4933071B2 (ja) シリコンウエハの洗浄方法
JP3526284B2 (ja) 基板表面の処理方法
US20080169007A1 (en) Apparatus and method for processing a hydrophobic surface of a substrate
JP3595681B2 (ja) エピタキシャルウェーハの製造方法
JP2003522406A (ja) シリコン・ウエハの洗浄方法及び洗浄装置
JP2006351736A (ja) 半導体基板の洗浄方法
JP2004140126A (ja) 洗浄後の半導体基板の乾燥方法
JP2002231664A (ja) 基板上導電体の化学的機械研磨方法および洗浄方法