KR100421036B1 - 웨이퍼 처리 장치 및 이를 이용한 웨이퍼 처리 방법 - Google Patents

웨이퍼 처리 장치 및 이를 이용한 웨이퍼 처리 방법 Download PDF

Info

Publication number
KR100421036B1
KR100421036B1 KR10-2001-0012901A KR20010012901A KR100421036B1 KR 100421036 B1 KR100421036 B1 KR 100421036B1 KR 20010012901 A KR20010012901 A KR 20010012901A KR 100421036 B1 KR100421036 B1 KR 100421036B1
Authority
KR
South Korea
Prior art keywords
film
delete delete
chamber
wafer
oxygen
Prior art date
Application number
KR10-2001-0012901A
Other languages
English (en)
Other versions
KR20020072876A (ko
Inventor
이종명
김병희
이명범
윤주영
최길현
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR10-2001-0012901A priority Critical patent/KR100421036B1/ko
Priority to JP2002067629A priority patent/JP4754152B2/ja
Priority to US10/098,029 priority patent/US6586340B2/en
Publication of KR20020072876A publication Critical patent/KR20020072876A/ko
Priority to US10/449,522 priority patent/US6849555B2/en
Application granted granted Critical
Publication of KR100421036B1 publication Critical patent/KR100421036B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76882Reflowing or applying of pressure to better fill the contact hole
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • H01L21/76888By rendering at least a portion of the conductor non conductive, e.g. oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/974Substrate surface preparation

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

다층 배선 구조의 금속 배선을 형성하는 데 사용될 수 있는 일체형 인시튜 클러스터 툴 타입의 웨이퍼 처리 장치 및 이를 이용한 웨이퍼 처리 방법에 관하여 개시한다. 본 발명에 따른 웨이퍼 처리 장치는 진공 배기되고, 복수의 게이트 밸브를 가지는 트랜스퍼 챔버와, 상기 복수의 게이트 밸브중 하나를 통하여 각각 상기 트랜스퍼 챔버와 연통 가능한 복수의 진공 처리 챔버와, 진공 배기가 가능하고, 내부에 산소 함유 가스를 공급하기 위한 제1 가스 공급 라인이 연결되어 있는 로드락 챔버를 포함한다. 본 발명에 따른 웨이퍼 처리 방법에서는 상기 복수의 진공 처리 챔버중 하나의 진공 처리 챔버 내에서 웨이퍼상에 소정의 막을 형성한다. 상기 로드락 챔버 내에서 상기 웨이퍼상의 소정의 막을 산화시킨다.

Description

웨이퍼 처리 장치 및 이를 이용한 웨이퍼 처리 방법{Wafer processing apparatus and wafer processing method using the same}
본 발명은 반도체 집적회로를 제조하는 데 사용되는 웨이퍼 처리 장치 및 방법에 관한 것으로, 특히 다층 배선 구조의 금속 배선을 형성하는 데 사용될 수 있는 웨이퍼 처리 장치 및 방법에 관한 것이다.
반도체 소자가 고밀도화 및 고집적화됨에 따라 다층 배선 구조의 금속 배선을 가지는 회로 구성이 필수적으로 요구된다. 금속 배선은 전기적인 신호를 전송시키는 역할을 하므로 전기적인 저항이 낮아야 하며, 경제적이고 신뢰성이 높아야 한다. 이러한 조건들을 충족시킬 수 있는 적합한 금속 배선 물질로서 알루미늄이 널리 사용되고 있다. 이 경우, 하층의 소자와 상층의 알루미늄 배선과의 접속부인 콘택홀(contact hole), 또는 하층의 알루미늄 배선과 상층의 알루미늄 배선과의 접속부인 비아홀(via hole) 내부를 금속 물질로 완전히 매립하는 기술이 이들 사이의 전기적 접속을 가능하게 하기 위하여 매우 중요한 기술로 강조되고 있다. 콘택홀 또는 비아홀을 매립하기 위하여, 경제적이면서 도전성이 양호한 재료인 알루미늄을 사용하는 것이 바람직하다. 콘택홀 또는 비아홀을 알루미늄으로 매립하는 데 있어서, 보다 우수한 전기적 특성 및 보다 완벽한 매립 특성을 얻기 위하여, 다양한 공정 기술이 개발되고 있으며, 그에 따라 콘택홀 또는 비아홀을 매립하기 위한 공정으로서 CVD (chemical vapor deposition) 공정, PVD (physical vapor deposition) 공정, 열처리 공정, 산화 공정, 에칭 공정 등 여러가지 공정을 거쳐야 할 필요가 있다. 이와 같이 다양한 공정으로 이루어지는 콘택홀 또는 비아홀 매립 공정을 행하기 위하여 클러스터 툴(cluster tool) 타입의 다양한 웨이퍼 처리 장치가 개발되었다.
지금까지 개발된 일체형 클러스터 툴(integrated cluster tool) 타입의 웨이퍼 처리 장치는 웨이퍼상의 콘택홀 또는 비아홀 매립 공정을 행하기 위한 전(全) 공정에 필요한 모든 시설을 갖추고 있지 않다. 따라서, 종래 기술에 따른 웨이퍼 처리 장치를 사용하는 경우에는, 상기 콘택홀 또는 비아홀을 매립하기 위한 전 공정을 행하는 데 있어서 필연적으로 웨이퍼가 대기에 노출되는 단계가 수반된다. 콘택홀 또는 비아홀 매립 공정중에 웨이퍼가 대기에 노출되면, 대기중의 공기, 수증기, 파티클 등과 접촉되어 웨이퍼상의 노출면은 오염 가능성이 높아지게 된다. 그 결과, 반도체 소자의 성능 및 수율이 불량하게 된다. 또한, 콘택홀 또는 비아홀 형성 공정중에 웨이퍼가 웨이퍼 처리 장치에 구비되어 있지 않은 공정 설비 또는 공정 분위기로 이동하는 단계가 요구됨에 따라 웨이퍼의 이동 거리가 증가하고, 그에 따라 번거로운 공정들이 수반되어 쓰루풋(throughput)이 감소된다.
본 발명의 목적은 웨이퍼상에 금속 배선을 형성하기 위하여 콘택홀 또는 비아홀 매립 공정을 행할 때, 웨이퍼가 대기중에 노출되는 일 없이, 콘택홀 또는 비아홀 매립을 위한 전 공정을 완전히 인시튜(in-situ)로 행할 수 있는 일체형 인시튜 클러스터 툴 (integrated in-situ cluster tool) 타입의 웨이퍼 처리 장치를 제공하는 것이다.
본 발명의 다른 목적은 웨이퍼상에 금속 배선을 형성하기 위하여 콘택홀 또는 비아홀 매립 공정을 행할 때, 웨이퍼가 대기중에 노출되는 일 없이, 콘택홀 또는 비아홀 매립을 위한 전 공정을 완전히 인시튜로 행할 수 있는 웨이퍼 처리 방법을 제공하는 것이다.
도 1은 본 발명의 일 실시예에 따른 일체형 클러스터 툴 타입의 웨이퍼 처리 장치의 요부 구성을 개략적으로 도시한 도면이다.
도 2a 및 도 2b는 본 발명의 일 실시예에 따른 웨이퍼 처리 장치에 포함된 열처리 챔버의 구성을 보다 상세히 설명하기 위하여 도시한 도면이다.
도 3은 본 발명의 일 실시예에 따른 웨이퍼 처리 장치에 포함된 로드락 챔버의 구성을 보다 상세히 설명하기 위하여 도시한 도면이다.
도 4는 본 발명의 다른 실시예에 따른 일체형 클러스터 툴 타입의 웨이퍼 처리 장치의 요부 구성을 개략적으로 도시한 도면이다.
도 5는 본 발명의 또 다른 실시예에 따른 일체형 클러스터 툴 타입의 웨이퍼 처리 장치의 요부 구성을 개략적으로 도시한 도면이다.
도 6은 본 발명의 또 다른 실시예에 따른 웨이퍼 처리 장치에 포함된 산소 분위기 챔버의 구성을 보다 구체적으로 설명하기 위한 도면이다.
도 7은 본 발명의 일 실시예에 따른 웨이퍼 처리 방법을 설명하기 위한 플로차트이다.
도 8은 본 발명의 다른 실시예에 따른 웨이퍼 처리 방법을 설명하기 위한 플로차트이다.
<도면의 주요 부분에 대한 부호의 설명>
10: 트랜스퍼 챔버, 20: CVD 챔버, 30: PVD 챔버, 40: 열처리 챔버, 44: 페데스탈, 44a: 지지면, 46: 커버, 48: 배기 펌프, 49: 배기계, 50: 로드락 챔버, 53: 배기 펌프, 54: 배기계, 56: 제1 가스 공급 라인, 58: 제2 가스 공급 라인, 142: 제1 가열 장치, 144: 제2 가열 장치, 150: 웨이퍼 캐리어, 151, 153: 유량 조절기, 152, 154: 개폐 밸브, 156: 산소 함유 가스, 158: 불활성 가스, 70: 디가스 챔버, 80: 냉각 챔버, 90: 로더 챔버, 92: 제어부, 250: Ti/TiN막 전용 챔버, 260: 에칭 챔버, 353: 배기 펌프, 354: 배기계, 356: 제3 가스 공급 라인, 358: 제4 가스 공급 라인, 370: 산소 분위기 챔버, 451, 453: 유량 조절기, 452, 454: 개폐 밸브, 456: 산소 함유 가스, 458: 불활성 가스.
상기 목적을 달성하기 위하여, 본 발명의 제1 양태에 따른 웨이퍼 처리 장치는 진공 배기되고, 복수의 게이트 밸브를 가지는 트랜스퍼 챔버(transfer chamber)와, 상기 복수의 게이트 밸브중 하나를 통하여 각각 상기 트랜스퍼 챔버와 연통 가능한 복수의 진공 처리 챔버(vacuum processing chamber)와, 진공 배기가 가능하고, 내부에 산소 함유 가스를 공급하기 위한 제1 가스 공급 라인이 연결되어 있는 로드락 챔버(load lock chamber)를 포함한다.
상기 로드락 챔버에는 내부에 불활성 가스를 공급하기 위한 제2 가스 공급 라인이 더 연결될 수 있다.
상기 복수의 진공 처리 챔버는 CVD(chemical vapor deposition) 챔버, PVD(physical vapor deposition) 챔버 및 열처리 챔버를 포함할 수 있다.
상기 열처리 챔버는 상하 이동 가능하며, 웨이퍼를 지지하기 위한 지지면을 갖춘 페데스탈(pedestal)을 포함한다. 상기 페데스탈의 상부에는 커버가 설치되어 있다. 상기 페데스탈 및 커버는 상기 페데스탈의 상하 이동에 따라 상기 지지면을 포함하는 소정 공간을 폐쇄 또는 개방시킬 수 있도록 구성되어 있다. 상기 페데스탈 및 커버에는 상기 웨이퍼를 가열시키기 위한 가열 장치가 설치되어 있다.
상기 복수의 진공 처리 챔버는 Ti막, TiN막 또는 이들의 복합막을 형성하기 위한 Ti/TiN막 전용 챔버와, 에칭 챔버를 더 포함할 수 있다. 상기 에칭 챔버는 RF(radio frequency) 파워 소스를 이용하는 플라즈마 에칭 챔버 또는 ECR(electron cyclotron resonance) 에칭 챔버로 구성될 수 있다.
또한, 본 발명의 제1 양태에 따른 웨이퍼 처리 장치는 상기 복수의 게이트 밸브중 하나를 통하여 상기 트랜스퍼 챔버와 연통 가능한 산소 분위기 챔버를 더 포함할 수 있다. 상기 산소 분위기 챔버에는 상기 산소 분위기 챔버의 내부에 산소 함유 가스를 공급하기 위한 제3 가스 공급 라인과, 상기 산소 분위기 챔버의 내부에 불활성 가스를 공급하기 위한 제4 가스 공급 라인이 연결되어 있다.
또한, 본 발명의 제1 양태에 따른 웨이퍼 처리 장치는 상기 로드락 챔버로부터 반입된 웨이퍼의 예열 및 아웃가싱(outgassing)을 행하기 위하여 상기 로드락 챔버와 상기 트랜스퍼 챔버 사이에 설치되어 있는 디가스 챔버(degas chamber)와, 상기 트랜스퍼 챔버로부터 반입된 웨이퍼를 냉각시키기 위하여 상기 로드락 챔버와 상기 트랜스퍼 챔버 사이에 설치되어 있는 냉각 챔버(cool chamber)를 더 포함할 수 있다.
또한, 상기 목적을 달성하기 위하여, 본 발명의 제2 양태에 따른 웨이퍼 처리 장치는 진공 배기되고, 복수의 게이트 밸브를 가지는 트랜스퍼 챔버(transfer chamber)와, 상기 복수의 게이트 밸브중 하나를 통하여 각각 상기 트랜스퍼 챔버와 연통 가능한 복수의 진공 처리 챔버(vacuum processing chamber)와, 상기 복수의 게이트 밸브중 하나를 통하여 상기 트랜스퍼 챔버와 연통 가능하고, 내부에 산소 함유 가스를 공급하기 위한 제1 가스 공급 라인이 연결되어 있는 산소 분위기 챔버와, 진공 배기가 가능한 로드락 챔버(load lock chamber)를 포함한다.
상기 산소 분위기 챔버에는 내부에 불활성 가스를 공급하기 위한 제2 가스 공급 라인이 더 연결될 수 있다.
상기 다른 목적을 달성하기 위하여, 본 발명의 제1 양태에 따른 웨이퍼 처리 방법에서는 본 발명에 따라 제공되는 웨이퍼 처리 장치를 이용하여 웨이퍼를 처리한다. 이를 위하여, 먼저 상기 복수의 진공 처리 챔버중 하나의 진공 처리 챔버 내에서 웨이퍼상에 소정의 막을 형성한다. 상기 로드락 챔버 내에서 상기 웨이퍼상의 소정의 막을 산화시킨다.
상기 산화 단계는 산소(O2), 오존(O3) 또는 일산화이질소(dinitrogen monoxide: N2O)로 이루어지는 가스 분위기, 또는 산소 함유 가스와 불활성 가스와의 혼합 가스 분위기 하에서 행해진다. 상기 산화 단계는 상온 ∼ 200℃ 이하의 온도에서 행해질 수 있다.
또한, 본 발명의 일 양태에 따른 웨이퍼 처리 방법에 있어서, 상기 소정의 막을 형성하는 단계 전에, 상기 웨이퍼상의 임의의 위치에서 콘택홀 영역을 한정하도록 제1 막을 형성하는 단계를 더 포함할 수 있다. 이 때, 상기 소정의 막은 상기 콘택홀 영역을 덮지 않도록 상기 제1 막 위에 형성된다.
또한, 상기 다른 목적을 달성하기 위하여, 본 발명의 제2 양태에 따른 웨이퍼 처리 방법에서는 상기 복수의 진공 처리 챔버중 하나의 진공 처리 챔버 내에서 웨이퍼상에 소정의 막을 형성한다. 상기 산소 분위기 챔버 내에서 상기 웨이퍼상의 소정의 막을 산화시킨다.
본 발명에 의하면, 금속 배선 형성 공정 도중에 산화 공정을 위하여 일체형 인시튜 클러스터 툴 타입의 웨이퍼 처리 장치 내에서 웨이퍼를 꺼내서 대기중에 노출시키거나 다른 산화 장치로 이동시키기 위한 단계를 생략할 수 있으므로, 웨이퍼가 오염될 가능성이 적어질 뿐 만 아니라 쓰루풋을 향상시킬 수 있다.
다음에, 본 발명의 바람직한 실시예들에 대하여 첨부 도면을 참조하여 상세히 설명한다.
도 1은 본 발명의 일 실시예에 따른 일체형 인시튜 클러스터 툴(integratedin-situ cluster tool) 타입의 웨이퍼 처리 장치의 요부 구성을 개략적으로 도시한 도면이다.
도 1을 참조하면, 본 발명에 따른 웨이퍼 처리 장치는 진공 배기되고, 복수의 게이트 밸브(22, 32, 42, 52, 62)를 가지는 트랜스퍼 챔버(transfer chamber)(10)를 구비하고 있다. 상기 트랜스퍼 챔버(10) 내에는 웨이퍼를 지지하기 위한 블레이드(12)를 갖춘 웨이퍼 핸들링 로봇(14)이 설치되어 있다. 상기 트랜스퍼 챔버(10)의 주위에는 상기 복수의 게이트 밸브(22, 32, 42, 52, 62)중 하나의 게이트 밸브를 통하여 각각 상기 트랜스퍼 챔버(10)와 연통 가능한 복수의 진공 처리 챔버(vacuum processing chamber)(20, 30, 40)가 설치되어 있다. 도 1에는 상기 복수의 진공 처리 챔버로서 CVD(chemical vapor deposition)공정을 행하기 위한 CVD 챔버(40)와, PVD(physical vapor deposition) 공정을 행하기 위한 PVD 챔버(30)와, 열처리 챔버(40)를 구비하는 예를 도시하였다.
상기 CVD 챔버(20)는 Al막 또는 Al 합금막을 형성하는 데 사용될 수 있다. 예를 들면, 상기 CVD 챔버(20)에서는 알루미늄(Al)막 형성을 위한 선택적 MOCVD (selective metal organic CVD) 공정을 행할 수 있다. 상기 CVD 챔버(20) 내에서 Al막 증착 공정을 행하기 위하여 상기 CVD 챔버(20) 내로 Al을 함유하는 Al 소스(source) 및 다른 필요한 공정 가스들을 공급하기 위한 원료 공급부(도시 생략)를 포함하고 있다. 상기 Al 소스로서 예를 들면 DMAH(dimethylaluminum hydride), TMAA(trimethylamine alane), DMEAA(dimethylethylamine alane) 또는 MPA(methylpyrrolidine alane)과 같은 유기금속 화합물(organometallic compound)로 이루어지는 전구체가 사용된다. 상기 전구체를 상기 CVD 챔버(20)로 공급하기 위하여, 버블러(bubbler) 타입, 기상 유량 콘트롤러(vapor flow controller) 타입, 또는 액상 운송 시스템(liquid delivery system) 타입과 같은 원료 전달 장치를 사용할 수 있다. 희석용 가스로서 아르곤(Ar)과 같은 비휘발성 가스를 사용한다. 또한, 상기 전구체의 분해를 촉진하기 위하여 수소(H2) 가스와 같은 반응성 가스를 첨가할 수도 있다.
상기 PVD 챔버(30)는 직류 스퍼터링(DC sputtering), 직류 마그네트론 스퍼터링(DC magnetron sputtering), 교류 스퍼터링(AC sputtering) 또는 교류 마그네트론 스퍼터링(AC magnetron sputtering) 공정을 행할 수 있는 스퍼터링 챔버로 구성될 수 있다. 스퍼터링 공정을 행하기 위한 상기 PVD 챔버(40)에는 필요에 따라 콜리메이터(collimator)가 장착될 수도 있고, 장착되지 않을 수도 있다. 상기 PVD 챔버(30)는 배선용 Al막 또는 Al 합금막 형성을 위한 전용 챔버로 사용될 수 있다.
통상적으로, Al 배선 형성을 위하여 Al막 또는 Al 합금막의 증착이 완료된 후, 고온 열처리에 의해 콘택홀을 매립하고 배선을 평탄화시키기 위하여 Ar 분위기와 같은 불활성 분위기에서 상기 증착된 Al막 또는 Al 합금막을 350℃ 이상의 온도로 수 분 동안 열처리하여 리플로우(reflow)시키는 공정이 이용되고 있다. 이와 같은 리플로우 공정를 행하기 위하여 상기 열처리 챔버(40)를 이용한다. 배선용 Al막 또는 Al 합금막의 리플로우를 위한 열처리 공정은 상기 Al막 또는 Al 합금막의 표면 산화를 최대한 억제시킨 상태에서 진행되어야 한다. 따라서, 상기 열처리챔버(40)의 압력은 낮을수록 좋다. 바람직하게는, 상기 열처리 챔버(40)는10-6Torr 이하의 고진공 상태를 유지시킬 수 있도록 구성된다.
도 2a 및 도 2b는 상기 열처리 챔버(40)의 구성을 보다 상세히 설명하기 위하여 그 내부 구성을 개략적으로 도시한 도면들이다. 도 2a 및 도 2b를 참조하면, 상기 열처리 챔버(40)는 웨이퍼(W)를 지지하기 위한 지지면(44a)을 갖춘 페데스탈(pedestal)(44)을 구비하고 있다. 상기 페데스탈(44)은 승강 기구(140)에 의하여 상하 이동 가능하다. 도 2a는 상기 페데스탈(44)의 하강 위치를 도시한 것이고, 도 2b는 상기 페데스탈(44)의 상승 위치를 도시한 것이다. 또한, 상기 열처리 챔버(40)는 상기 페데스탈(44)의 상하 이동에 따라 상기 지지면(44a)을 포함하는 소정 공간을 폐쇄 또는 개방시킬 수 있도록 상기 페데스탈(44)의 상부에 설치된 커버(cover)(46)를 포함한다. 상기 페데스탈(44) 및 상기 커버(46)의 내부에는 각각 제1 가열 장치(142) 및 제2 가열 장치(144)가 설치되어 있다. 상기 제1 가열 장치(142) 및 제2 가열 장치(144)는 예를 들면 저항 코일로 이루어질 수 있다. 상기 열처리 챔버(40)는 배기 펌프(48)를 구비하는 배기계(49)에 의하여 진공 배기가 가능하다.
상기 열처리 챔버(40) 내로 웨이퍼(W)가 반입 또는 반출될 때에는 상기 페데스탈(44)은 그 하강 위치에 있게 되고, 상기 웨이퍼(W)를 열처리하는 동안은 상기 페테스탈(44)은 그 상승 위치에 있게 된다. 따라서, 상기 웨이퍼(W)의 열처리중에는 상기 웨이퍼(W)를 지지하는 지지면(44a)을 포함하는 소정의 공간이 상기 페데스탈(44)과 상기 커버(46)에 의하여 폐쇄되어 상기 웨이퍼(W) 주위의 온도를 균일하게 유지시킬 수 있다.
또한, 본 발명에 따른 웨이퍼 처리 장치는 로드락 챔버(load lock chamber)(50)를 포함하고 있다. 본 발명의 일 실시예에 있어서, 상기 로드락 챔버(50)는 웨이퍼 처리 장치의 내부 및 외부 사이에서 웨이퍼를 이동시키기 위한 공간으로 사용될 뿐 만 아니라, 웨이퍼를 산화 처리하는 용도로도 사용된다.
도 3은 상기 로드락 챔버(50)의 구성을 보다 구체적으로 설명하기 위하여 도시한 도면이다. 도 3에 도시한 바와 같이, 상기 로드락 챔버(50)는 배기 펌프(53)를 구비하는 배기계(54)에 의하여 진공 배기가 가능하다. 또한, 상기 로드락 챔버(50)에는 그 내부에 산소 함유 가스(156)를 공급하기 위한 제1 가스 공급 라인(56)과, 불활성 가스(158)를 공급하기 위한 제2 가스 공급 라인(58)이 연결되어 있다. 상기 제1 가스 공급 라인(56)을 통하여 공급되는 산소 함유 가스(156)로서 예를 들면 산소(O2), 오존(O3) 또는 일산화이질소(dinitrogen monoxide: N2O)를 사용할 수 있다. 상기 제1 가스 공급 라인(56) 및 제2 가스 공급 라인(58)을 통하여 공급되는 가스 유량은 각각 유량 조절기(151, 153) 및 개폐 밸브(152, 154)에 의하여 조절될 수 있다. 상기 로드락 챔버(50)에서는 웨이퍼가 웨이퍼 캐리어(150)에 수용된 상태로 반입 또는 반출될 수 있다. 또한, 상기 로드락 챔버(50)를 이용하여 웨이퍼의 산화 처리를 행할 때에는, 상기 배기계(54)에 의하여 진공 상태로 유지되는 상기 로드락 챔버(50) 내에서 상기 제1 가스 공급 라인(56)으로부터 공급되는 산소 함유 가스를 사용하여 산화 처리를 행한다. 이 때, 산화 처리될 웨이퍼가 상기 웨이퍼 캐리어(150)에 수용된 상태에서 뱃치(batch)식으로 행하는 것이 가능하다. 상기 웨이퍼의 산화 정도는 상기 제1 가스 공급 라인(56)으로부터 공급되는 산소 함유 가스의 공급량 즉 산소의 분압과, 공급 시간으로 조절할 수 있다.
상기 트랜스퍼 챔버(10)와 상기 로드락 챔버(50) 사이에는 상기 로드락 챔버(50)로부터 반입되는 웨이퍼를 상기 트랜스퍼 챔버(10)로 이동시키기 전에 상기 웨이퍼의 예열 및 아웃가싱(outgassing)을 행하기 위한 디가스 챔버(70)가 설치되어 있다. 또한, 예를 들면 상기 열처리 챔버(40)에서 열처리 공정을 거친 웨이퍼가 상기 트랜스퍼 챔버(10)로부터 상기 로드락 챔버(50)로 이동되기 전에 고온 상태로 있는 상기 웨이퍼를 냉각시키기 위하여, 상기 트랜스퍼 챔버(10)와 상기 로드락 챔버(50) 사이에는 냉각 챔버(80)가 설치되어 있다. 상기 디가스 챔버(70) 및 냉각 챔버(80)와 상기 로드락 챔버(50) 사이에는 이들 사이에서 버퍼 챔버의 역할을 하는 로더 챔버(loader chamber)(90)가 설치되어 있다. 상기와 같은 구성을 가지는 웨이퍼 처리 장치의 모든 제어는 제어부(92)에 의하여 이루어진다.
도 1에서와 같이, 상기 CVD 챔버(20), PVD 챔버(30) 및 열처리 챔버(40)로 구성되는 3개의 진공 처리 챔버를 포함하는 웨이퍼 처리 장치는 콘택홀 또는 비아홀 매립 공정을 포함하는 다양한 배선 형성 공정들에서 유용하게 사용될 수 있다. 또한, CVD 방법을 이용하여 웨이퍼상에 Al막을 전면적으로 형성하는 블랭킷(blanket) Al 증착 공정을 행하는 데에도 유용하게 사용될 수 있음은 물론이다.
도 4는 본 발명의 다른 실시예에 따른 일체형 클러스터 툴 타입의 웨이퍼 처리 장치의 요부 구성을 개략적으로 도시한 도면이다. 도 4에 있어서, 도 1의 구성 요소와 동일한 구성을 가지는 개소는 도 1에서의 구성 요소와 동일한 참조 부호로표시하였으며, 이에 대한 상세한 설명은 생략한다.
도 4의 웨이퍼 처리 장치는 진공 처리 챔버로서 도 1을 참조하여 설명한 바와 같은 CVD 챔버(20), PVD 챔버(30) 및 열처리 챔버(40) 외에, Ti막, TiN막 또는 이들의 복합막을 형성하기 위한 Ti/TiN막 전용 챔버(250)와, 에칭 챔버(260)를 더 포함한다. 상기 Ti/TiN막 전용 챔버(250) 및 에칭 챔버(260)는 각각 게이트 밸브(252, 262)를 통하여 트랜스퍼 챔버(10)와 연통 가능하다. 상기 Ti/TiN막 전용 챔버(250)는 CVD 챔버 또는 PVD 챔버로 이루어질 수 있다. 또한, 상기 에칭 챔버(260)는 RF(radio frequency) 파워 소스를 이용하는 플라즈마 에칭 챔버, 또는 ECR(electron cyclotron resonance) 에칭 챔버로 구성될 수 있다. 상기 에칭 챔버(260)는 예를 들면 콘택홀 또는 비아홀 내에 형성된 표면 산화막을 제거하는 데 사용될 수 있다.
도 5는 본 발명의 또 다른 실시예에 따른 일체형 클러스터 툴 타입의 웨이퍼 처리 장치의 요부 구성을 개략적으로 도시한 도면이다. 도 5에 있어서, 도 1 및 도 4에서의 구성 요소와 동일한 구성을 가지는 개소는 도 1 및 도 4에서의 구성 요소와 동일한 참조 부호로 표시하였으며, 이에 대한 상세한 설명은 생략한다.
도 5의 웨이퍼 처리 장치는 CVD 챔버(20), PVD 챔버(30), 열처리 챔버(40), Ti/TiN막 전용 챔버(250) 및 에칭 챔버(260)로 구성되는 진공 처리 챔버 외에, 산소 분위기 챔버(370)를 더 포함한다. 상기 산소 분위기 챔버(370)는 게이트 밸브(372)를 통하여 트랜스퍼 챔버(10)와 연통 가능하다.
도 6은 상기 산소 분위기 챔버(370)의 구성을 보다 구체적으로 설명하기 위하여 도시한 도면이다. 도 6에 도시한 바와 같이, 상기 산소 분위기 챔버(370)는 배기 펌프(353)를 구비하는 배기계(354)에 의하여 진공 배기가 가능하다. 또한, 상기 산소 분위기 챔버(370)에는 그 내부에 산소 함유 가스(456)를 공급하기 위한 제3 가스 공급 라인(356)과, 불활성 가스(458)를 공급하기 위한 제4 가스 공급 라인(358)이 연결되어 있다. 상기 제3 가스 공급 라인(356)을 통하여 공급되는 산소 함유 가스(456)로서 예를 들면 산소(O2), 오존(O3) 또는 일산화이질소(dinitrogen monoxide: N2O)를 사용할 수 있다. 상기 제3 가스 공급 라인(356) 및 제4 가스 공급 라인(358)을 통하여 공급되는 가스 유량은 각각 유량 조절기(451, 453) 및 개폐 밸브(452, 454)에 의하여 조절될 수 있다. 상기 배기계(354)에 의해 진공 상태가 유지되는 상태에서, 상기 산소 분위기 챔버(370) 내에서 상기 제3 가스 공급 라인(356)으로부터 공급되는 산소 함유 가스를 사용하여 웨이퍼의 산화 공정을 행할 수 있다. 웨이퍼의 산화 정도는 상기 제3 가스 공급 라인(356)으로부터 공급되는 산소 함유 가스의 공급량 즉 산소의 분압과, 공급 시간으로 조절할 수 있다.
도 7은 본 발명의 일 실시예에 따른 웨이퍼 처리 방법을 설명하기 위한 플로차트이다.
도 7을 참조하면, 먼저 웨이퍼상의 임의의 위치에서 콘택홀 영역 또는 비아홀 영역 (이하, 단지 "콘택홀 영역"이라 기재함)을 한정하도록 제1 막을 형성한다 (단계 510). 상기 제1 막은 층간절연막으로 이루어질 수 있다. 또는, 상기 제1 막은 TiN막으로 이루어지는 단일층, 또는 TiN막을 포함하는 복합층으로 이루어질 수도 있다. 상기 제1 막을 TiN막으로 이루어지는 단일층, 또는 TiN막을 포함하는 복합층으로 형성하는 경우에는, 도 4를 참조하여 설명한 바와 같은 웨이퍼 처리 장치를 사용하여 상기 Ti/TiN막 전용 챔버(250) 내에서 상기 제1 막을 형성할 수 있다.
그 후, 도 1을 참조하여 설명한 바와 같은 웨이퍼 처리 장치에 포함된 진공 처리 챔버중 상기 CVD 챔버(20) 또는 PVD 챔버(30)를 사용하여, 상기 제1 막 위에 소정의 막, 예를 들면 Al막 또는 Ti막을 형성한다 (단계 520). 이어서, 도 1 및 도 3을 참조하여 설명한 바와 같은 상기 로드락 챔버(50) 내에서 상기 소정의 막을 산화시킨다 (단계 530). 상기 소정의 막을 산화시키기 위하여, 상기 로드락 챔버(50) 내에 산소(O2), 오존(O3) 또는 일산화이질소(dinitrogen monoxide: N2O)로 이루어지는 산소 함유 가스, 또는 산소 함유 가스와 불활성 가스와의 혼합 가스를 공급하여, 상기 로드락 챔버(50) 내부를 산소 함유 분위기로 유지시킨다. 상기 산화 단계는 상온 ∼ 200℃ 이하의 온도에서 행해질 수 있다. 그 후, 필요에 따라 상기 CVD 챔버(20) 또는 PVD 챔버(30)를 이용한 Al막 형성 단계와, 상기 열처리 챔버(40)를 이용한 리플로우 단계를 거쳐서 금속 배선을 형성할 수 있다.
도 8은 본 발명의 다른 실시예에 따른 웨이퍼 처리 방법을 설명하기 위한 플로차트이다.
도 8을 참조하면, 먼저 웨이퍼상의 임의의 위치에서 콘택홀 영역을 한정하도록 제1 막을 형성한다 (단계 610). 도 7을 참조하여 설명한 바와 마찬가지로, 상기 제1 막은 층간절연막, TiN막으로 이루어지는 단일층, 또는 TiN막을 포함하는 복합층으로 이루어질 수 있다.
그 후, 도 5를 참조하여 설명한 바와 같은 웨이퍼 처리 장치에 포함된 진공 처리 챔버중 상기 CVD 챔버(20) 또는 PVD 챔버(30)를 사용하여, 상기 제1 막 위에 소정의 막, 예를 들면 Al막 또는 Ti막을 형성한다 (단계 620). 이어서, 도 5 및 도 6을 참조하여 설명한 바와 같은 산소 분위기 챔버(370) 내에서 상기 소정의 막을 산화시킨다 (단계 630). 상기 소정의 막을 산화시키기 위하여, 상기 산소 분위기 챔버(370) 내에 산소 함유 가스, 또는 산소 함유 가스와 불활성 가스와의 혼합 가스를 공급하여, 상기 산소 분위기 챔버(50) 내부를 산소 함유 분위기로 유지시킨다. 상기 산화 단계는 상온 ∼ 200℃ 이하의 온도에서 행해질 수 있다. 그 후, 필요에 따라 상기 CVD 챔버(20) 또는 PVD 챔버(30)를 이용한 Al막 형성 단계와, 상기 열처리 챔버(40)를 이용한 리플로우 단계를 거쳐서 금속 배선을 형성한다.
상기한 바와 같이, 금속 배선 형성 공정중에 필요한 산화 공정을 로드락 챔버 또는 산소 분위기 챔버를 이용하여 행하면, 금속 배선 형성 공정 도중에 산화 공정을 위하여 일체형 클러스터 툴 타입의 웨이퍼 처리 장치 내에서 웨이퍼를 꺼내서 대기중에 노출시키거나 다른 산화 장치로 이동시키기 위한 단계를 생략할 수 있으므로, 웨이퍼가 오염될 가능성이 적어질 뿐 만 아니라 쓰루풋을 향상시킬 수 있다.
본 발명에서는 반도체 소자 제조를 위한 금속 배선 형성에 필요한 전 공정을 일체형 인시튜 클러스터 툴 타입의 웨이퍼 처리 장치 내에서 행할 수 있다. 특히, 본 발명에 따른 웨이퍼 처리 장치는 산화 공정을 행할 수 있도록 산소 함유 분위기로 유지시킬 수 있는 로드락 챔버 또는 산소 분위기 챔버를 구비하고 있다. 따라서, 금속 배선 형성 공정 도중에 산화 공정을 위하여 일체형 인시튜 클러스터 툴 타입의 웨이퍼 처리 장치 내에서 웨이퍼를 꺼내서 대기중에 노출시키거나 다른 산화 장치로 이동시키기 위한 단계를 생략할 수 있으므로, 웨이퍼가 오염될 가능성이 적어질 뿐 만 아니라 쓰루풋을 향상시킬 수 있다.
이상, 본 발명을 바람직한 실시예를 들어 상세하게 설명하였으나, 본 발명은 상기 실시예에 한정되지 않고, 본 발명의 기술적 사상의 범위 내에서 당 분야에서 통상의 지식을 가진 자에 의하여 여러가지 변형이 가능하다.

Claims (47)

  1. 삭제
  2. 삭제
  3. 삭제
  4. 삭제
  5. 삭제
  6. 삭제
  7. 삭제
  8. 삭제
  9. 삭제
  10. 삭제
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 삭제
  27. 삭제
  28. 삭제
  29. 삭제
  30. 삭제
  31. 삭제
  32. 진공 배기되고, 복수의 게이트 밸브를 가지는 트랜스퍼 챔버(transfer chamber)와, 상기 복수의 게이트 밸브중 하나를 통하여 각각 상기 트랜스퍼 챔버와 연통 가능한 복수의 진공 처리 챔버(vacuum processing chamber)와, 진공 배기가 가능하고, 내부에 산소 함유 가스를 공급하기 위한 제1 가스 공급 라인이 연결되어 있는 로드락 챔버(load lock chamber)를 포함하는 웨이퍼 처리 장치를 이용하여 웨이퍼를 처리하는 방법에 있어서,
    상기 복수의 진공 처리 챔버 중 하나의 진공 처리 챔버 내에서 웨이퍼상에 소정의 막을 형성하는 단계와,
    상기 로드락 챔버 내에서 상기 웨이퍼상의 소정의 막을 산화시키는 단계를 포함하는 것을 특징으로 하는 웨이퍼 처리 방법.
  33. 제32항에 있어서, 상기 산화 단계는 산소(O2), 오존(O3) 또는 일산화이질소(dinitrogen monoxide: N2O)로 이루어지는 가스 분위기 하에서 행해지는 것을 특징으로 하는 웨이퍼 처리 방법.
  34. 제32항에 있어서, 상기 산화 단계는 산소(O2), 오존(O3) 또는 일산화이질소(dinitrogen monoxide: N2O)로 이루어지는 산소 함유 가스와 불활성 가스와의 혼합 가스 분위기 하에서 행해지는 것을 특징으로 하는 웨이퍼 처리 방법.
  35. 제32항에 있어서, 상기 산화 단계는 상온 ∼ 200℃의 온도에서 행해지는 것을 특징으로 하는 웨이퍼 처리 방법.
  36. 제32항에 있어서, 상기 소정의 막은 알루미늄(Al)막 또는 타이타늄(Ti)막인 것을 특징으로 하는 웨이퍼 처리 방법.
  37. 제32항에 있어서, 상기 소정의 막을 형성하는 단계 전에
    상기 웨이퍼상의 임의의 위치에서 콘택홀 영역을 한정하도록 제1 막을 형성하는 단계를 더 포함하고,
    상기 소정의 막은 상기 콘택홀 영역을 덮지 않도록 상기 제1 막 위에 형성되는 것을 특징으로 하는 웨이퍼 처리 방법.
  38. 제37항에 있어서, 상기 제1 막은 층간절연막인것을 특징으로 하는 웨이퍼 처리 방법.
  39. 제37항에 있어서, 상기 제1 막은 TiN막으로 이루어지는 단일층, 또는 TiN막을 포함하는 복합층으로 이루어지는 것을 특징으로 하는 웨이퍼 처리 방법.
  40. 진공 배기되고, 복수의 게이트 밸브를 가지는 트랜스퍼 챔버(transfer chamber)와, 상기 복수의 게이트 밸브중 하나를 통하여 각각 상기 트랜스퍼 챔버와 연통 가능한 복수의 진공 처리 챔버(vacuum processing chamber)와, 상기 복수의 게이트 밸브중 하나를 통하여 상기 트랜스퍼 챔버와 연통 가능하고, 웨이퍼상의 소정의 막을 산화시키기 위하여 내부에 산소 함유 가스를 공급하기 위한 제1 가스 공급 라인이 연결되어 있는 산소 분위기 챔버와, 진공 배기가 가능한 로드락 챔버(load lock chamber)를 포함하는 웨이퍼 처리 장치를 이용하여 웨이퍼를 처리하는 방법에 있어서,
    상기 복수의 진공 처리 챔버 중 하나의 진공 처리 챔버에서 웨이퍼상에 소정의 막을 형성하는 단계와,
    상기 산소 분위기 챔버 내에서 상기 웨이퍼상의 소정의 막을 산화시키는 단계를 포함하는 것을 특징으로 하는 웨이퍼 처리 방법.
  41. 제40항에 있어서, 상기 산화 단계는 산소(O2), 오존(O3) 또는 일산화이질소(dinitrogen monoxide: N2O)로 이루어지는 가스 분위기 하에서 행해지는 것을 특징으로 하는 웨이퍼 처리 방법.
  42. 제40항에 있어서, 상기 산화 단계는 산소(O2), 오존(O3) 또는일산화이질소(dinitrogen monoxide: N2O)로 이루어지는 산소 함유 가스와 불활성 가스와의 혼합 가스 분위기 하에서 행해지는 것을 특징으로 하는 웨이퍼 처리 방법.
  43. 제40항에 있어서, 상기 산화 단계는 상온 ∼ 200℃의 온도에서 행해지는 것을 특징으로 하는 웨이퍼 처리 방법.
  44. 제40항에 있어서, 상기 소정의 막은 알루미늄(Al)막 또는 타이타늄(Ti)막인 것을 특징으로 하는 웨이퍼 처리 방법.
  45. 제40항에 있어서, 상기 소정의 막을 형성하는 단계 전에
    상기 웨이퍼상의 임의의 위치에서 콘택홀 영역을 한정하도록 제1 막을 형성하는 단계를 더 포함하고,
    상기 소정의 막은 상기 콘택홀 영역을 덮지 않도록 상기 제1 막 위에 형성되는 것을 특징으로 하는 웨이퍼 처리 방법.
  46. 제45항에 있어서, 상기 제1 막은 층간절연막인것을 특징으로 하는 웨이퍼 처리 방법.
  47. 제45항에 있어서, 상기 제1 막은 TiN막으로 이루어지는 단일층, 또는 TiN막을 포함하는 복합층으로 이루어지는 것을 특징으로 하는 웨이퍼 처리 방법.
KR10-2001-0012901A 2001-03-13 2001-03-13 웨이퍼 처리 장치 및 이를 이용한 웨이퍼 처리 방법 KR100421036B1 (ko)

Priority Applications (4)

Application Number Priority Date Filing Date Title
KR10-2001-0012901A KR100421036B1 (ko) 2001-03-13 2001-03-13 웨이퍼 처리 장치 및 이를 이용한 웨이퍼 처리 방법
JP2002067629A JP4754152B2 (ja) 2001-03-13 2002-03-12 ウェーハ処理装置
US10/098,029 US6586340B2 (en) 2001-03-13 2002-03-13 Wafer processing apparatus and wafer processing method using the same
US10/449,522 US6849555B2 (en) 2001-03-13 2003-05-30 Wafer processing apparatus and wafer processing method using the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR10-2001-0012901A KR100421036B1 (ko) 2001-03-13 2001-03-13 웨이퍼 처리 장치 및 이를 이용한 웨이퍼 처리 방법

Publications (2)

Publication Number Publication Date
KR20020072876A KR20020072876A (ko) 2002-09-19
KR100421036B1 true KR100421036B1 (ko) 2004-03-03

Family

ID=19706854

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2001-0012901A KR100421036B1 (ko) 2001-03-13 2001-03-13 웨이퍼 처리 장치 및 이를 이용한 웨이퍼 처리 방법

Country Status (3)

Country Link
US (2) US6586340B2 (ko)
JP (1) JP4754152B2 (ko)
KR (1) KR100421036B1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101197817B1 (ko) * 2008-02-19 2012-11-05 엘피다 메모리 가부시키가이샤 Sr-Ti-O계 막의 성막 방법 및 기억 매체

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3727277B2 (ja) * 2002-02-26 2005-12-14 Necエレクトロニクス株式会社 半導体装置の製造方法
KR20030090869A (ko) * 2002-05-22 2003-12-01 동부전자 주식회사 금속배선 증착 공정시 반사방지막 안정화를 위한 열처리방법
KR100480632B1 (ko) * 2002-11-16 2005-03-31 삼성전자주식회사 반도체 소자의 금속 배선 형성 방법
KR100475122B1 (ko) * 2002-12-20 2005-03-10 삼성전자주식회사 실리콘 접촉저항을 개선할 수 있는 반도체 소자 형성방법
US20070048447A1 (en) * 2005-08-31 2007-03-01 Alan Lee System and method for forming patterned copper lines through electroless copper plating
KR100560666B1 (ko) * 2003-07-07 2006-03-16 삼성전자주식회사 반도체 소자 제조용 금속막 증착 시스템 및 그 운용 방법
US20050036855A1 (en) * 2003-08-13 2005-02-17 Texas Instruments Incorporated Robot blade for handling of semiconductor waffers
JP4586544B2 (ja) * 2004-02-17 2010-11-24 東京エレクトロン株式会社 被処理体の酸化方法、酸化装置及び記憶媒体
JP2005310990A (ja) * 2004-04-20 2005-11-04 Renesas Technology Corp 半導体装置の製造方法および半導体製造装置
US7368368B2 (en) * 2004-08-18 2008-05-06 Cree, Inc. Multi-chamber MOCVD growth apparatus for high performance/high throughput
US7344983B2 (en) * 2005-03-18 2008-03-18 International Business Machines Corporation Clustered surface preparation for silicide and metal contacts
US7531426B2 (en) * 2005-08-19 2009-05-12 Honeywell International Inc. Approach to high temperature wafer processing
JP2007242648A (ja) * 2006-03-04 2007-09-20 Masato Toshima 基板の処理装置
US7485572B2 (en) * 2006-09-25 2009-02-03 International Business Machines Corporation Method for improved formation of cobalt silicide contacts in semiconductor devices
EP2071053B1 (en) * 2006-09-29 2019-02-27 Toyoda Gosei Co., Ltd. Filming method for iii-group nitride semiconductor laminated structure
US7622386B2 (en) * 2006-12-06 2009-11-24 International Business Machines Corporation Method for improved formation of nickel silicide contacts in semiconductor devices
KR100859380B1 (ko) * 2006-12-13 2008-09-22 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성 방법
JP5721132B2 (ja) 2009-12-10 2015-05-20 オルボテック エルティ ソラー,エルエルシー 真空処理装置用シャワーヘッド・アセンブリ及び真空処理装置用シャワーヘッド・アセンブリを真空処理チャンバに締結する方法
US8459276B2 (en) 2011-05-24 2013-06-11 Orbotech LT Solar, LLC. Broken wafer recovery system
KR101629869B1 (ko) 2011-09-16 2016-06-13 엠파이어 테크놀로지 디벨롭먼트 엘엘씨 그래핀 결함의 변경
KR102446864B1 (ko) * 2018-03-19 2022-09-23 삼성전자주식회사 반도체 소자의 제조 방법

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6074486A (en) * 1997-04-22 2000-06-13 Samsung Electronics Co., Ltd. Apparatus and method for manufacturing a semiconductor device having hemispherical grains
KR100267583B1 (ko) * 1991-02-06 2000-11-01 이데이 노부유끼 멀티챔버 프로세스장치
KR100270459B1 (ko) * 1996-05-21 2000-12-01 니시히라 쥰지 멀티챔버 스퍼터링 장치
EP1067585A2 (en) * 1999-07-09 2001-01-10 Applied Materials, Inc. Method and a system for sealing an epitaxial silicon layer on a substrate
US6235656B1 (en) * 2000-07-03 2001-05-22 Andrew Peter Clarke Dual degas/cool loadlock cluster tool

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
JPH07101666B2 (ja) * 1988-02-17 1995-11-01 東京エレクトロン九州株式会社 熱処理方法およひ熱処理装置
JP2965163B2 (ja) * 1989-10-13 1999-10-18 東京エレクトロン株式会社 加熱装置
JPH0897288A (ja) * 1994-09-22 1996-04-12 Sony Corp リフロー方法及びリフロー装置
JP3644036B2 (ja) * 1995-02-15 2005-04-27 株式会社日立製作所 半導体装置の製造方法および半導体製造装置
KR100413890B1 (ko) 1995-03-02 2004-03-19 동경 엘렉트론 주식회사 반도체장치의제조방법및제조장치
US5759360A (en) * 1995-03-13 1998-06-02 Applied Materials, Inc. Wafer clean sputtering process
JPH09153484A (ja) 1995-11-29 1997-06-10 Sony Corp 薄膜製造方法および装置
JP3523405B2 (ja) * 1996-01-26 2004-04-26 株式会社日立製作所 荷電ビーム処理によるパターン形成方法及び荷電ビーム処理装置
JPH1032251A (ja) * 1996-03-05 1998-02-03 Tokyo Electron Ltd 多層配線半導体装置の配線形成方法
TW322601B (en) 1997-06-23 1997-12-11 United Microelectronics Corp Manufacturing method of self-aligned salicide device
JP3381767B2 (ja) * 1997-09-22 2003-03-04 東京エレクトロン株式会社 成膜方法および半導体装置の製造方法
JP2938012B1 (ja) * 1998-04-07 1999-08-23 株式会社日立製作所 多層膜形成装置及び多層膜形成方法
KR20000010182A (ko) 1998-07-30 2000-02-15 윤종용 반도체장치의 확산 장벽층 형성방법
US6391769B1 (en) * 1998-08-19 2002-05-21 Samsung Electronics Co., Ltd. Method for forming metal interconnection in semiconductor device and interconnection structure fabricated thereby

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100267583B1 (ko) * 1991-02-06 2000-11-01 이데이 노부유끼 멀티챔버 프로세스장치
KR100270459B1 (ko) * 1996-05-21 2000-12-01 니시히라 쥰지 멀티챔버 스퍼터링 장치
US6074486A (en) * 1997-04-22 2000-06-13 Samsung Electronics Co., Ltd. Apparatus and method for manufacturing a semiconductor device having hemispherical grains
EP1067585A2 (en) * 1999-07-09 2001-01-10 Applied Materials, Inc. Method and a system for sealing an epitaxial silicon layer on a substrate
US6235656B1 (en) * 2000-07-03 2001-05-22 Andrew Peter Clarke Dual degas/cool loadlock cluster tool

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101197817B1 (ko) * 2008-02-19 2012-11-05 엘피다 메모리 가부시키가이샤 Sr-Ti-O계 막의 성막 방법 및 기억 매체

Also Published As

Publication number Publication date
US6586340B2 (en) 2003-07-01
US6849555B2 (en) 2005-02-01
KR20020072876A (ko) 2002-09-19
US20030207522A1 (en) 2003-11-06
JP4754152B2 (ja) 2011-08-24
US20020132487A1 (en) 2002-09-19
JP2002343863A (ja) 2002-11-29

Similar Documents

Publication Publication Date Title
KR100421036B1 (ko) 웨이퍼 처리 장치 및 이를 이용한 웨이퍼 처리 방법
US6365518B1 (en) Method of processing a substrate in a processing chamber
KR101214704B1 (ko) 성막 방법 및 처리 시스템
US8008184B2 (en) Semiconductor device manufacturing method, semiconductor manufacturing apparatus and storage medium
KR101188531B1 (ko) 반도체 제조 장치, 반도체 장치의 제조 방법, 기억 매체 및 컴퓨터 프로그램
JP2022551922A (ja) 間隙充填堆積プロセス
KR102364140B1 (ko) 매립 방법 및 처리 시스템
KR101739613B1 (ko) Cu 배선의 형성 방법
US7547632B2 (en) Methods of forming metal layers in the fabrication of semiconductor devices
KR100396891B1 (ko) 반도체 소자의 금속 배선 형성 방법
KR102096143B1 (ko) 루테늄 배선 및 그 제조 방법
KR102307270B1 (ko) 매립 방법 및 처리 시스템
KR102394249B1 (ko) 코발트에 대한 망간 배리어 층 및 접착 층
US10490443B2 (en) Selective film forming method and method of manufacturing semiconductor device
JP2009016520A (ja) 半導体装置の製造方法及び半導体装置の製造装置
US10522467B2 (en) Ruthenium wiring and manufacturing method thereof
US20230010568A1 (en) Methods and apparatus for selective etch stop capping and selective via open for fully landed via on underlying metal
JP2023502512A (ja) 金属相互接続構造におけるドーピングプロセス
KR20230164173A (ko) 표면 처리 방법 및 기판 처리 장치
JP2022143537A (ja) 成膜方法及び基板処理方法
JP2024047686A (ja) 成膜方法、成膜装置、および成膜システム
JP2008300436A (ja) バリヤ層の形成方法及び処理システム

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130131

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20140129

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20150202

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20200131

Year of fee payment: 17