KR20080041226A - 무전해 구리 도금을 통해 패터닝된 구리선을 형성하기 위한시스템 및 방법 - Google Patents

무전해 구리 도금을 통해 패터닝된 구리선을 형성하기 위한시스템 및 방법 Download PDF

Info

Publication number
KR20080041226A
KR20080041226A KR1020087004988A KR20087004988A KR20080041226A KR 20080041226 A KR20080041226 A KR 20080041226A KR 1020087004988 A KR1020087004988 A KR 1020087004988A KR 20087004988 A KR20087004988 A KR 20087004988A KR 20080041226 A KR20080041226 A KR 20080041226A
Authority
KR
South Korea
Prior art keywords
copper
substrate
catalyst layer
chamber
solution
Prior art date
Application number
KR1020087004988A
Other languages
English (en)
Other versions
KR101385419B1 (ko
Inventor
앨런 리
앤드류 3세 발리
윌리엄 티
김윤상
예즈디 도르디
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20080041226A publication Critical patent/KR20080041226A/ko
Application granted granted Critical
Publication of KR101385419B1 publication Critical patent/KR101385419B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/10Apparatus or processes for manufacturing printed circuits in which conductive material is applied to the insulating support in such a manner as to form the desired conductive pattern
    • H05K3/18Apparatus or processes for manufacturing printed circuits in which conductive material is applied to the insulating support in such a manner as to form the desired conductive pattern using precipitation techniques to apply the conductive material
    • H05K3/181Apparatus or processes for manufacturing printed circuits in which conductive material is applied to the insulating support in such a manner as to form the desired conductive pattern using precipitation techniques to apply the conductive material by electroless plating
    • H05K3/182Apparatus or processes for manufacturing printed circuits in which conductive material is applied to the insulating support in such a manner as to form the desired conductive pattern using precipitation techniques to apply the conductive material by electroless plating characterised by the patterning method
    • H05K3/184Apparatus or processes for manufacturing printed circuits in which conductive material is applied to the insulating support in such a manner as to form the desired conductive pattern using precipitation techniques to apply the conductive material by electroless plating characterised by the patterning method using masks
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/10Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by other chemical means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1603Process or apparatus coating on selected surface areas
    • C23C18/1605Process or apparatus coating on selected surface areas by masking
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/32Processes for applying liquids or other fluent materials using means for protecting parts of a surface not to be coated, e.g. using stencils, resists
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1635Composition of the substrate
    • C23C18/1639Substrates other than metallic, e.g. inorganic or organic or non-conductive
    • C23C18/1642Substrates other than metallic, e.g. inorganic or organic or non-conductive semiconductor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1655Process features
    • C23C18/1664Process features with additional means during the plating process
    • C23C18/1669Agitation, e.g. air introduction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/18Pretreatment of the material to be coated
    • C23C18/1851Pretreatment of the material to be coated of surfaces of non-metallic or semiconducting in organic material
    • C23C18/1872Pretreatment of the material to be coated of surfaces of non-metallic or semiconducting in organic material by chemical pretreatment
    • C23C18/1875Pretreatment of the material to be coated of surfaces of non-metallic or semiconducting in organic material by chemical pretreatment only one step pretreatment
    • C23C18/1879Use of metal, e.g. activation, sensitisation with noble metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/18Pretreatment of the material to be coated
    • C23C18/1851Pretreatment of the material to be coated of surfaces of non-metallic or semiconducting in organic material
    • C23C18/1872Pretreatment of the material to be coated of surfaces of non-metallic or semiconducting in organic material by chemical pretreatment
    • C23C18/1875Pretreatment of the material to be coated of surfaces of non-metallic or semiconducting in organic material by chemical pretreatment only one step pretreatment
    • C23C18/1882Use of organic or inorganic compounds other than metals, e.g. activation, sensitisation with polymers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/31Coating with metals
    • C23C18/38Coating with copper
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/31Coating with metals
    • C23C18/38Coating with copper
    • C23C18/40Coating with copper using reducing agents
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/02Apparatus or processes for manufacturing printed circuits in which the conductive material is applied to the surface of the insulating support and is thereafter removed from such areas of the surface which are not intended for current conducting or shielding
    • H05K3/06Apparatus or processes for manufacturing printed circuits in which the conductive material is applied to the surface of the insulating support and is thereafter removed from such areas of the surface which are not intended for current conducting or shielding the conductive material being removed chemically or electrolytically, e.g. by photo-etch process
    • H05K3/061Etching masks
    • H05K3/064Photoresists
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2203/00Indexing scheme relating to apparatus or processes for manufacturing printed circuits covered by H05K3/00
    • H05K2203/05Patterning and lithography; Masks; Details of resist
    • H05K2203/0562Details of resist
    • H05K2203/0571Dual purpose resist, e.g. etch resist used as solder resist, solder resist used as plating resist
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2203/00Indexing scheme relating to apparatus or processes for manufacturing printed circuits covered by H05K3/00
    • H05K2203/07Treatments involving liquids, e.g. plating, rinsing
    • H05K2203/0703Plating
    • H05K2203/072Electroless plating, e.g. finish plating or initial plating
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2203/00Indexing scheme relating to apparatus or processes for manufacturing printed circuits covered by H05K3/00
    • H05K2203/08Treatments involving gases
    • H05K2203/087Using a reactive gas

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemically Coating (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Manufacturing Of Printed Wiring (AREA)

Abstract

기판 상에 구리를 형성하는 방법으로서, 혼합기로 구리 소스 용액을 투입하는 단계; 혼합기로 환원 용액을 투입하는 단계; 구리 소스 용액과 환원 용액을 혼합하여, 약 6.5 보다 높은 pH 를 갖는 도금 용액을 형성하는 단계; 촉매층을 포함한 기판에 도금 용액을 도포하는 단계로서, 촉매층을 포함한 기판에 도금 용액을 도포하는 단계는 촉매층을 형성하는 단계를 포함하는, 도금 용액 도포 단계; 제어된 환경에서 촉매층을 유지하는 단계; 및 촉매층 상에 구리를 형성하는 단계를 포함하는 방법이 기재되어 있다. 또한, 구리 구조체를 형성하기 위한 시스템도 기재되어 있다.
구리 도금, 환원 용액, 촉매층, 무전해 도금, 환원제, 처리 챔버, 플라즈마, 포토레지스트

Description

무전해 구리 도금을 통해 패터닝된 구리선을 형성하기 위한 시스템 및 방법{SYSTEM AND METHOD FOR FORMING PATTERNED COPPER LINES THROUGH ELECTROLESS COPPER PLATING}
배경기술
본 발명은 일반적으로 반도체 제조 공정에 관한 것이고, 보다 상세하게는 무전해 구리 도금을 통해 패터닝된 구리선을 형성하기 위한 시스템 및 방법에 관한 것이다.
통상적으로, 상호접속 공정에서 이용하기 위한 구리선의 형성은 이중 다마신 처리 (dual damascene process) 에 의해 수행되는데, 여기서 유전체 재료에 트렌치가 형성되고, 이 트렌치가 충전되도록 배리어 금속 및 구리가 퇴적되고 (deposited), 오버버든 (overburden) 이 형성된다. 통상적으로, 트렌치에 인접한 필드 영역에서의 오버버든은 화학-기계적 평탄화 처리를 이용하여 제거된다. 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에 의해 이해되며 공지된 바와 같이, 상이한 레벨의 트렌치는 홀을 통해 충전된 구리에 의해 접속된다.
금속간 유전체가 이동하여 유전율 값이 점점 낮아지게 됨에 따라, 이중 다마신 기술의 통합이 보다 어려워지는데, 그에 따라 보다 부서지기 쉽고, 다공성이며, 재료의 에칭, 클리닝 (cleaning) 및 평탄화에 이용되는 표준 처리 기술과 보다 덜 호환하게 된다. 또한, 통합 문제에 직면함으로써 로우-K 재료의 증가하는 다공성 (porosity) 이 제한된다. 에어 갭 유전체가 달성할 수 있는 실행가능한 통합 방식이 있지 않을 때까지, 유전체 재료를 완전히 제거하고, 구리선들 사이의 유전체로서 에어 갭을 이용하는 것이 바람직하다.
통상적으로, 무전해 구리 도금은 환원제 (reducing agent) 를 갖는 알칼리성 용액의 구리 이온 용액을 이용한다. 이 알칼리성 용액 내에 반도체 웨이퍼와 같은 기판이 배치된다. 기판 상에 촉매면 (catalytic surface) 의 면전에서, 환원제에 의해 구리 이온이 환원되어, 기판 표면에 구리막 또는 구리층을 형성하게 된다.
알데히드 (예를 들어, 포름알데히드) 용액이 무전해 도금 용액에 이용되는 통상적인 환원제이다. 포름알데히드는 실질적으로 구리 이온을 구리 원소 (elemental copper) 로 환원시킨다. 유감스럽게도, 이러한 환원 처리는 구리의 매트릭스로 통합될 수 있는 수소를 생성하는데, 이는 보이드를 야기시키고, 퇴적된 구리층의 품질을 저하시킨다.
통상적인 알칼리성 용액의 무전해 구리 도금 처리의 또다른 제약은, 그 결과로서 생기는 구리 산화층의 비교적 느린 성장률을 포함한다. 예로서, 통상적인 알칼리성 용액의 무전해 구리 도금은 약 100-500 옹스트롬/분의 최대 성장률을 갖는다. 이 제한된 성장률은 (예를 들어, 약 100 미크론의 두께보다 두꺼운) 후막 (厚膜, thick film) 성장에 과도한 양의 시간을 필요로 한다. 성장률이 이와 같이 제한되기 때문에, 통상적인 알칼리성 용액의 무전해 구리 도금 처리는 대 량의 웨이퍼 스루풋을 달성하기 위해 일괄 웨이퍼 처리를 필요로 한다. 그러나, 일군의 웨이퍼 각각에 걸쳐 원하는 처리 결과를 정밀하고 반복적으로 생성하기 위한 일괄 웨이퍼 처리는 어려울 수 있다.
통상적인 알칼리성 용액의 무전해 구리 도금 처리의 또다른 제약은 알칼리성 용액의 알칼리성이다. (예를 들어, 에어 갭 유전체 또는 다른 처리를 고려하는 경우) 균일한 구리의 블랭킷이 아니라, 특정 구리 구조체 (예를 들어, 패터닝된 구리선) 를 형성하는 것이 바람직하다. 포토레지스트층에 적용된 리소그래피 처리는 사전-패터닝된 피처 (feature) 를 형성할 수 있다. 통상적인 알칼리성 용액의 무전해 구리 도금 처리는, 이들 구조체가 통상적인 포토레지스트 패터닝 처리에서 형성되는 것을 요구한다. 유감스럽게도, 포토레지스트는 알칼리성 용액의 알칼리성과 고도로 반응하여, 이 알칼리성 용액의 알칼리성으로 인해 실질적으로 손상되거나 거의 완전히 파괴될 것이다. 그 결과, 알칼리성 용액과 반응하지 않는 보호층이 먼저 포토레지스트 패턴 위에 형성되어야 한다. 보호층은, 무전해 구리 도금 처리 중에 통상적인 알칼리성 용액에 의한 손상으로부터 포토레지스트 패턴을 보호한다.
대안적으로, 포토레지스트는, 알칼리성 무전해 화학물 (chemistry) 과 융화성이 있는 (compatible) 재료의 하부층으로 패턴을 전사하는데 이용될 수도 있다. 그런 다음, 포토레지스트는 제거되고, 원하는 구리 구조체의 포지티브 이미지로 구리선이 형성될 수 있다. 이 경우, 패터닝 층은, 상호접속층의 구성부가 되는 로우-K 재료이거나, 또는 희생 재료로서 제거될 수 있다. 모든 경우에, 이 재 료의 제거는, 이전에 형성된 포토레지스트 패턴의 제거보다 어렵다.
전술한 바를 고려하면, 구리선들 사이에 에어 갭 유전체 절연을 허용하고, 500 옹스트롬/분보다 빠른 성장을 달성하는 무전해 구리 도금을 통해 패터닝된 구리선을 형성하기 위한 단순화된 시스템 및 방법에 대한 필요성이 있다.
발명의 개요
대략적으로, 본 발명은, 무전해 구리 도금을 통해 패터닝된 구리선을 형성하기 위한 시스템 및 방법을 제공함으로써 이들 필요성을 충족시킨다. 본 발명이, 공정, 장치, 시스템, 컴퓨터 판독가능 매체 또는 디바이스로서 포함한 다수의 방식으로 구현될 수 있다는 것이 인식되어야 한다. 본 발명의 다수의 독창적인 실시형태가 후술된다.
일 실시형태는 기판 상에 구리를 형성하는 방법을 제공하는데, 이 방법은, 혼합기로 구리 소스 용액을 투입하는 단계; 혼합기로 환원 용액을 투입하는 단계; 구리 소스 용액과 환원 용액을 혼합하여, 약 6.5 보다 높은 pH 를 갖는 도금 용액을 형성하는 단계; 및 촉매층을 포함한 기판에 도금 용액을 도포 (applying) 하는 단계를 포함하고, 기판에 도금 용액을 도포하는 단계는 촉매층 상에 구리를 형성하는 단계를 포함한다.
도금 용액은, 기판에 도금 용액을 도포하는 것과 실질적으로 동시에 생성될 수 있다. 도금 용액은 약 7.2 와 약 7.8 사이의 pH 를 가질 수 있다. 도금 용액은, 촉매층 상에 구리를 형성한 이후에 폐기될 수 있다.
기판은 패터닝된 포토레지스트층을 포함할 수 있고, 패터닝된 포토레지스트층은 촉매층의 제 1 부분을 노출하고, 기판에 도금 용액을 도포하는 단계는, 촉매층의 제 1 부분 상에 구리를 형성하는 단계를 포함할 수 있다. 또한, 이 방법은, 기판으로부터 도금 용액을 제거하는 단계; 기판을 세정 (rinsing) 하는 단계; 및 기판을 건조하는 단계도 포함할 수 있다.
또한, 이 방법은 패터닝된 포토레지스트층을 제거하는 단계도 포함할 수 있다. 패터닝된 포토레지스트층을 제거하는 단계는 촉매층의 제 2 부분을 노출한다. 또한, 촉매층의 제 2 부분은 제거될 수 있다.
도금 용액은 보호되지 않은 포토레지스트와 융화성이 있다. 촉매층 상에 형성된 구리는 실질적으로 구리 원소일 수 있다. 촉매층 상에 형성된 구리에는 실질적으로 수소 함유물 (inclusion) 이 없을 수 있다.
촉매층 상에 형성된 구리는 약 500 옹스트롬/분보다 빠른 속도로 형성된다. 도금 용액은 동적 액체 메니스커스 (dynamic liquid meniscus) 를 통해 기판에 도포될 수 있고, 동적 액체 메니스커스는 근접 헤드와 기판 표면 사이에 형성된다. 구리 소스 용액은, 산화 구리 소스, 착화제 (complexing agent), pH 조절제 및 할로겐화물 이온을 포함할 수 있다. 환원 용액은 환원 이온을 포함할 수 있다.
촉매층은 2 이상의 층을 포함할 수 있다. 촉매층은 BARC (Bottom Anti-Reflection Coating) 층을 포함할 수 있다.
또다른 실시형태는 기판 상에 패터닝된 구리 구조체를 형성하는 방법을 제공한다. 이 방법은 기판을 수용하는 단계를 포함하는데, 이 기판은 그 위에 형성 된 촉매층, 및 촉매층 상에 형성된 패터닝된 포토레지스트층을 포함한다. 패터닝된 포토레지스트층은 촉매층의 제 1 부분을 노출하고, 패터닝된 포토레지스트층은 촉매층의 제 2 부분을 커버한다. 구리 소스 용액이 혼합기로 투입되고, 환원 용액이 혼합기로 투입된다. 구리 소스 용액과 환원 용액은 혼합되어, 약 7.2 와 약 7.8 사이의 pH 를 갖는 도금 용액을 형성한다. 기판에 도금 용액이 도포되는데, 이 단계는 촉매층의 제 1 부분 상에 구리를 형성하는 단계를 포함한다.
또다른 실시형태는, 저압 처리 챔버; 대기압 처리 챔버; 저압 처리 챔버 및 대기압 처리 챔버의 각각에 연결된 이송 챔버를 포함하는 처리 툴을 제공하는데, 이송 챔버는 제어된 환경을 포함한다. 이송 챔버는, 저압 처리 챔버로부터 대기압 처리 챔버로 기판을 이송하기 위해 제어된 환경을 제공한다. 또한, 저압 처리 챔버, 대기압 처리 챔버 및 이송 챔버에 제어기가 연결된다. 제어기는, 저압 처리 챔버, 대기압 처리 챔버 및 이송 챔버의 각각을 제어하기 위한 로직을 포함한다.
저압 처리 챔버는, 플라즈마 에칭/제거 챔버를 포함할 수 있는 2 이상의 저압 처리 챔버를 포함할 수 있고, 대기압 처리 챔버는 구리 도금 챔버를 포함할 수 있다. 구리 도금 챔버는 혼합기를 포함할 수 있다. 플라즈마 처리 챔버는 다운스트림 플라즈마 챔버일 수 있다. 에칭/제거 챔버 중 적어도 하나는 습식 처리 챔버일 수 있다.
이송 챔버는 입/출력 모듈을 포함한다. 제어기는, 구리 도금 챔버로 패 터닝된 기판을 로딩하기 위한 로직; 혼합기로 구리 소스 용액을 투입하기 위한 로직; 혼합기로 환원 용액을 투입하기 위한 로직; 구리 소스 용액과 환원 용액을 혼합하여, 약 6.5 보다 높은 pH 를 갖는 도금 용액을 형성하기 위한 로직; 및 촉매층을 포함한 패터닝된 기판에 도금 용액을 도포하기 위한 로직을 포함한 레시피를 포함할 수 있는데, 패터닝된 기판에 도금 용액을 도포하는 것은 촉매층 상에 구리를 형성하는 것을 포함한다.
패터닝된 기판은 촉매층 상에 형성된 패터닝된 포토레지스트층을 포함할 수 있고, 패터닝된 포토레지스트층은 촉매층의 제 1 부분을 노출하고, 패터닝된 포토레지스트층은 촉매층의 제 2 부분을 커버한다. 플라즈마 챔버는 다운스트림 플라즈마 챔버일 수 있다.
본 발명의 다른 양태 및 이점은, 본 발명의 예시적인 원리로서 예시되는 첨부 도면과 관련하여 취해진 다음의 상세한 설명으로부터 명백해질 것이다.
도면의 간단한 설명
본 발명은 첨부 도면과 함께 다음의 상세한 설명에 의해 손쉽게 이해될 것이다.
도 1 은 본 발명의 일 실시형태에 따른 비-알칼리성 무전해 구리 도금으로 구리 구조체의 형성시 수행되는 방법 단계를 도시한 흐름도이다.
도 2a 내지 도 2f 는 본 발명의 일 실시형태에 따른 기판 상에 형성된 구리 구조체를 도시한 도면이다.
도 3 은 본 발명의 일 실시형태에 따른 고속의 비-알칼리성 무전해 구리 도금 처리에서 수행되는 방법 단계를 도시한 흐름도이다.
도 4a 는 본 발명의 일 실시형태에 따른 도금 처리 툴의 단순화된 개략도이다.
도 4b 는 본 발명의 일 실시형태에 따른 근접 헤드에 의해 수행될 수도 있는 대표적인 기판 처리의 바람직한 실시형태를 도시한 도면이다.
도 5 는 본 발명의 일 실시형태에 따른 모듈러 처리 툴의 단순화된 개략도이다.
도 6 은 본 발명의 일 실시형태에 따른 대표적인 다운스트림 플라즈마 챔버의 단순화된 개략도이다.
발명의 상세한 설명
이하, 무전해 구리 도금을 통해 패터닝된 구리선을 형성하기 위한 시스템 및 방법에 대한 다수의 대표적인 실시형태가 설명된다. 본 발명이 본 명세서에 기재된 특정 상세의 일부 또는 전부 없이 실시될 수도 있다는 것은 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 자명할 것이다.
본 발명은, 포토레지스트에 대해 실질적으로 반응하지 않으며, 약 500 옹스트롬/분보다 빠른 성장률을 허용할 수 있는 개선된 무전해 구리 도금 처리를 위한 시스템 및 방법을 제공한다. 본 발명이 일괄 (예를 들어, 다수의 웨이퍼) 처리에 이용될 수 있다는 것이 이해되어야 함에도 불구하고, 이러한 보다 빠른 성장률 은 통상적인 일괄 웨이퍼 처리보다는 오히려 단일 웨이퍼 처리에 대해 효과적인 스루풋을 허용한다.
고속의 무전해 도금 처리는, 실질적으로 중성 또는 심지어 산성 용액에 부유되는 (suspended) 구리 이온을 포함할 수 있다. 중성 또는 산성 용액은 포토레지스트와 반응하지 않는다. 그러므로, 포토레지스트 패터닝은, 포토레지스트에 대해 보호층을 부가하고/하거나, 종래 기술의 알칼리성 무전해 도금 용액과 반응하지 않는 재료로 패턴을 형성하는 부가적인 처리 단계를 필요로 하지 않으면서 원하는 구리 구조체를 직접 한정하는데 이용될 수 있다.
고속의 무전해 도금 처리는 약 2,500 옹스트롬/분까지 구리층을 형성할 수 있다. 그러므로, 고속의 무전해 도금 처리는, 통상적인 알칼리성 용액의 무전해 구리 도금 처리보다 훨씬 신속하게 보다 두꺼운 구리층을 형성할 수 있다. 그 결과, 고속의 무전해 도금 처리는, 통상적인 알칼리성 용액의 무전해 구리 도금 처리가 형성할 수 없는 보다 두꺼운 구리 구조체를 형성하는데 이용될 수 있다.
고속의 무전해 도금 처리는, 환원제로서 알데히드 대신에 코발트 이온 (예를 들어, Co+, Co+2 및 Co+3) 을 이용하는 것을 포함할 수 있다. 코발트 이온은 실질적으로 수소를 최소 생성하면서 구리 산화물을 구리 원소로 환원시킨다.
고속의 무전해 도금 처리가 원하는 구리 구조체를 직접 형성하기 위해 포토레지스트 패터닝을 이용할 수 있기 때문에, 전술한 이중 다마신 방법을 이용하여 종래의 상감 (in-laid) 구리선을 형성하는데 필요한 다수의 처리 단계가 더 이상 요구되지 않는다. 상세하게는, 포토레지스트를 보호하기 위한 보호층이 필요하지 않다. 또한, 패터닝 재료를 제거하기 위한 에칭 처리도 생략된다. 또한, 이는, 변경된 통합 경로 또는 처리가 처리 단계를 감소시키는 것을 허용하여, 그에 따라 생산 시간을 감소시킬 수 있고, 스루풋을 증가시킬 수 있다.
고속의 무전해 도금 처리에 의해 형성된 구리 구조체는, 3-D 패키징 상호접속에서 또는 집적 회로의 패키징에서 집적 회로에 대한 전기적 접속을 형성하는데 이용될 수도 있는 바와 같은 와이어-본드 패드 및 볼 그리드 어레이를 포함할 수 있다. 또한, 자립형 (free-standing) 구리 구조체는, 금속선들 사이의 에어 갭의 형성 및 이용이 금속-금속 공간의 유전율을 감소시키는 것을 가능하게 할 수도 있다. 예로서, 에어 갭 유전체를 형성하는 경우, 기판은, 로우-K 유전체 또는 에어 갭에 대한 '플레이스홀더 (placeholder)' 인 피처로 사전-패터닝될 수 있다. 플레이스홀더는 용이하게 제거가능할 수 있다. 리소그래피 처리에 의해 포토레지스트에 사전-패터닝된 피처가 형성될 수 있고, 그에 따라 에칭 패터닝 단계가 회피된다.
도 1 은 본 발명의 일 실시형태에 따른 비-알칼리성 무전해 구리 도금으로 구리 구조체의 형성시 수행되는 방법 단계 (100) 를 도시한 흐름도이다. 도 2a 내지 도 2f 는 본 발명의 일 실시형태에 따른 기판 (예를 들어, 웨이퍼 ; 200) 상에 형성된 구리 구조체 (208) 를 도시한 도면이다. 단계 105 에 있어서, 기판 (200) 이 수용된다. 기판 (200) 은 구리 상호접속 구조체를 형성할 수 있도록 이전에 준비된다. 이러한 이전의 준비는 임의의 적합한 방법에 의해 수행될 수 있다.
이하, 도 1 및 도 2a 를 참조하면, 단계 110 에 있어서, 기판 (200) 상에 촉매층 (202) 이 형성된다. 촉매층 (202) 은 임의의 적합한 재료 또는 이들 재료의 층들과 이들 재료의 조합으로 이루어질 수 있다. 예로서, 촉매층 (202) 은, 탄탈, 루테늄, 니켈, 니켈 몰리브덴, 티타늄, 티타늄 질화물 또는 다른 적합한 촉매 재료로부터 형성될 수 있다. 촉매층 (202) 은 가능한 한 얇게 (예를 들어, 원자 또는 분자의 단층으로) 또는 단층과 약 500 옹스트롬까지의 두께 사이로 이루어질 수 있다. 또한, 층들의 조합이 이용될 수 있다. 예로서, 기판 (200) 상에 탄탈층이 형성될 수 있고, 이 탄탈층 상에 루테늄층이 형성될 수 있다. 탄탈층은 약 360 옹스트롬 이하의 두께로 이루어질 수 있다. 예를 들어, 탄탈-산화물 형성으로부터 탄탈층을 보호하는데 루테늄층이 이용될 수 있다. 루테늄층은 약 150 옹스트롬 이하의 두께로 이루어질 수 있다.
또한, 촉매층 (202) 을 형성하는 단계는, 선택적인 반사방지 코팅 (예를 들어, BARC) 층 (204) 을 형성하는 단계를 포함할 수 있다. 예를 들어, BARC 층 (204) 은 약 600 옹스트롬의 두께로 이루어질 수 있다. BARC 층 (204) 은, 노광 단계 중에 보강 및 상쇄 간섭을 감소시킴으로써 개선된 리소그래피 성능을 제공하기 위한 것으로 본 발명이 속하는 기술분야에서 잘 알려져 있다.
단계 115 에 있어서, 촉매층 (202) 상에 포토레지스트층 (206) 이 형성된다. 포토레지스트층 (206) 은 약 6,000 옹스트롬의 두께로, 또는 그보다 두껍거나 얇게 이루어질 수 있다. 포토레지스트층 (206) 은 본 발명이 속하는 기술분야 에서 잘 알려져 있는 바와 같이 임의의 적합한 포토레지스트 재료로 이루어질 수 있다. 단계 120 에 있어서, 포토레지스트층 (206) 이 패터닝된다. 또한, 포토레지스트층 (206) 을 패터닝하는 단계는, 선택적인 BARC 층 (204) 이 포함되는 경우에 이 BARC 층 (204) 을 패터닝하는 단계를 포함한다.
이하, 도 1 및 도 2b 를 참조하면, 단계 125 에 있어서, 포토레지스트층 (206) 의 원하지 않는 부분이 제거되어, 단지 포토레지스트층의 원하는 부분 (206A) 만 남게 된다. 플라즈마 에칭 처리에 의해 선택적인 BARC 층 (204) 의 노출부 (204A) 가 제거된다. 예로서, BARC 층은, 예를 들어 약 20 초와 약 90 초 사이 동안에, 약 20 ℃, 40-100 mTorr, 27 ㎒ 에서의 200-700W, 2 ㎒ 에서의 500-100W, 100-500 sccm 아르곤, 0-100 sccm CF4, 0-30 sccm 산소, 0-150 sccm 질소, 0-150 sccm 수소 및 0-10 sccm C4F8 의 설정으로, Lam Research Corporation 의 2300 Exelan® 플라즈마 에칭기를 이용하여 제거될 수 있다. 재료 요건에 종속하여, 전술한 가스 및 설정의 다양한 조합 및 치환이 이용될 수도 있다. 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자가, (예를 들어, Lam Research 사의 Versys™ 플라즈마 처리 챔버로부터 입수가능한 바와 같은) 유도성 결합된 플라즈마 소스를 이용하여 BARC 층을 제거할 수 있다는 것이 이해되어야 한다.
이하, 도 1 및 도 2c 를 참조하면, 단계 130 에 있어서, 필요한 경우, 촉매층 (202) 의 노출부 (202A) 상의 임의의 산화물 또는 다른 잔여물이 제거된다. 촉매층 (202) 의 노출부 (202A) 상의 임의의 산화물 또는 다른 잔여물을 제거하기 위한 하나의 접근법은, 촉매층 (202) 의 노출부 (202A) 에 플라즈마-발생된 라디칼 (radical) 을 적용하는 단계를 포함한다. 예로서, 다음의, 약 5 분 동안에, l ㎾, 1 Torr 에서 헬륨 캐리어 가스에서의 3.9 % 농도의 수소 700 sccm 와 같은 레시피로, Lam 2300 Microwave Strip 챔버에서 발생된 라디칼을 적용함으로써, 노출부 (202A) 상의 산화물 및 다른 잔여물이 제거될 수 있다. 3.9 % 의 수소와 함께 또는 그 대신에, 암모니아 (NH3) 또는 일산화탄소 (CO) 가 이용될 수 있다. 대안적으로, 고온에서 100% 수소가 이용될 수 있다. 예로서, 약 50 ℃ 와 약 300 ℃ 사이에서, 포토레지스트 및 BARC 재료가 고온 조건을 견디는 능력에 의해 온도 상한이 결정된다. 추가 변형은, 임의의 유기성 오염 물질을 제거하도록 적용된 단기간 제어된 플라즈마 산화 처리, 및 그 다음의 각각의 원소 금속 상태로 형성될 수도 있는 산화물을 변환 (즉, 환원) 시키기 위한 전술한 환원 단계를 포함할 수 있다. 단계 132 에 있어서, 제어된 환경에서 (즉, 낮은 산소 및 낮은 수분 레벨을 유지하도록 인시추로) 기판이 무전해 도금 처리 챔버로 이송된다. 이는, 단계 130 에서 형성된 환원 표면 (reduced surface) 이 촉매층으로서 보존되어 있다는 것을 보장한다.
이하, 도 1 및 도 2d 를 참조하면, 단계 135 에 있어서, 비-알칼리성 무전해 구리 도금 처리가 기판 (200) 에 적용되어, 구리 구조체 (208) 를 형성하게 된다. 이하, 도 3 에 비-알칼리성 무전해 구리 도금 처리가 보다 상세하게 기술되어 있다. 비-알칼리성 무전해 구리 도금 처리는, 분당 500 옹스트롬과 2,000 옹스 트롬 사이의 구리 원소를 발생시킬 수 있다. 비-알칼리성 무전해 구리 도금 처리는, 수직 또는 수평 액침 (immersion) 타입의 도포로 기판 (200) 에 적용될 수 있다. 대안적으로, 비-알칼리성 무전해 구리 도금 처리는, 보다 상세하게 후술되는 동적 액체 메니스커스를 통해 기판 (200) 에 적용될 수 있다.
이하, 도 1 및 도 2e 를 참조하면, 단계 140 에 있어서, 포토레지스트층의 나머지부 (206A) 가 제거되어 촉매층의 일부 (202B) 를 노출하게 된다. 선택적인 BARC 층 (204) 이 포함되는 경우, 포토레지스트층의 나머지부 (206A) 가 제거되는 때에 또는 후속하여 그 이후에, 선택적인 BARC 층의 나머지부 (204B) 도 제거된다. 포토레지스트 및 BARC 층은 플라즈마 처리로 제거될 수 있다. 선택적으로, 수성, 반-수성 (semi-aqueous) 또는 비-수성 용매 (non-aqueous solvent) 를 이용하여 습식 화학적 포토레지스트 제거 단계가 수행될 수 있다. 나머지 포토레지스트 (206A), 및 선택적인 BARC 층의 나머지부 (204B) 를 제거하기 위한 대표적인 레시피는, 약 3 분 동안에, 약 27 ㎒ 에서의 약 1,000-1,400W 소스 전력이 적용되며 약 30 ℃ 보다 낮은 온도, 약 5 mTorr 의 압력, 약 50 sccm 아르곤 및 350 sccm 산소의 유량을 포함한다. 다음에, 약 30 초 동안에, 약 30 ℃ 보다 높은 온도, 약 5 mTorr 의 압력, 약 50 sccm 아르곤 및 350 sccm 산소의 유량, 약 27 ㎒ 에서의 1,200W 소스 전력과 약 500W 의 바이어스 전력이 적용된다. 부가적인 바이어스 전력은, 에칭 처리가 구리 구조체들 (208) 사이의 공간들 (210) 로 보다 지향되도록 한다. 예로서, BARC 층은, 약 20 초와 약 90 초 사이 동안에, 약 20 ℃, 40-100 mTorr, 27 ㎒ 에서의 200-700W, 2 ㎒ 에서의 500-100W, 100-500 sccm 아르곤, 0-100 sccm CF4, 0-30 sccm 산소, 0-150 sccm 질소, 0-150 sccm 수소 및 0-10 sccm C4F8 의 설정으로, Lam Research Corporation 의 2300 Exelan® 플라즈마 에칭기를 이용하여 제거될 수 있다. 재료 요건에 종속하여, 전술한 가스 및 설정의 다양한 조합 및 치환이 이용될 수도 있다. 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자가, (예를 들어, Lam Research 사의 Versys™ 플라즈마 처리 챔버로부터 입수가능한 바와 같은) 유도성 결합된 플라즈마 소스를 이용하여 BARC 층을 제거할 수 있다는 것이 이해되어야 한다.
이하, 도 1 및 도 2f 를 참조하면, 단계 145 에 있어서, 촉매층 (202) 의 노출부 (202B) 가 제거된다. 촉매층 (202) 의 노출부 (202B) 의 제거는, 실질적으로 촉매층의 노출부가 나머지 자립형 구리 구조체 (208) 를 전기적으로 접속시키는 것을 방지한다. Lam 2300 Versys 플라즈마 에칭기를 이용하여 촉매층 (202) 의 노출부 (202B) 를 제거하기 위한 대표적인 레시피는, 약 1 분의 지속기간 동안에, 약 20 ℃ 내지 약 50 ℃ 의 온도, 약 500W 소스 전력과 약 20-100W 바이어스 전력, 약 30 sccm CF4 및 75 sccm 아르곤의 유량, 및 약 50 mTorr 의 압력을 포함한다. CF4 에 부가하여 또는 이 대신에, C4F8 와 같은 다른 할로겐-함유 가스, 또는 CF4 + HBr 와 같은 할로겐-함유 가스의 혼합물이 이용될 수 있다. 자립형 구리 구조체 (208) 는 촉매층 (202) 의 나머지부 (202C) 를 포함한다. 자립형 구리 구조체들 (208) 사이에 에어 갭 (210) 이 형성된다. 에어 갭 (210) 은, 자 립형 구리 구조체 (208) 상에 형성된 후속 구조에서 에어 유전체가 이용되는 것을 허용할 수 있다. 에어 갭 (210) 은 약 10 ㎚ 미만 또는 그 초과의 폭으로 이루어질 수 있다. 자립형 구리 구조체 (208) 는 임의의 원하는 폭으로 이루어질 수 있다. 예로서, 자립형 구리 구조체 (208) 는 약 10 ㎚ 미만과 약 100 ㎚ 초과 사이로 이루어질 수 있다. 자립형 구리 구조체 (208) 는 약 300 ㎚ 이상의 폭으로 이루어질 수 있다. 자립형 구리 구조체 (208) 의 최대 폭은 단지 기판의 폭으로만 제한된다.
단계 140 에서의 나머지 포토레지스트 (206A) 의 제거는, (예를 들어, 구리 구조체 (208) 에 대한 손상을 최소화하거나, 또는 구리 구조체들 (208) 사이의 포토레지스트의 완전한 제거를 용이하게 하기 위한) 요건에 종속하여 바이어스 전력의 유무에 관계없이 수행될 수 있다. 그 결과, 구리 구조체들 (208) 사이에서, 나머지 포토레지스트 (206A) 및 그 임의의 잔여물의 추가 제거를 위해, 500W 바이어스 전력을 인가하는 단계를 포함한 단기간의 포토레지스트 제거 단계가 부가될 수 있다. 또한, 촉매층의 보호를 위해 루테늄층이 도포된 경우, 500W 바이어스 전력의 인가는 루테늄도 제거할 것이다.
실질적으로 보다 고온에서 발생할 수도 있는 구리의 이동을 제한하도록, 단계 105 내지 단계 145 의 각각은 약 300 ℃ 미만의 낮은 온도를 수반한다. 또한, 저온에서 BARC 제거 및 사전 처리 단계가 수행되어, 보다 고온에서의 포토레지스트의 레티큘레이션 (reticulation) 을 제한하게 된다.
도 3 은 본 발명의 일 실시형태에 따른 고속의 비-알칼리성 무전해 구리 도 금 처리에서 수행되는 방법 단계 (135) 를 도시한 흐름도이다. 도 4a 는 본 발명의 일 실시형태에 따른 도금 처리 툴 (400) 의 단순화된 개략도이다. 도금 처리 툴 (400) 은 제 1 소스 (410) 및 제 2 소스 (412) 를 포함한다. 제 1 소스 (410) 는 소정량의 제 1 소스 재료 (410A) 를 포함한다. 제 2 소스 (412) 는 소정량의 제 2 소스 재료 (412A) 를 포함한다. 제 1 소스 (410) 및 제 2 소스 (412) 는 혼합기 (416) 에 연결된다. 혼합기 (416) 는 도금 챔버 (402) 에 연결된다. 또한, 도금 처리 툴 (400) 은, 도금 챔버 (402) 에 연결되는 세정 용액 소스 (440) 도 포함할 수 있다. 세정 용액 소스 (440) 는 소정량의 세정 용액 (440A) 을 제공할 수 있다.
또한, 도금 처리 툴 (400) 은 제어기 (430) 도 포함할 수 있다. 제어기 (430) 는 도금 챔버 (402) 및 혼합기 (416) 에 연결된다. 제어기 (430) 는, 제어기 (430) 에 포함된 레시피 (432) 에 따라 도금 처리 툴 (400) 에서의 동작 (예를 들어, 혼합, 충전, 세정 등) 을 제어한다.
이하, 도 3 및 도 4a 를 참조하면, 단계 305 에 있어서, 도금 실시를 위해 도금 챔버 (402) 에 기판 (200) 이 배치된다.
단계 318 에 있어서, 혼합기 (416) 는 제 1 소스 재료 (410A) 와 제 2 소스 재료 (412A) 를 혼합하여, 도금 용액 (416A) 을 형성한다. 제 1 소스 재료 (410A) 는 구리 이온에 대한 환원 이온 (예를 들어, Cu2+) 이다. 제 2 소스 재료 (412A) 는 산화 구리 소스 (예를 들어, Cu2+), 착화제 (예를 들어, 에틸렌 디아 민, 디에틸렌 트리아민), pH 조절제 (예를 들어, HNO3, H2SO4, HCL 등) 및 할로겐화물 이온 (예를 들어, Br-, Cl- 등) 을 포함한다. Vaskelis 등에 의해 2006 년 5 월 11 일 출원되었으며 발명의 명칭이 "Plating Solution for Electroless Deposition of Copper" 인 공동 소유된 미국 특허 출원 제 11/382,906 호, 및 Dordi 등에 의해 2006 년 6 월 28 일 출원되었으며 발명의 명칭이 "Plating Solutions for Electroless Deposition of Copper" 인 공동 소유된 미국 특허 출원 제 11/427,266 호에 구리 도금 용액에 관한 부가적인 상세 및 예시가 보다 상세하게 기재되어 있는데, 이들은 그 모든 목적을 위해 참조로서 본 명세서에 완전히 포함되어 있다. 또한, 본 출원은, Jeffrey Marks 에 의해 2006 년 4 월 4 일 출원되었으며 발명의 명칭이 "Methods and Apparatus for Fabricating Conductive Features on Glass Substrates used in Liquid Crystal Displays" 인 공동 소유된 미국특허출원 제 11/398,254 호에 관련되는데, 이는 그 모든 목적을 위해 참조로서 본 명세서에 완전히 포함되어 있다.
단계 320 에 있어서, 혼합기 (416) 로부터 도금 챔버 (402) 로 도금 용액 (416A) 이 산출되는데, 이 도금 챔버에서 기판 (200) 에 도금 용액이 도포된다. 혼합기 (416) 는 도금 챔버 (402) 에서 필요에 따라 제 1 소스 재료 (410A) 와 제 2 소스 재료 (412A) 를 혼합한다. 도금 용액 (416A) 은 약 6.5 보다 높은 pH 를 갖고, 또한 적어도 하나의 실시형태에 있어서는 약 7.2 내지 약 7.8 의 범위 내의 pH 를 갖는다. 도금 용액 (416A) 은 실질적으로 수소 함유물에 의해 야기된 어떠한 보이드 없이 구리 원소층을 형성한다.
단계 325 에 있어서, 기판 (200) 으로부터 도금 용액 (416A) 이 제거된다. 기판 (200) 으로부터의 도금 용액 (416A) 의 제거 단계는, 도금 챔버 (402) 로부터의 기판 (200) 의 제거 단계, 및/또는 도금 챔버 (402) 로부터의 도금 용액 (416A) 의 제거 단계를 포함할 수 있다.
단계 330 에 있어서, 세정 용액으로 기판 (200) 이 세정된다. 예로서, 단계 325 에 있어서, 도금 챔버 (402) 로부터 도금 용액 (416A) 이 제거될 수 있고, 세정 용액 (440A) 이 도금 챔버 (402) 로 투입되어, 실질적으로 기판 (200) 으로부터의 어떠한 나머지 도금 용액 (416A) 을 세정할 수 있게 된다.
단계 335 에 있어서, 기판 (200) 이 건조될 수 있다. 예로서, 도금 챔버 (402) 로부터 기판 (200) 이 제거되어, 세정 및 건조를 위해 제 2 챔버 (예를 들어, 스핀, 세정 및 건조 챔버) 에 배치될 수 있다. 대안적으로, 도금 챔버 (402) 는 기판 (200) 의 세정 및 건조에 필요한 메커니즘을 포함할 수 있다.
예로서, 도금 챔버 (402) 는 기판 (200) 을 세정 및 건조할 수 있는 근접 헤드 (450) 를 포함할 수 있다. 또한, 근접 헤드 (450) 는 기판 (200) 에 도금 용액을 도포할 수 있다.
도 4b 는 본 발명의 일 실시형태에 따른 근접 헤드 (450) 에 의해 수행될 수도 있는 대표적인 기판 처리의 일 실시형태를 도시한 도면이다. 비록 도 4b 가 기판 (200) 의 상면 (468A) 의 처리를 도시하지만, 기판 (200) 의 저면 (468B) 에 대해 실질적으로 동일한 방식으로 기판 처리가 수행될 수도 있다는 것이 인식되어 야 한다. 도 4b 가 기판 건조 처리를 도시하지만, 다수의 다른 제조 처리가 유사한 방식으로 기판 표면에 적용될 수도 있다. 기판 (200) 의 상면 (468A) 을 향하여 이소프로필기 알코올 (IsoPropyl Alcohol: IPA) 증기를 적용하는데 소스 유입구 (462) 가 이용될 수도 있고, 기판 (200) 의 상면 (468A) 을 향하여 탈이온수 (DeIonized Water: DIW) 나 다른 처리 화학물을 적용하는데 소스 유입구 (466) 가 이용될 수도 있다. 또한, 웨이퍼 표면에 아주 근접한 영역에 진공을 적용하는데 소스 유출구 (464) 가 이용되어, 상면 (468A) 상에 또는 그 근처에 위치할 수도 있는 증기나 유체를 제거할 수도 있다. 소스 유입구 (462) 중 적어도 하나가, 소스 유입구 (466) 의 적어도 하나에 차례로 인접하는 소스 유출구 (464) 의 적어도 하나에 인접한 조합이 적어도 하나 존재하는 한, 소스 유입구 및 소스 유출구의 임의의 적합한 조합이 이용될 수도 있다는 것이 인식되어야 한다. IPA 는, 예를 들어 IPA 증기와 같은 임의의 적합한 형태일 수도 있는데, 증기 형태의 IPA 는 N2 캐리어 가스의 이용을 통해 투입된다. 또한, 본 명세서에서는 DIW 가 이용됨에도 불구하고, 예를 들어 다른 방식으로 정제된 물, 클리닝 유체 및 다른 처리 유체와 화학물과 같은 웨이퍼 처리를 가능하게 하거나 강화할 수도 있는 임의의 다른 적합한 유체가 이용될 수도 있다. 일 실시형태에 있어서, 소스 유입구 (462) 를 통해 IPA 증기 유입 (460) 이 제공되고, 소스 유출구 (464) 를 통해 진공 (472) 이 적용될 수도 있고, 소스 유입구 (466) 를 통해 DIW 유입 (474) 이 제공될 수도 있다. 그 결과, 기판 (200) 상에 유체막이 존재하는 경우, 기판 표면 상의 DIW, IPA 증기 및 유체막을 제거하기 위해서, IPA 증기 유입 (460) 에 의해 기판 표면에 제 1 유체 압력이 적용될 수도 있고, DIW 유입 (474) 에 의해 기판 표면에 제 2 유체 압력이 적용될 수도 있고, 진공 (472) 에 의해 제 3 유체 압력이 적용될 수도 있다.
그러므로, 일 실시형태에 있어서, 웨이퍼 표면을 향하여 DIW 유입 (474) 및 IPA 증기 유입 (460) 이 적용됨에 따라, 웨이퍼 표면 상의 임의의 유체가 DIW 유입 (474) 과 혼합된다. 이 때, 웨이퍼 표면을 향하여 적용되는 DIW 유입 (474) 은 IPA 증기 유입 (460) 과 마주친다. IPA 증기 유입은 DIW 유입 (474) 과 (IPA 증기/DIW 계면 (478) 으로도 언급되는) 계면 (478) 을 형성하고, 진공 (472) 과 함께 기판 (200) 표면으로부터 임의의 다른 유체와 함께 DIW 유입 (474) 의 제거를 돕는다. IPA 증기/DIW 계면 (478) 은 DIW 의 팽창면 (surface of tension) 을 감소시킨다. 그 작용을 살펴보면, 기판 표면을 향하여 DIW 가 적용되고, 이는 소스 유출구 (464) 에 의해 적용된 진공에 의해 기판 표면 상의 유체와 함께 거의 즉시 제거된다. 기판 표면을 향하여 적용되며, 기판 표면 상의 임의의 유체와 함께 기판 표면과 근접 헤드 사이의 영역에 잠시 동안 존재하는 DIW 는 메니스커스 (476) 를 형성하는데, 이 메니스커스 (476) 의 윤곽은 IPA 증기/DIW 계면 (478) 이다. 그러므로, 메니스커스 (476) 는, 기판 표면을 향하여 적용되며, 기판 표면 상의 임의의 유체와 실질적으로 동시에 제거되는 일정한 유체 흐름이다. 기판 표면으로부터의 DIW 의 거의 즉각적인 제거는, 처리되는 기판 표면의 영역 상의 유체 물방울 (droplet) 의 형성을 방지하고, 그에 따라 기판 (200) 상의 오염 건조의 가능성을 감소시킨다. 또한, IPA 증기의 하향 분사의 (IPA 증기의 유량에 의해 야기되는) 압력은 메니스커스 (476) 의 포함을 돕는다.
IPA 증기에 대한 N2 캐리어 가스의 유량은, 근접 헤드와 기판 표면 사이의 영역으로부터, 근접 헤드로부터 유체가 유출될 수도 있는 소스 유출구 (464) 로 유수 (water flow) 의 푸시나 시프트의 야기를 돕는다. 그러므로, IPA 증기 및 DIW 가 소스 유출구 (464) 로 풀링됨에 따라, IPA 증기/DIW 계면 (478) 을 이루는 경계는 연속적인 경계가 아닌데, 그 이유는 가스 (예를 들어, 에어) 가 유체와 함께 소스 유출구 (464) 로 풀링되기 때문이다. 일 실시형태에 있어서, 소스 유출구 (464) 로부터의 진공이 기판 표면 상의 유체, IPA 증기 및 DIW 를 풀링함에 따라, 소스 유출구 (464) 로의 흐름은 불연속적이다. 이러한 흐름의 불연속성은, 유체와 가스의 조합에 대해 진공이 가해지는 경우에 스트로를 통해 유체와 가스가 풀링되는 것과 유사하다. 그 결과, 근접 헤드 (450) 가 이동함에 따라, 근접 헤드와 함께 메니스커스 (476) 도 이동하고, IPA 증기/DIW 계면 (478) 의 이동으로 인해 메니스커스 (476) 에 의해 이전에 점유된 영역이 처리 및 건조된다. 또한, 원하는 메니스커스 크기와 형상 및 장치의 구성에 종속하여, 임의의 적합한 개수의 소스 유입구 (462), 소스 유출구 (464) 및 소스 유입구 (466) 가 이용될 수도 있다는 것이 이해되어야 한다. 또다른 실시형태에 있어서, 유체 유량 및 진공 유량은, 진공 유출구로의 전체 유체 흐름이 연속적이어서 진공 유출구로 가스가 흐르지 않도록 이루어진다.
메니스커스 (476) 가 유지될 수 있는 한, IPA 증기, DIW 및 진공에 대해 임의의 적합한 유량이 이용될 수도 있다는 것이 인식되어야 한다. 일 실시형태에 있어서, 소스 유입구 (466) 세트를 통한 DIW 의 유량은 약 25 ㎖/분과 약 3,000 ㎖/분 사이에 있다. 소스 유입구 (466) 세트를 통한 DIW 의 유량은 약 400 ㎖/분일 수 있다. 근접 헤드의 크기에 종속하여 유체의 유량이 변할 수도 있다는 것이 이해되어야 한다. 일 실시형태에 있어서, 보다 큰 헤드는 그 보다 작은 근접 헤드보다 많은 유체 유량을 가질 수도 있다. 이는, 일 실시형태에 있어서 보다 큰 근접 헤드가 보다 많은 소스 유입구 (462 및 466) 및 소스 유출구 (464) 를 가지며 보다 큰 헤드에 대해 보다 많은 흐름이 있기 때문에, 발생할 수도 있다.
소스 유입구 (462) 세트를 통한 IPA 증기의 유량은 약 1 SCFH (Standard Cubic Feet per Hour) 와 약 100 SCFH 사이에 있을 수 있다. IPA 증기 유량은 약 5 SCFH 와 50 SCFH 사이에 있다. 소스 유출구 (464) 세트를 통한 진공에 대한 유량은 약 10 SCFH 와 약 1,250 SCFH 사이에 있다. 바람직한 실시형태에 있어서, 소스 유출구 (464) 세트를 통한 진공에 대한 유량은 약 350 SCFH 이다. 대표적인 실시형태에 있어서, IPA 증기, DIW 및 진공의 유량을 측정하는데 유량계가 이용될 수도 있다.
도 5 는 본 발명의 일 실시형태에 따른 모듈러 처리 툴 (500) 의 단순화된 개략도이다. 모듈러 처리 툴 (500) 은 다수의 처리 모듈 (512 내지 520), 공통 이송 챔버 (510) 및 입/출력 모듈 (502) 을 포함한다. 다수의 처리 모듈 (512 내지 520) 은 하나 이상의 저압 처리 챔버 및 대기압 처리 챔버를 포함할 수 있다. 하나 이상의 저압 처리 챔버는, 대기압 미만의 압력 내지 약 10 mTorr 미만의 진공의 범위 내의 작동 압력을 갖는다. 저압 처리 챔버는, 퇴적 챔버, 혼합기를 포함한 구리 도금 챔버, 플라즈마 챔버를 포함한 2 이상의 저압 처리 챔버를 포함할 수 있다. 대기압 처리 챔버는 하나 이상의 에칭/제거 챔버를 포함할 수 있다. 또한, 모듈러 처리 툴 (500) 은, 입/출력 모듈 (502), 공통 이송 챔버 (510) 및 다수의 처리 모듈 (512 내지 520) 의 각각에서의 동작을 제어할 수 있는 제어기 (530) 도 포함한다. 제어기 (530) 는, 입/출력 모듈 (502), 공통 이송 챔버 (510) 및 다수의 처리 모듈 (512 내지 520) 의 각각에서의 동작에 대한 각종 파라미터를 포함하는 하나 이상의 레시피 (532) 를 포함할 수 있다.
다수의 처리 모듈 (512 내지 520) 중 하나 이상은, 에칭 동작, 클리닝/세정/건조 동작, 플라즈마 동작 및 비-알칼리성 무전해 구리 도금 동작을 지원할 수 있다. 예로서, 처리 모듈 (518) 은 플라즈마 챔버일 수 있고, 처리 모듈 (520) 은 구리 도금 챔버 (예를 들어, 도금 처리 툴 (400)) 일 수 있고, 처리 모듈 (512) 은 에칭/제거 챔버일 수 있고, 처리 모듈 (514) 은 전술한 바와 같이 배리어 층 또는 BARC 층 또는 촉매층을 퇴적시키기에 적합한 퇴적 챔버일 수 있다.
공통 이송 챔버 (510) 는, 이송 챔버 (510) 의 제어된 환경에서 (예를 들어, 낮은 산소 및 낮은 수증기 레벨에서) 유지되면서, 하나 이상의 기판 (200) 이 처리 모듈 (512 내지 520) 의 각각으로부터/으로 이송되는 것을 허용할 수 있다. 예로서, 이송 챔버 (510) 는 원하는 압력 (예를 들어, 대기압 초과 또는 미만, 진공), 원하는 온도, 선택된 가스 (예를 들어, 약 2 ppm 미만의 산소 농도를 유지하면 서, 아르곤, 질소, 헬륨 등) 로 유지될 수 있다.
플라즈마 챔버 (518) 는 종래의 플라즈마 챔버 또는 다운스트림 플라즈마 챔버일 수 있다. 도 6 은 본 발명의 일 실시형태에 따른 대표적인 다운스트림 플라즈마 챔버 (600) 의 단순화된 개략도이다. 다운스트림 플라즈마 챔버 (600) 는 처리 챔버 (602) 를 포함할 수 있다. 처리 챔버 (602) 는, 처리 챔버 (602) 에서 처리되는 기판 (200) 을 지지하기 위한 지지체 (630) 를 포함한다. 또한, 처리 챔버 (602) 는, 플라즈마 (604A) 가 발생되는 플라즈마 챔버 (604) 도 포함한다. 플라즈마 챔버 (604) 에 연결된 가스 소스 (606) 는 플라즈마 (604A) 의 발생에 이용되는 가스를 제공한다. 플라즈마 (604A) 는, 도관 (612) 을 통해 플라즈마 챔버 (604) 로부터 처리 챔버 (602) 로 수송되는 라디칼 (620) 을 생성한다. 또한, 처리 챔버 (602) 는, 기판 (200) 에 걸쳐 라디칼 (620) 을 실질적으로 균일하게 분산시키는 분산 디바이스 (예를 들어, 샤워헤드 ; 614) 도 포함할 수 있다. 다운스트림 플라즈마 챔버 (600) 는, 플라즈마 (604A) 의 비교적 높은 전위 및 온도에 기판 (200) 을 노출시키지 않으면서 라디칼 (620) 을 발생시킨다.
전술한 실시형태를 고려하면, 본 발명은 컴퓨터 시스템에 저장된 데이터를 포함한 각종 컴퓨터-구현 동작을 채택할 수도 있다는 것이 이해되어야 한다. 이들 동작은 물리량의 물리적 조종을 필요로 하는 동작이다. 일반적으로, 필수적이지는 않지만, 이들 물리량은 저장, 전달, 합성, 비교 및 다른 방식으로 조정가능한 전기적 또는 자기적 신호 형태를 취한다. 또한, 수행되는 조종은 종종 생성, 식별, 결정 또는 비교와 같은 항목으로 언급된다.
본 발명의 일부를 형성하는 본 명세서에 기재된 임의의 단계는 유용한 머신 동작이다. 또한, 본 발명은 이들 단계를 수행하기 위한 장치나 디바이스에 관한 것이다. 이 장치는 요구된 목적을 위해 특별 구성될 수도 있고, 또는 컴퓨터에 저장된 컴퓨터 프로그램에 의해 선택적으로 시동 또는 구성되는 범용 컴퓨터일 수도 있다. 특히, 본 발명의 사상에 따라 기록된 컴퓨터 프로그램과 함께 각종 범용 머신이 이용될 수도 있고, 또는 필요한 동작을 수행하도록 보다 전문 장치를 구성하는 것이 보다 편리할 수도 있다.
또한, 본 발명은 컴퓨터 판독가능 매체 상에 컴퓨터 판독가능 코드로서 수록될 수 있다. 컴퓨터 판독가능 매체는, 컴퓨터 시스템에 의해 이후에 판독될 수 있는 데이터를 저장할 수 있는 임의의 데이터 저장 디바이스이다. 컴퓨터 판독가능 매체의 예로는, 하드 드라이브, NAS (Network Attached Storage), ROM, RAM, CD-ROM, CD-R, CD-RW, 자기 테이프, 및 다른 광학 및 비-광학 데이터 저장 디바이스가 포함된다. 또한, 컴퓨터 판독가능 매체는 네트워크 연결된 컴퓨터 시스템에 걸쳐 분산되어, 분산 방식으로 컴퓨터 판독가능 코드가 저장 및 실행될 수 있다.
또한, 도면에서의 단계로 표현된 명령어는 예시된 순서로 수행될 필요는 없고, 이들 단계로 표현된 모든 처리는 본 발명을 실시하는데 반드시 필요하지 않을 수도 있다는 것이 인식될 것이다. 또한, 임의의 도면에 기재된 처리는, 임의의 RAM, ROM 또는 하드디스크 드라이브 중 하나 또는 이들의 조합에 저장된 소프트웨어로 구현될 수 있다.
전술한 발명이 명쾌한 이해를 목적으로 얼마간 상세하게 기재되었지만, 특허청구범위의 범위 내에서 어떤 변경 및 변형이 실시될 수도 있다는 것은 자명하다. 따라서, 본 실시형태는 제한이 아니라 예시적인 것으로서 고려되어야 하고, 본 발명은 본 명세서에 제공된 상세에 한정되는 것이 아니라, 특허청구범위의 범위 및 그 등가의 범위 내에서 변경될 수도 있다.

Claims (20)

  1. 기판 상에 구리를 형성하는 방법으로서,
    혼합기로 구리 소스 용액을 투입하는 단계;
    상기 혼합기로 환원 용액을 투입하는 단계;
    상기 구리 소스 용액과 상기 환원 용액을 혼합하여, 약 6.5 보다 높은 pH 를 갖는 도금 용액을 형성하는 단계; 및
    촉매층을 포함한 상기 기판에 상기 도금 용액을 도포하는 단계를 포함하고,
    상기 기판에 상기 도금 용액을 도포하는 단계는, 상기 촉매층 상에 구리를 형성하는 단계를 포함하는, 기판 상에 구리를 형성하는 방법.
  2. 제 1 항에 있어서,
    상기 도금 용액은, 상기 기판에 상기 도금 용액을 도포하는 것과 실질적으로 동시에 생성되는, 기판 상에 구리를 형성하는 방법.
  3. 제 1 항에 있어서,
    상기 도금 용액은, 약 7.2 와 약 7.8 사이의 pH 를 갖는, 기판 상에 구리를 형성하는 방법.
  4. 제 1 항에 있어서,
    상기 촉매층 상에 구리를 형성하는 단계 이후에, 상기 도금 용액을 폐기하는 단계를 더 포함하는, 기판 상에 구리를 형성하는 방법.
  5. 제 1 항에 있어서,
    상기 기판은 패터닝된 포토레지스트층을 포함하고, 상기 패터닝된 포토레지스트층은 상기 촉매층의 제 1 부분을 노출하고, 상기 기판에 상기 도금 용액을 도포하는 단계는 상기 촉매층의 상기 제 1 부분 상에 구리를 형성하는 단계를 포함하는, 기판 상에 구리를 형성하는 방법.
  6. 제 5 항에 있어서,
    상기 기판으로부터 상기 도금 용액을 제거하는 단계;
    상기 기판을 세정 (rinsing) 하는 단계; 및
    상기 기판을 건조하는 단계를 더 포함하는, 기판 상에 구리를 형성하는 방법.
  7. 제 6 항에 있어서,
    상기 패터닝된 포토레지스트층을 제거하는 단계로서, 상기 패터닝된 포토레지스트층을 제거하는 단계는 상기 촉매층의 제 2 부분을 노출하는, 상기 패터닝된 포토레지스트층을 제거하는 단계; 및
    상기 촉매층의 상기 제 2 부분을 제거하는 단계를 더 포함하는, 기판 상에 구리를 형성하는 방법.
  8. 제 5 항에 있어서,
    상기 도금 용액은 보호되지 않은 포토레지스트와 융화성이 있는 (compatible), 기판 상에 구리를 형성하는 방법.
  9. 제 1 항에 있어서,
    상기 촉매층 상에 형성된 구리는 실질적으로 구리 원소 (elemental copper) 이고, 상기 촉매층 상에 형성된 구리에는 실질적으로 수소 함유물이 없는, 기판 상에 구리를 형성하는 방법.
  10. 제 1 항에 있어서,
    상기 촉매층 상에 형성된 구리는, 약 500 옹스트롬/분보다 빠른 속도로 형성되는, 기판 상에 구리를 형성하는 방법.
  11. 제 1 항에 있어서,
    상기 구리 소스 용액은,
    산화 구리 소스;
    착화제 (complexing agent);
    pH 조절제; 및
    할로겐화물 이온을 포함하는, 기판 상에 구리를 형성하는 방법.
  12. 기판 상에 패터닝된 구리 구조체를 형성하는 방법으로서,
    기판을 수용하는 단계로서, 상기 기판은 그 위에 형성된 촉매층, 및 상기 촉매층 상에 형성된 패터닝된 포토레지스트층을 포함하고, 상기 패터닝된 포토레지스트층은 상기 촉매층의 제 1 부분을 노출하고, 상기 패터닝된 포토레지스트층은 상기 촉매층의 제 2 부분을 커버하는, 상기 기판 수용 단계;
    혼합기로 구리 소스 용액을 투입하는 단계;
    상기 혼합기로 환원 용액을 투입하는 단계;
    상기 구리 소스 용액과 상기 환원 용액을 혼합하여, 약 7.2 와 약 7.8 사이의 pH 를 갖는 도금 용액을 형성하는 단계; 및
    상기 기판에 상기 도금 용액을 도포하는 단계를 포함하고,
    상기 기판에 상기 도금 용액을 도포하는 단계는, 상기 촉매층의 상기 제 1 부분 상에 구리를 형성하는 단계를 포함하는, 기판 상에 패터닝된 구리 구조체를 형성하는 방법.
  13. 저압 처리 챔버;
    대기압 처리 챔버;
    상기 저압 처리 챔버 및 상기 대기압 처리 챔버의 각각에 연결된 이송 챔버로서, 상기 이송 챔버는 제어된 환경을 포함하고, 상기 이송 챔버는 상기 저압 처 리 챔버로부터 상기 대기압 처리 챔버로 기판을 이송하기 위해 상기 제어된 환경을 제공하는, 상기 이송 챔버; 및
    상기 저압 처리 챔버, 상기 대기압 처리 챔버 및 상기 이송 챔버에 연결된 제어기로서, 상기 제어기는 상기 저압 처리 챔버, 상기 대기압 처리 챔버 및 상기 이송 챔버의 각각을 제어하기 위한 로직을 포함하는, 상기 제어기를 포함하는, 처리 툴.
  14. 제 13 항에 있어서,
    상기 저압 처리 챔버는, 하나 이상의 플라즈마 에칭/제거 챔버를 포함한 2 이상의 저압 처리 챔버들을 포함하고, 상기 대기압 처리 챔버는 구리 도금 챔버를 포함하는, 처리 툴.
  15. 제 14 항에 있어서,
    상기 구리 도금 챔버는 혼합기를 포함하는, 처리 툴.
  16. 제 14 항에 있어서,
    상기 플라즈마 챔버는 다운스트림 플라즈마 챔버인, 처리 툴.
  17. 제 14 항에 있어서,
    상기 에칭/제거 챔버는 습식 처리 챔버인, 처리 툴.
  18. 제 13 항에 있어서,
    상기 이송 챔버는 입/출력 모듈을 포함하는, 처리 툴.
  19. 제 13 항에 있어서,
    상기 제어기는,
    구리 도금 챔버로 패터닝된 기판을 로딩하기 위한 로직;
    혼합기로 구리 소스 용액을 투입하기 위한 로직;
    상기 혼합기로 환원 용액을 투입하기 위한 로직;
    상기 구리 소스 용액과 상기 환원 용액을 혼합하여, 약 6.5 보다 높은 pH 를 갖는 도금 용액을 형성하기 위한 로직; 및
    촉매층을 포함한 상기 패터닝된 기판에 상기 도금 용액을 도포하기 위한 로직을 포함한 레시피를 포함하고,
    상기 패터닝된 기판에 상기 도금 용액을 도포하는 것은 상기 촉매층 상에 구리를 형성하는 것을 포함하는, 처리 툴.
  20. 제 19 항에 있어서,
    상기 패터닝된 기판은 상기 촉매층 상에 형성된 패터닝된 포토레지스트층을 포함하고, 상기 패터닝된 포토레지스트층은 상기 촉매층의 제 1 부분을 노출하고, 상기 패터닝된 포토레지스트층은 상기 촉매층의 제 2 부분을 커버하는, 처리 툴.
KR1020087004988A 2005-08-31 2006-08-31 무전해 구리 도금을 통해 패터닝된 구리선을 형성하기 위한시스템 및 방법 KR101385419B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US71349405P 2005-08-31 2005-08-31
US60/713,494 2005-08-31
US11/461,415 US20070048447A1 (en) 2005-08-31 2006-07-31 System and method for forming patterned copper lines through electroless copper plating
US11/461,415 2006-07-31
PCT/US2006/034555 WO2007028156A2 (en) 2005-08-31 2006-08-31 System and method for forming patterned copper lines through electroless copper plating

Publications (2)

Publication Number Publication Date
KR20080041226A true KR20080041226A (ko) 2008-05-09
KR101385419B1 KR101385419B1 (ko) 2014-04-25

Family

ID=37804525

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020087004988A KR101385419B1 (ko) 2005-08-31 2006-08-31 무전해 구리 도금을 통해 패터닝된 구리선을 형성하기 위한시스템 및 방법

Country Status (5)

Country Link
US (2) US20070048447A1 (ko)
JP (1) JP5043014B2 (ko)
KR (1) KR101385419B1 (ko)
TW (2) TWI352402B (ko)
WO (1) WO2007028156A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150121678A (ko) * 2014-04-21 2015-10-29 램 리써치 코포레이션 포토레지스트 웨이퍼 프로세싱을 위한 사전처리 방법

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7592259B2 (en) 2006-12-18 2009-09-22 Lam Research Corporation Methods and systems for barrier layer surface passivation
US7297190B1 (en) * 2006-06-28 2007-11-20 Lam Research Corporation Plating solutions for electroless deposition of copper
US7306662B2 (en) * 2006-05-11 2007-12-11 Lam Research Corporation Plating solution for electroless deposition of copper
US8298325B2 (en) * 2006-05-11 2012-10-30 Lam Research Corporation Electroless deposition from non-aqueous solutions
US7752996B2 (en) * 2006-05-11 2010-07-13 Lam Research Corporation Apparatus for applying a plating solution for electroless deposition
JP4755573B2 (ja) * 2006-11-30 2011-08-24 東京応化工業株式会社 処理装置および処理方法、ならびに表面処理治具
US8026605B2 (en) * 2006-12-14 2011-09-27 Lam Research Corporation Interconnect structure and method of manufacturing a damascene structure
US7749893B2 (en) * 2006-12-18 2010-07-06 Lam Research Corporation Methods and systems for low interfacial oxide contact between barrier and copper metallization
US7794530B2 (en) * 2006-12-22 2010-09-14 Lam Research Corporation Electroless deposition of cobalt alloys
US7521358B2 (en) * 2006-12-26 2009-04-21 Lam Research Corporation Process integration scheme to lower overall dielectric constant in BEoL interconnect structures
US8058164B2 (en) * 2007-06-04 2011-11-15 Lam Research Corporation Methods of fabricating electronic devices using direct copper plating
US8673769B2 (en) * 2007-06-20 2014-03-18 Lam Research Corporation Methods and apparatuses for three dimensional integrated circuits
US8877565B2 (en) * 2007-06-28 2014-11-04 Intel Corporation Method of forming a multilayer substrate core structure using sequential microvia laser drilling and substrate core structure formed according to the method
JP4971078B2 (ja) * 2007-08-30 2012-07-11 東京応化工業株式会社 表面処理装置
US20110052797A1 (en) * 2009-08-26 2011-03-03 International Business Machines Corporation Low Temperature Plasma-Free Method for the Nitridation of Copper
JP2011129568A (ja) * 2009-12-15 2011-06-30 Tdk Corp 電子部品の製造方法及び電子部品
JP2011134875A (ja) * 2009-12-24 2011-07-07 Tdk Corp 電子部品の製造方法
JP5492140B2 (ja) * 2011-04-28 2014-05-14 名古屋メッキ工業株式会社 高分子繊維材料のめっき方法及び高分子繊維材料の製造方法並びに被めっき用高分子繊維材料
EP2672520B1 (en) * 2012-06-06 2018-07-04 SEMIKRON Elektronik GmbH & Co. KG Method for electroless deposition of a copper layer, electroless deposited copper layer and semiconductor component comprising said electroless deposited copper layer
US9865501B2 (en) * 2013-03-06 2018-01-09 Lam Research Corporation Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer
SG11201704100RA (en) * 2014-11-12 2017-06-29 Ontos Equipment Systems Simultaneous hydrophilization of photoresist surface and metal surface preparation: methods, systems, and products
US10535566B2 (en) 2016-04-28 2020-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US10443146B2 (en) 2017-03-30 2019-10-15 Lam Research Corporation Monitoring surface oxide on seed layers during electroplating
US10425704B2 (en) * 2017-10-24 2019-09-24 Landis+Gyr Innovations, Inc. Radio and advanced metering device
JP7063101B2 (ja) * 2018-05-11 2022-05-09 住友電気工業株式会社 プリント配線板及びプリント配線板の製造方法
WO2020255739A1 (ja) * 2019-06-17 2020-12-24 東京エレクトロン株式会社 基板処理方法および基板処理装置

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS56120943A (en) * 1980-02-29 1981-09-22 Hitachi Ltd Manufacture of ph-detecting electrode
US4565575A (en) * 1984-11-02 1986-01-21 Shiplay Company Inc. Apparatus and method for automatically maintaining an electroless plating bath
JPS61149479A (ja) * 1984-12-25 1986-07-08 Toshiba Corp 化学銅めつき廃液の処理方法
JP3089961B2 (ja) * 1994-12-27 2000-09-18 松下電工株式会社 セラミック基板の銅メタライズ法
US5741626A (en) * 1996-04-15 1998-04-21 Motorola, Inc. Method for forming a dielectric tantalum nitride layer as an anti-reflective coating (ARC)
US6424068B2 (en) * 1997-06-27 2002-07-23 Asahi Kogaku Kogyo Kabushiki Kaisha Galvano mirror unit
US6117784A (en) * 1997-11-12 2000-09-12 International Business Machines Corporation Process for integrated circuit wiring
US6204168B1 (en) * 1998-02-02 2001-03-20 Applied Materials, Inc. Damascene structure fabricated using a layer of silicon-based photoresist material
JPH11236679A (ja) * 1998-02-20 1999-08-31 Fuji Film Olin Kk 金属薄膜パターン形成装置
JPH11236678A (ja) * 1998-02-20 1999-08-31 Fuji Film Olin Kk 金属薄膜パターン形成装置
JP3032503B2 (ja) * 1998-07-10 2000-04-17 松下電器産業株式会社 半導体装置の製造方法
EP0991115A1 (en) * 1998-09-28 2000-04-05 STMicroelectronics S.r.l. Process for the definition of openings in a dielectric layer
JP2001011643A (ja) * 1999-06-25 2001-01-16 Inoac Corp 不導体のめっき方法
JP2001085397A (ja) * 1999-09-10 2001-03-30 Toshiba Corp パターン形成方法
US6559070B1 (en) * 2000-04-11 2003-05-06 Applied Materials, Inc. Mesoporous silica films with mobile ion gettering and accelerated processing
JP4895420B2 (ja) * 2000-08-10 2012-03-14 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US7253124B2 (en) * 2000-10-20 2007-08-07 Texas Instruments Incorporated Process for defect reduction in electrochemical plating
KR100798437B1 (ko) * 2000-12-04 2008-01-28 가부시키가이샤 에바라 세이사꾸쇼 기판처리방법
JP3772973B2 (ja) * 2000-12-11 2006-05-10 株式会社荏原製作所 無電解めっき装置
JP2002237486A (ja) * 2001-02-08 2002-08-23 Tokyo Electron Ltd プラズマ処理装置およびプラズマ処理方法
KR100421036B1 (ko) * 2001-03-13 2004-03-03 삼성전자주식회사 웨이퍼 처리 장치 및 이를 이용한 웨이퍼 처리 방법
JP4595237B2 (ja) * 2001-04-27 2010-12-08 日立金属株式会社 銅めっき液および銅めっき方法
JP2002348673A (ja) * 2001-05-24 2002-12-04 Learonal Japan Inc ホルムアルデヒドを使用しない無電解銅めっき方法および該方法に使用される無電解銅めっき液
JP2002361787A (ja) 2001-06-04 2002-12-18 Kansai Paint Co Ltd 高意匠性金属サイディング構造
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US6875474B2 (en) * 2001-11-06 2005-04-05 Georgia Tech Research Corporation Electroless copper plating solutions and methods of use thereof
JP3847611B2 (ja) 2001-11-20 2006-11-22 日新製鋼株式会社 耐跡残り性,加工性に優れたクリア塗装ステンレス鋼板
US6645567B2 (en) * 2001-12-19 2003-11-11 Intel Corporation Electroless plating bath composition and method of using
JP2004115885A (ja) * 2002-09-27 2004-04-15 Tokyo Electron Ltd 無電解メッキ方法
US7293571B2 (en) * 2002-09-30 2007-11-13 Lam Research Corporation Substrate proximity processing housing and insert for generating a fluid meniscus
US7153400B2 (en) * 2002-09-30 2006-12-26 Lam Research Corporation Apparatus and method for depositing and planarizing thin films of semiconductor wafers
JP3864138B2 (ja) * 2002-12-19 2006-12-27 株式会社荏原製作所 基板の銅配線形成方法
US7256120B2 (en) * 2004-12-28 2007-08-14 Taiwan Semiconductor Manufacturing Co. Method to eliminate plating copper defect
US20060246699A1 (en) * 2005-03-18 2006-11-02 Weidman Timothy W Process for electroless copper deposition on a ruthenium seed
CN1901163B (zh) * 2005-07-22 2011-04-13 米辑电子股份有限公司 连续电镀制作线路组件的方法及线路组件结构
US20070099422A1 (en) * 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
IL175011A (en) * 2006-04-20 2011-09-27 Amitech Ltd Coreless cavity substrates for chip packaging and their fabrication
US7682972B2 (en) * 2006-06-01 2010-03-23 Amitec-Advanced Multilayer Interconnect Technoloiges Ltd. Advanced multilayer coreless support structures and method for their fabrication
US9469912B2 (en) * 2014-04-21 2016-10-18 Lam Research Corporation Pretreatment method for photoresist wafer processing

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150121678A (ko) * 2014-04-21 2015-10-29 램 리써치 코포레이션 포토레지스트 웨이퍼 프로세싱을 위한 사전처리 방법

Also Published As

Publication number Publication date
US20150034589A1 (en) 2015-02-05
JP5043014B2 (ja) 2012-10-10
JP2009507135A (ja) 2009-02-19
TW201041091A (en) 2010-11-16
US20070048447A1 (en) 2007-03-01
WO2007028156A3 (en) 2009-05-22
TW200721380A (en) 2007-06-01
TWI419258B (zh) 2013-12-11
TWI352402B (en) 2011-11-11
KR101385419B1 (ko) 2014-04-25
WO2007028156A2 (en) 2007-03-08

Similar Documents

Publication Publication Date Title
KR101385419B1 (ko) 무전해 구리 도금을 통해 패터닝된 구리선을 형성하기 위한시스템 및 방법
CN101541439B (zh) 用于通过化学镀铜形成图案化铜线条的***和方法
KR100661194B1 (ko) 플라즈마 처리에 의한 기판으로부터의 산화물 또는 다른 환원가능한 오염물의 제거 방법
KR100904105B1 (ko) 반도체 장치의 제조 방법
US20080003830A1 (en) Reducing contamination of semiconductor substrates during beol processing by providing a protection layer at the substrate edge
KR100870997B1 (ko) 저 유전율막의 데미지 수복 방법, 반도체 제조 장치, 및기억 매체
US20170278698A1 (en) Semiconductor Device Manufacturing Method and Semiconductor Device Manufacturing System
KR101283307B1 (ko) 호환성 화학물을 이용하는 기판 브러시 스크러빙과 근접 세정-건조 시퀀스, 근접 기판 준비 시퀀스, 및 이를 구현하기 위한 방법, 장치, 및 시스템
JP2008098418A (ja) 基板処理方法および基板処理システム、ならびにコンピュータ読取可能な記憶媒体
US7556970B2 (en) Method of repairing damaged film having low dielectric constant, semiconductor device fabricating system and storage medium
US8870164B2 (en) Substrate processing method and storage medium
US6979633B2 (en) Method of manufacturing semiconductor device
US6358329B1 (en) Resist residue removal apparatus and method
WO2022085449A1 (ja) 基板処理方法、及び基板処理装置
CN111133568A (zh) 用于防止蚀刻重分布层的重分布层制造的***和方法
US20210062330A1 (en) Selective cobalt deposition on copper surfaces
TW201903966A (zh) 自對準通孔處理流程
JP4378234B2 (ja) エッチング方法
US20230415204A1 (en) Wet cleaning tool and method
KR100478203B1 (ko) 반도체 제조공정의 절연막의 화학적 물리적 평탄화 장치및 방법
JP2023515065A (ja) コア除去
JP2003243335A (ja) レジスト除去方法およびレジスト除去装置
JP2024519462A (ja) 処理ツールにおける統合型大気プラズマ処理ステーション
US8846528B2 (en) Method of modifying a low k dielectric layer having etched features and the resulting product
CN117894748A (zh) 一种半导体结构及半导体工艺方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20170330

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20180328

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20190327

Year of fee payment: 6