KR20230062782A - 플라즈마를 사용하여 실리콘 및 산소를 포함한 재료의 선택적 증착 - Google Patents

플라즈마를 사용하여 실리콘 및 산소를 포함한 재료의 선택적 증착 Download PDF

Info

Publication number
KR20230062782A
KR20230062782A KR1020220138314A KR20220138314A KR20230062782A KR 20230062782 A KR20230062782 A KR 20230062782A KR 1020220138314 A KR1020220138314 A KR 1020220138314A KR 20220138314 A KR20220138314 A KR 20220138314A KR 20230062782 A KR20230062782 A KR 20230062782A
Authority
KR
South Korea
Prior art keywords
silicon
oxygen
reaction chamber
catalyst
providing
Prior art date
Application number
KR1020220138314A
Other languages
English (en)
Inventor
비라지 마디왈라
다니엘레 치아뻬
에바 토이스
마르코 투오미넨
찰스 데젤라
샤오렌 뎅
아니루단 찬드라세카란
한용규
마이클 기븐스
안드레아 일리베리
빈센트 반달론
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20230062782A publication Critical patent/KR20230062782A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02145Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing aluminium, e.g. AlSiOx
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/18Vacuum control means
    • H01J2237/182Obtaining or maintaining desired pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Analytical Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

주기적 증착 공정에 의해 기판의 제2 표면에 대해 기판의 제1 표면 상에 실리콘 및 산소를 포함한 재료를 선택적으로 증착하는 방법 및 기상 증착 어셈블리가 개시된다. 본 방법은, 반응 챔버 내에 기판을 제공하는 단계, 금속 또는 준금속 촉매를 기상으로 반응 챔버에 제공하는 단계, 알콕시 실란 화합물을 포함한 실리콘 전구체를 기상으로 반응 챔버 내에 제공하는 단계, 그리고 플라즈마를 반응 챔버 내에 제공하여 제1 표면 상에 실리콘 및 산소를 포함한 재료를 형성하는 단계를 포함한다. 본 방법은, 예를 들어 재료 성분의 비율을 조절하기 위한 서브사이클을 포함할 수 있다.

Description

플라즈마를 사용하는 실리콘 및 산소를 포함하는 재료의 선택적 증착{SELECTIVE DEPOSITION OF MATERIAL COMPRISING SILICON AND OXYGEN USING PLASMA}
본 개시는 일반적으로 반도체 소자 제조용 방법 및 장치에 관한 것이다. 보다 구체적으로, 본 개시는 실리콘 및 산소를 포함한 재료를 기판 상에 선택적으로 증착하기 위한 방법 및 장치, 그리고 실리콘 및 산소를 포함한 층에 관한 것이다.
반도체 소자 제작 공정은 일반적으로 고급 증착 방법을 사용한다. 패터닝은 반도체 기판 상에 상이한 재료를 증착하는 데 통상적으로 사용된다. 반도체 제조업체 사이에서 관심이 증가하는 선택적 증착은 종래의 패터닝에 필요한 단계의 감소를 가능하게 하여, 처리 비용을 감소시킬 수 있다. 선택적 증착은, 또한 좁은 구조의 스케일링을 향상시킬 수 있다. 선택적 증착을 도입하기 위한 다양한 대안이 제안되었고, 산업 규모의 장치 제조에서 선택적 증착의 사용을 확장하기 위해 추가적인 개선이 필요하다.
실리콘 산화물은, 때때로 추가 원소 및/또는 실리케이트를 함유하는 것이 상이한 응용에서 많이 사용되며, 반도체 산업에서 가장 널리 사용되는 재료 중 하나이다. 따라서, 실리콘 산화물계 재료의 선택적 증착의 개선은 상당히 추구되고 있으며, 반도체 소자 제조를 더욱 신속하고 비용 효율적으로 만드는 데 큰 영향을 미칠 수 있다. 플라즈마 강화 증착 공정은 고품질 반도체 재료를 증착하기 위해 사용될 수 있고, 이들은 종종 열 공정과 비교하면 빠르다. 그러나, 선택적 증착에서 플라즈마의 사용은, 플라즈마가 공정 선택도를 가이드하는 데 사용되는 패시베이션 층을 쉽게 손상시켜 선택도의 상실을 초래하므로, 도전적인 문제로 입증되었다. 실리콘 전구체가 실리콘 산화물을 형성하도록 반응하기 위해서는 전형적으로 강한 산화제가 필요하기 때문에, 실리콘 산화물계 재료의 증착의 경우, 문제가 두드러진다. 이는 결과적으로 주변 구조의 구조적 및/또는 화학적 무결성에 영향을 미칠 수 있으며, 임의의 하부 표면(특히 금속 표면)을 산화시킬 수 있다.
이 부분에 진술된 문제점 및 해결책을 포함한 임의의 논의는, 단지 본 개시에 대한 맥락을 제공하는 목적으로만 본 개시에 포함되었다. 이러한 논의는 임의의 또는 모든 정보가 본 발명이 만들어졌거나 그렇지 않으면 선행 기술을 구성하는 시점에 알려진 것으로 간주되어서는 안된다.
본 발명의 내용은 개념의 선택을 단순화된 형태로 도입할 수 있으며, 이는 이하에서 더욱 상세히 설명될 수 있다. 본 발명의 내용은 청구된 요지의 주된 특징 또는 본질적인 특징을 필수적으로 구분하려는 의도가 아니며 청구된 요지의 범주를 제한하기 위해 사용하려는 의도 또한 아니다.
본 개시의 다양한 구현예는 동일한 기판의 화학적으로 상이한 다른 표면에 대해 기판의 한 표면 상에 실리콘 및 산소를 포함한 재료를 증착하는 방법에 관한 것이다. 본 개시의 구현예는 동일한 기판의 화학적으로 상이한 다른 표면에 대해 기판의 한 표면 상에 실리콘 및 산소를 포함한 재료를 증착하기 위한 기상 증착 어셈블리에 추가로 관한 것이다.
일 양태에서, 주기적 증착 공정에 의해 기판의 제2 표면에 대해 기판의 제1 표면 상에 실리콘 및 산소를 포함한 재료를 선택적으로 증착하는 방법이 개시된다. 상기 방법은, 반응 챔버 내에 기판을 제공하는 단계, 금속 또는 준금속 촉매를 기상으로 반응 챔버에 제공하는 단계, 알콕시 실란 화합물을 포함한 실리콘 전구체를 기상으로 반응 챔버 내에 제공하는 단계, 그리고 플라즈마를 반응 챔버 내에 제공하여 제1 표면 상에 실리콘 및 산소 함유 재료를 형성하는 단계를 포함한다.
일부 구현예에서, 제1 표면은 유전체 표면이다. 일부 구현예에서, 유전체 표면은 실리콘을 포함한다.
일부 구현예에서, 제2 표면은 패시베이션 층을 포함한다. 일부 구현예에서, 패시베이션 층은 유기 중합체 또는 자기 조립 단층(SAM)을 포함한다.
일부 구현예에서, 금속 또는 준금속 촉매는 금속 할라이드, 유기금속 화합물 또는 금속유기 화합물이다. 일부 구현예에서, 금속 또는 준금속 촉매는 금속 촉매이다. 일부 구현예에서, 금속 촉매는, 트리메틸알루미늄(TMA), 디메틸알루미늄클로라이드, 알루미늄 트리클로라이드(AlCl3), 디메틸알루미늄 이소프로폭시드(DMAl), 트리스(터트부틸)알루미늄(TTBA), 트리스(이소프로폭시드)알루미늄(TIPA), 트리스(디메틸아미노) 알루미늄(TDMAA) 또는 트리에틸 알루미늄(TEA)을 포함한다. 일부 구현예에서, 금속 또는 준금속 촉매는, B, Zn, Mg, Mn, La, Hf, Y, Al, Zr, Ti, Sn, Y 또는 Ga를 포함한 금속 또는 준금속 화합물이다. 일부 구현예에서, 금속 또는 준금속 촉매는 준금속 촉매이다. 일부 구현예에서, 촉매는 알킬보란을 포함한다. 일부 구현예에서, 촉매는 트리알킬보란을 포함한다. 일부 구현예에서, 촉매는 트리메틸보란 또는 트리에틸보란을 포함한다.
일부 구현예에서, 기판은 금속 또는 준금속 촉매를 반응 챔버 내에 제공하기 전에 가열된다.
일부 구현예에서, 알콕시실란은 테트라아세톡시실란, 테트라메톡시실란, 테트라에톡시실란, 트리메톡시실란, 트리에톡시실란 및 트리메톡시(3-메톡시프로필)실란으로 이루어진 군으로부터 선택된다.
일부 구현예에서, 플라즈마는 비활성 가스로부터 발생된다. 일부 구현예에서, 상기 비활성 가스는 헬륨, 네온 및 아르곤으로 이루어진 군으로부터 선택된다. 일부 구현예에서, 플라즈마는 추가 원소로부터 추가로 생성된다. 일부 구현예에서, 추가 원소는 수소 및 질소로부터 선택된다. 일부 구현예에서, 추가 원소는 질소이고, 실리콘 및 산소를 포함한 재료는 질소를 추가로 포함한다. 구현예에서, 본 개시에 따라, 증착된 재료는 질소가 재료 내에 존재하더라도, 주로 실리콘 및 산소를 포함할 수 있다.
일부 구현예에서, 플라즈마는 RF 플라즈마이고, 플라즈마 전력은 100 W를 초과하지 않는다. 일부 구현예에서, 플라즈마 이온 에너지는 160 eV를 초과하지 않는다.
일부 구현예에서, 공정은, 금속 또는 준금속 촉매를 반응 챔버 내에 제공한 후, 기상으로 반응 챔버 내로의 제1 산소 반응물을 포함한다.
일부 구현예에서, 공정은, 실리콘 전구체를 반응 챔버 내에 제공하는 것과 적어도 부분적으로 동시에, 기상으로 반응 챔버 내에 제2 산소 반응물을 제공하는 단계를 포함한다.
일부 구현예에서, 제2 표면에 대해 제1 표면 상에 실리콘 및 산소를 포함한 재료의 증착 선택도는 약 50%를 초과한다.
일부 구현예에서, 증착 사이클 동안의 압력은 약 20 토르 미만이다. 일부 구현예에서, 증착 사이클 동안의 압력은 약 10 토르 미만이다. 일부 구현예에서, 증착 사이클 동안의 압력은 약 5 토르 초과이다. 일부 구현예에서, 증착 사이클 동안의 압력은 약 10 토르 미만이다. 일부 구현예에서, 증착 사이클 동안의 압력은 약 3 토르 내지 약 25 토르이다. 일부 구현예에서, 증착 사이클 동안의 압력은 약 2 토르 내지 약 10 토르이다. 일부 구현예에서, 증착 사이클 동안의 압력은 약 2 토르 내지 약 8 토르이다.
일부 구현예에서, 상기 방법은 실리콘 및 산소를 포함한 재료 증착 전에 활성화 처리를 추가로 포함하되, 활성화 처리는 금속 또는 준금속 촉매를 기상으로 반응 챔버에 제공하는 단계; 및 제1 산소 반응물을 기상으로 반응 챔버 내에 제공하는 단계를 포함한다. 활성화 처리의 일부 구현예에서, 금속 또는 준금속 촉매 및 제1 산소 반응물은 반응 챔버 내에 주기적으로 제공된다.
일부 구현예에서, 실리콘 및 산소를 포함한 재료는 실리콘 산화물, 알루미늄 실리케이트 및/또는 실리콘 옥시카바이드를 포함한다.
다른 양태에서, 주기적 증착 공정에 의해 기판의 제2 표면에 대해 기판의 제1 표면 상에 실리콘 및 산소를 포함한 재료를 선택적으로 증착하는 방법이 개시된다. 상기 방법은, 반응 챔버 내에 기판을 제공하는 단계, 금속 또는 준금속 촉매를 기상으로 반응 챔버에 제공하는 단계, 및 실리콘 및 산소 서브사이클을 수행하는 단계를 포함하고, 상기 서브사이클은 교대 순차적으로 알콕시 실란 화합물을 포함한 실리콘 전구체를 기상으로 반응 챔버 내에 제공하는 단계, 및 플라즈마를 반응 챔버 내에 제공하여 제1 표면 상에 실리콘 및 산소를 포함한 재료를 형성하는 단계를 포함한다.
일부 구현예에서, 공정은 금속 또는 준금속 촉매를 반응 챔버 내에 제공하기 전에, 제2 표면을 선택적으로 패시베이션하기 위해 패시베이션제를 기상으로 반응 챔버 내에 제공하는 단계를 포함한다.
일부 구현예에서, 실리콘 및 산소 서브사이클은 한 번 초과로 반복된다. 일부 구현예에서, 금속 또는 준금속 촉매를 반응 챔버 내에 제공하는 단계, 그리고 실리콘 및 산소 서브사이클은 한 번 초과로 반복된다.
다른 양태에서, 주기적 증착 공정에 의해 기판의 제2 표면에 대해 기판의 제1 표면 상에 실리콘 및 산소를 포함한 재료를 선택적으로 증착하는 방법이 개시된다. 상기 방법은 기판을 반응 챔버 내에 제공하는 단계, 금속 산화물 서브사이클을 수행하는 단계를 포함하고, 상기 서브사이클은, 금속 또는 준금속 촉매 및 산소와 수소를 포함한 제1 산소 반응물을 기상으로 상기 반응 챔버 내에 교대 순차적으로 제공하는 단계 및 상기 실리콘 및 산소 서브사이클을 수행하는 단계를 포함하고, 상기 서브사이클은, 교대 순차적으로 알콕시 실란 화합물을 포함한 실리콘 전구체를 기상으로 반응 챔버 내에 제공하는 단계, 및 플라즈마를 반응 챔버 내에 제공하여 제1 표면 상에 실리콘 및 산소를 포함한 재료를 형성하기 위한 반응성 종을 형성하는 단계를 포함한다.
일부 구현예에서, 금속 산화물 서브사이클 그리고 실리콘 및 산소 서브사이클 중 적어도 하나는 다른 서브사이클을 수행하기 전에 한 번 초과로 수행된다.
또 다른 양태에서, 기판의 제2 표면에 대해 기판의 제1 표면 상에 실리콘 및 산소를 포함한 재료를 선택적으로 증착하기 위한 기상 증착 어셈블리가 개시된다. 기상 증착 어셈블리는, 기판을 유지하도록 구성되고 배열된 하나 이상의 반응 챔버, 금속 또는 준금속 촉매, 실리콘 전구체 및 플라즈마를 반응 챔버 내에 기상으로 제공하도록 구성되고 배열된 전구체 인젝터 시스템을 포함한다. 기상 증착 어셈블리는 또한, 금속 또는 준금속 촉매를 함유하도록 구성되고 배열된 제1 반응물 용기, 실리콘 전구체를 함유하도록 구성되고 배열된 제2 반응물 용기, 및 플라즈마 전구체를 함유하도록 구성되고 배열된 제3 반응물 용기를 포함한다. 기상 증착 어셈블리는 금속 또는 준금속 촉매 및 실리콘 전구체를 전구체 인젝터 시스템을 통해 반응 챔버 내로 제공하고, 실리콘 및 산소를 포함한 재료를 기판의 제1 표면 상에 선택적으로 증착하기 위해 반응 챔버 내의 플라즈마 전구체로부터 플라즈마를 생성하도록 구성되고 배열된다.
본 개시에서, 변수의 임의의 두 수치가 상기 변수의 실행 가능한 범위를 구성할 수 있고, 표시된 임의의 범위는 끝점을 포함하거나 배제할 수 있다. 추가적으로, 지시된 변수의 임의의 값은 ("약"으로 표시되는지의 여부에 관계없이) 정확한 값 또는 대략적인 값을 지칭할 수 있고 등가를 포함할 수 있으며, 평균, 중간, 대표, 다수 등을 지칭할 수 있다. 또한, 본 개시에서, 용어 "포함한", "의해 구성되는", 및 "갖는"은 일부 구현예에서 "통상적으로 또는 대략적으로 포함하는", "포함하는", "본질적으로 이루어지는", 또는 "이루어지는"을 독립적으로 지칭한다. 본 개시에서, 임의의 정의된 의미는 일부 구현예에서 반드시 보통의 그리고 관습적인 의미를 배제하는 것은 아니다.
본 개시의 추가 이해를 제공하고 본 명세서의 일부를 구성하기 위해 포함된 첨부 도면은 예시적인 구현예를 도시하며, 설명과 함께 본 개시의 원리를 설명하는 데 도움이 된다. 도면 중,
도 1은 본 개시에 따른 선택적 증착의 개략도이다.
도 2a는 본 개시에 따른 방법의 예시적인 구현예의 블록 다이이그램이다.
도 2b는 본 개시에 따른 방법의 예시적인 구현예의 블록 다이이그램이다.
도 2c는 본 개시에 따른 방법의 예시적인 구현예의 블록 다이이그램이다.
도 2d는 본 개시에 따른 방법의 예시적인 구현예의 블록 다이이그램이다.
도 3은 본 개시에 따른 증착 어셈블리의 개략도이다.
아래에 제공된 방법 및 증착 어셈블리의 구현 예시의 설명은 단지 예시적인 것이고, 예시의 목적으로만 의도된 것이다. 다음의 설명은 본 개시의 범주 또는 청구범위를 제한하려는 것이 아니다. 또한, 특징부를 표시한 다수 구현예를 인용하는 것이 추가적인 특징부를 갖는 다른 구현예 또는 명시된 특징부의 다른 조합을 포함한 다른 구현예를 배제하고자 함이 아니다. 예를 들어, 다양한 구현예가 예시적인 구현예로서 제시되고, 종속된 청구범위에 인용될 수 있다. 달리 언급되지 않는 한, 예시적인 구현예 또는 그의 구성 요소는 조합되거나 서로 별도로 적용될 수 있다. 존재하는 경우, 본원에서 제공된 표제는 단지 편의를 위한 것이며 청구된 발명의 범위 또는 의미에 반드시 영향을 미치지는 않는다.
본원에 설명된 방법에 의해 형성된 실리콘 및 산소를 포함한 재료 및 층은 반도체 산업의 다양한 응용 분야에 사용될 수 있다. 본 개시의 예시적인 구현예는 메모리 및/또는 논리 회로와 같은 전자 소자를 제조하는 데 사용될 수 있다. 보다 구체적으로, 본 개시의 구현예는, 예를 들어 MOS-FET, 메모리 커패시터, 갭필뿐만 아니라 완전하게 정렬된 비아를 가능하게 하는 층간 유전체로서 백-엔드 배선을 포함하는 매우 다양한 반도체 장치에서 사용될 수 있는, 실리콘 및 산소를 포함한 층을 제조하는 데 사용될 수 있다.
실리콘 및 산소를 포함한 재료, 예컨대 실리콘 산화물 또는 금속 실리케이트를 포함한 층은 기판 상의 다른 표면에 대해 특정 표면 상에 본원에 기술된 방법에 의해 선택적으로 증착될 수 있다. 두 표면은 화학적으로 구별되어 선택적 증착을 위한 대조를 제공한다.
일 양태에서, 주기적 증착 공정에 의해 기판의 제2 표면에 대해 기판의 제1 표면 상에 실리콘 및 산소를 포함한 재료를 선택적으로 증착하는 방법이 개시된다. 상기 방법은, 반응 챔버 내에 기판을 제공하는 단계, 금속 또는 준금속 촉매(본 개시에서 "촉매"로 축약됨)를 기상으로 반응 챔버에 제공하는 단계, 알콕시 실란 화합물을 포함한 실리콘 전구체를 기상으로 반응 챔버 내에 제공하는 단계, 그리고 플라즈마를 반응 챔버 내에 제공하여 제1 표면 상에 실리콘 및 산소 함유 재료를 형성하는 단계를 포함한다. 일부 구현예에서, 실리콘 및 산소를 포함한 재료는 실질적으로 실리콘 산화물만을 포함한다. 일부 구현예에서, 실리콘 및 산소를 포함한 재료는 실질적으로 실리콘 디옥사이드만을 포함한다. 일부 구현예에서, 실리콘 및 산소를 포함한 재료는 금속 실리케이트를 포함한다. 일부 구현예에서, 실리콘 및 산소를 포함한 재료는 실리콘 산화물과 금속 실리케이트를 포함한다.
기판
본원에서 사용되는 바와 같이, 용어 "기판"은, 형성하기 위해 사용될 수 있는, 또는 그 위에 소자, 회로, 재료 또는 재료층이 형성될 수 있는, 임의의 하부 재료 또는 재료들을 지칭할 수 있다. 기판은 실리콘(예컨대, 단결정 실리콘)과 같은 벌크 재료, 게르마늄과 같은 다른 IV족 재료, 또는 II-VI족 또는 III-V족 반도체 재료와 같은 다른 반도체 재료를 포함할 수 있다. 기판은 벌크 재료 위에 놓이는 하나 이상의 층을 포함할 수 있다. 또한, 기판은, 기판의 층의 적어도 일부 내에 또는 그 위에 형성된 다양한 토폴로지, 예컨대 오목부, 라인, 상승부 사이의 트렌치 또는 공간, 예컨대 핀 등을 포함한 갭을 포함할 수 있다. 기판은 질화물, 예를 들어 TiN, 산화물, 절연 재료, 유전체 재료, 전도성 재료, 금속, 예컨대 텅스텐, 루테늄, 몰리브덴, 코발트, 알루미늄 또는 구리, 또는 금속성 재료, 결정질 재료, 에피택셜, 헤테로에피택셜, 및/또는 단결정 재료를 포함할 수 있다. 본 개시의 일부 구현예에서, 기판은 실리콘을 포함한다. 기판은 실리콘 이외에, 전술한 바와 같은 다른 재료를 포함할 수 있다. 다른 재료는 층을 형성할 수 있다. 본 개시에 따른 기판은 상이한 재료 특성을 갖는 두 개의 표면을 포함한다.
제1 표면 및 제2 표면
본 개시의 일부 양태에 따라, 선택적 증착은 기판의 제2 표면에 대해 제1 표면 상에 실리콘 및 산소를 포함한 재료를 증착하기 위해 사용될 수 있다. 두 표면은 상이한 재료 특성을 가지며, 즉 화학적으로 구별된다.
일부 구현예에서, 제1 표면은 유전체 표면이다. 일부 구현예에서, 유전체 재료는 저 유전율 재료를 포함한다. 일부 구현예에서, 금속 표면은 저 유전율 표면이다. 일부 구현예에서, 제1 표면은 산화물을 포함한다. 일부 구현예에서, 제1 표면은 질화물을 포함한다. 일부 구현예에서, 제1 표면은 실리콘을 포함한다. 실리콘 함유 유전체 재료 예시는 실리콘 산화물계 재료를 포함하고, 이는 성장되거나 증착된 실리콘 디옥사이드, 도핑되고/도핑되거나 다공성인 산화물, 및 실리콘 상의 자연 산화물를 포함한다. 일부 구현예에서, 제1 표면은 실리콘 산화물을 포함한다. 일부 구현예에서, 제1 표면은 실리콘 산화물 표면, 예컨대 자연 산화물 표면, 열적 산화물 표면 또는 화학적 산화물 표면이다. 일부 구현예에서, 제1 표면은 히드록실(-OH)기를 포함한다. 일부 구현예에서, 제1 표면은 HF에 담긴 Si 표면 또는 HF에 담긴 Ge 표면과 같이 수소(-H) 말단을 추가로 포함할 수 있다. 이러한 구현예에서, 관심 표면은 -H 말단 및 -H 말단 아래의 재료 모두를 포함하는 것으로 간주될 것이다. 일부 구현예에서, 제1 표면은 탄소를 포함한다. 일부 구현예에서, 제1 표면은 SiN을 포함하거나, 이로 필수적으로 구성되거나, 이로 이루어진다. 일부 구현예에서, 제1 표면은 SiOC를 포함하거나, 이로 필수적으로 구성되거나, 이로 이루어진다. 일부 구현예에서, 제1 표면은 에칭 정지 층이다. 에칭 정지 층은, 예를 들어 질화물을 포함할 수 있다.
일부 구현예에서, 유전체 재료는 금속 산화물을 포함한다. 일부 구현예에서, 실리콘 및 산소를 포함한 재료는 제2 표면에 대해 제1 금속 산화물 표면 상에 선택적으로 증착된다. 일부 구현예에서, 제1 표면은 알루미늄 산화물을 포함한다. 일부 구현예에서, 제1 표면은 고 유전율 표면, 예컨대 하프늄 산화물 함유 표면, 란타늄 산화물 함유 표면이다. 일부 구현예에서, 실리콘 및 산소를 포함한 재료는 다른 표면에 대해 금속 산화물을 포함한 제1 표면 상에 선택적으로 증착된다. 금속 산화물 표면은, 예를 들어 텅스텐 산화물(WOx) 표면, 하프늄 산화물(HfOx) 표면, 티타늄 산화물(TiOx) 표면, 알루미늄 산화물(AlOx) 표면 또는 지르코늄 산화물(ZrOx) 표면일 수 있다. 일부 구현예에서, 금속 산화물 표면은 금속성 재료의 산화된 표면이다. 일부 구현예에서, 금속 산화물 표면은 O3, H2O, H2O2, O2, 산소 원자, 플라즈마나 라디컬 또는 이들의 혼합물을 포함하는 화합물과 같은 산소 화합물을 사용하는 금속성 재료의 적어도 표면을 산화시킴으로써 생성된다. 일부 구현예에서, 금속 산화물 표면은 금속성 재료에 형성된 자연 산화물이다.
일부 구현예에서, 실리콘 산화물, 금속 실리케이트 또는 이들의 조합과 같이 실리콘 및 산소를 포함한 재료는, 기판의 제2 전도성(예, 금속 또는 금속성) 표면에 대해 기판의 제1 유전체 표면 상에 선택적으로 증착된다. 일부 구현예에서, 유전체 표면 및 금속 또는 금속성 표면은 서로 인접한다.
일부 구현예에서, 실리콘 산화물, 금속 실리케이트 또는 이들의 조합과 같이 실리콘 및 산소를 포함한 재료는, 상이한 제2 유전체 표면에 대해 기판의 제1 유전체 표면 상에 선택적으로 증착된다. 일부 이러한 구현예에서, 유전체 표면은 상이한 조성(예, 실리콘, 실리콘 질화물, 탄소, 실리콘 산화물, 실리콘 산질화물, 게르마늄 산화물)을 갖는다. 일부 구현예에서, 실릴화제와 같은 패시베이션 차단제는, 제1 표면 상에 패시베이션 층을 증착하기 전에 두 개의 유전체 표면 사이의 대조를 개선하기 위해 사용된다. 일부 구현예에서, 실리콘 및 산소를 포함한 재료는 상이한 제2 유전체 표면에 대해 제1 SiO2 표면 상에 선택적으로 증착된다. 일부 구현예에서, 실리콘 및 산소를 포함한 재료는 상이한 제2 유전체 표면, 예를 들어 HF-침지된 Si 또는 HF-침지된 Ge 표면에 대해 제1 Si 또는 Ge 표면 상에 선택적으로 증착된다.
유전체라는 용어는 다른 표면, 즉 금속 또는 금속성 표면과의 구분을 간략화하기 위해 본 명세서에 사용된다. 당업자는 모든 비전도성 표면이 유전체 표면은 아니라는 것을 이해할 것이다. 예를 들어, 금속 표면 또는 금속성 표면은 전기적으로 비전도성이거나 매우 높은 비저항을 갖는 산화된 금속 표면을 포함할 수 있다. 본원에서 교시된 선택적 증착 공정은, 인접 비전도성 금속 또는 금속성 표면 상에 최소의 증착으로, 유전체 표면 상에 증착될 수 있다.
기판의 일 표면이 금속을 포함하는 구현예의 경우, 표면은 금속 표면으로 지칭된다. 일부 구현예에서, 금속 표면은 하나 이상의 금속으로 필수적으로 구성되거나 이로 구성된다. 금속 표면은 금속 표면 또는 금속성 표면일 수 있다. 일부 구현예에서, 금속 또는 금속성 표면은 금속, 금속 산화물, 및/또는 이들의 혼합물을 포함할 수 있다. 일부 구현예에서, 금속 또는 금속성 표면은 표면 산화를 포함할 수 있다. 일부 구현예에서, 금속 또는 금속성 표면의 금속 또는 금속성 재료는 표면 산화 유무에 상관없이 전기적으로 전도성이다. 일부 구현예에서, 금속 또는 금속성 표면은 하나 이상의 전이금속을 포함한다. 일부 구현예에서, 금속 또는 금속성 표면은 주기율표의 4행으로부터의 하나 이상의 전이 금속을 포함한다. 일부 구현예에서, 금속 또는 금속성 표면은 주기율표의 4족 내지 11족으로부터의 하나 이상의 전이 금속을 포함한다. 일부 구현예에서, 금속 또는 금속성 표면은 알루미늄(Al)을 포함한다. 일부 구현예에서, 금속 또는 금속성 표면은 구리(Cu)를 포함한다. 일부 구현예에서, 금속 또는 금속성 표면은 텅스텐(W)을 포함한다. 일부 구현예에서, 금속 또는 금속성 표면은 코발트(Co)를 포함한다. 일부 구현예에서, 금속 또는 금속성 표면은 니켈(Ni)을 포함한다. 일부 구현예에서, 금속 또는 금속성 표면은 니오븀(Nb)을 포함한다. 일부 구현예에서, 금속 또는 금속성 표면은 철(Fe)을 포함한다. 일부 구현예에서, 금속 또는 금속성 표면은 몰리브덴(Mo)을 포함한다. 일부 구현예에서, 금속 또는 금속성 표면은 Al, Mn, Fe, Co, Ni, Cu, Zn, Nb, Mo, Ru 및 W로 이루어진 군으로부터 선택된 금속을 포함한다. 일부 구현예에서, 금속 또는 금속성 표면은 Zn, Fe, Mn 및 Mo로 이루어진 군으로부터 선택된 전이 금속을 포함한다.
일부 구현예에서, 금속성 표면은 티타늄 질화물을 포함한다. 일부 구현예에서, 금속 표면 또는 금속성 표면은 Ru와 같은 하나 이상의 귀금속을 포함한다. 일부 구현예에서, 금속 또는 금속성 표면은 전도성 금속 산화물을 포함한다. 일부 구현예에서, 금속 또는 금속성 표면은 전도성 금속 질화물을 포함한다. 일부 구현예에서, 금속 또는 금속성 표면은 전도성 금속 탄화물을 포함한다. 일부 구현예에서, 금속 또는 금속성 표면은 전도성 금속 붕소화물을 포함한다. 일부 구현예에서, 금속 또는 금속성 표면은 전도성 금속 조합을 포함한다. 예를 들어, 금속 또는 금속성 표면은 루테늄 산화물(RuOx), 니오븀 탄화물(NbCx), 니오븀 붕소화물(NbBx), 니켈 산화물(NiOx), 코발트 산화물(CoOx), 니오븀 산화물(NbOx), 텅스텐 질탄화물(WNCx), 탄탈륨 질화물(TaN) 또는 티타늄 질화물(TiN) 중 하나 이상을 포함할 수 있다.
일부 구현예에서, 제2 표면은 패시베이션된 금속 표면, 예를 들어 패시베이션된 Cu 표면을 포함할 수 있다. 즉, 일부 구현예에서, 제2 표면은 패시베이션제, 예를 들어 폴리이미드 패시베이션 층 또는 자기 조립 단층과 같은 유기 패시베이션 층을 포함한 금속 표면을 포함할 수 있다. 일부 구현예에서, 패시베이션 층은 실리콘 및 산소를 포함한 재료의 증착 사이클을 적어도 약 2회, 예컨대 적어도 약 10회, 약 20회, 약 50회, 약 100회 또는 약 150회에 걸쳐 제2 표면 상에 유지된다. 즉, 연장된 기간에 걸쳐 증착 조건을 견딜 수 있는, 폴리이미드 함유 층과 같은 패시베이션 층이 사용된다.
일부 구현예에서, 실리콘 및 산소를 포함한 재료는, 기판의 제2 금속 또는 금속성 표면에 대해 기판의 제1 유전체 표면 상에 선택적으로 증착된다. 일부 구현예에서, 제2 유전체 표면은 금속 산화물, 금속 원소, 또는 금속성 표면을 포함한다. 일부 구현예에서, 제2 금속 또는 금속성 표면은 폴리아믹산, 폴리이미드, 또는 다른 중합체 재료를 포함한 패시베이션 층을 포함한다.
일부 구현예에서, 제1 유전체 표면 및 제2 금속 또는 금속성 표면을 포함하는 기판이 제공된다. 일부 구현예에서, 제1 금속 산화물 표면을 포함한 기판이 제공된다. 일부 구현예에서, 제1 표면은 -OH 기를 포함할 수 있다. 일부 구현예에서, 제1 표면은 SiO2계 표면일 수 있다. 일부 구현예에서, 제1 표면은 Si-O 결합을 포함할 수 있다. 일부 구현예에서, 제1 표면은 SiO2계 저 유전율 재료를 포함할 수 있다. 일부 구현예에서, 제1 표면은 약 30% 초과, 약 50% 초과의 SiO2를 포함할 수 있다. 일부 구현예에서, 제1 표면은 실리콘 이산화물 표면을 포함할 수 있다.
일부 구현예에서, 제1 표면은 GeO2를 포함할 수 있다. 일부 구현예에서, 제1 표면은 Ge-O 결합을 포함할 수 있다. 일부 구현예에서, 실리콘 및 산소를 포함한 재료는 제2 금속 또는 금속성 표면에 대해 제1 Si 또는 Ge 표면, 예를 들어 HF-침지된 Si 또는 HF-침지된 Ge 표면 상에 선택적으로 증착된다. 예를 들어, 일부 구현예에서, 제1 표면은 자연적으로 또는 화학적으로 성장된 실리콘 이산화물 표면을 포함할 수 있다. 일부 구현예에서, 제1 표면은 열적으로 성장된 실리콘 이산화물 표면을 포함할 수 있다.
일부 구현예에서, 기판은 선택적 증착 공정의 시작 또는 이전에 전처리 되거나 세정될 수 있다. 일부 구현예에서, 기판은 선택적 증착 공정을 시작할 때 또는 이전에 플라즈마 세정 공정을 거칠 수 있다. 일부 구현예에서, 플라즈마 세정 공정은 이온 충돌을 포함할 수 없거나 상대적으로 적은 양의 이온 충돌을 포함할 수 있다. 예를 들어, 일부 구현예에서 기판 표면은 선택적 증착 공정의 시작 또는 이전에 플라즈마, 라디컬, 여기 종, 및/또는 원자 종에 노출될 수 있다. 일부 구현예에서, 기판 표면은 선택적 증착 공정의 시작 또는 이전에 수소 플라즈마, 라디컬, 또는 원자 종에 노출될 수 있다. 일부 구현예에서, 전처리 또는 세정 공정은, 선택적 증착 공정과 동일한 반응 챔버에서 수행될 수 있다. 그러나, 일부 구현예에서, 전처리 또는 세정 공정은 별도의 반응 챔버에서 수행될 수 있다.
표면 전처리
일부 구현예에서, 제1 유전체 표면은, 예를 들어 유전체 표면을 선택적으로 실릴화함으로써 다른 표면에 대해 선택적으로 차단될 수 있다. 일부 구현예에서, 유전체 표면은 실릴화제, 예컨대 알릴트리메틸실란(TMS-A), 클로로트리메틸실란(TMS-Cl), N-(트리메틸실릴)이미다졸(TMS-Im), 옥타데실트리클로로실란(ODTCS), 헥사메틸디실라잔(HMDS), 또는 N-(트리메틸실릴)디메틸아민(TMSDMA)에 노출되어 차단된다. 일부 구현예에서, 유전체 차단 단계는 생략될 수 있다. 일부 구현예에서, 차단 단계는 후술하는 바와 같이, 금속 표면의 후속하는 선택적 패시베이션을 보조할 수 있다. 따라서, 유전체 표면을 차단하는 것은, 일부 구현예에서, 금속 표면 또는 상이한 조성의 유전체 표면과 같은 다른 표면의 선택적 패시베이션을 허용할 수 있다. 일부 구현예에서, 차단된 유전체 표면은, 이하에서 더욱 상세히 설명되는 바와 같이, 촉매 화학 흡착을 용이하게 하기 위해 원하는 표면 종결부를 제공하기 위해, 예컨대 플라즈마를 이용해 처리될 수 있다. 금속 표면과 같은 제2 표면은, 예를 들어 제2 표면 상에 유기 중합체 층을 선택적으로 형성함으로써 패시베이션된다. 일부 구현예에서, 유전체 표면의 실릴화는, 제2 표면 상에 중합체 패시베이션 층을 형성하는 선택성을 보조한다. 일부 구현예에서, 실릴화와 같은 차단은 제1 표면 상에 실리콘 및 산소를 포함한 재료를 증착하기 전에, 특정 제거 단계를 필요로 하지 않는다.
이어서, 제2 표면에 대해 제1 유전체 표면 상에 촉매를 선택적으로 증착한다. 일부 구현예에서, 촉매는 유전체 표면 상에 선택적으로 화학 흡착된다. 촉매는, 예를 들어 이하에서 설명되는 바와 같은 금속 촉매일 수 있다.
그 다음, 실리콘 전구체를 반응 챔버 내에 제공함으로써 패시베이션된 제2 표면에 대해 제1 표면 상에 실리콘 및 산소를 포함한 재료를 선택적으로 증착한다. 본 개시에 따른 촉매는 기판과 실리콘 전구체 사이의 상호 작용을 개선하여, 제2 표면(예컨대, 패시베이션된 금속 또는 금속 산화물 표면)에 대해 기판의 유전체 제1 표면 상에서 선택적으로 실리콘 및 산소를 포함한 재료의 촉매성 성장으로 이어질 수 있다. 실리콘 및 산소를 포함한 재료는, 원하는 두께의 실리콘 및 산소를 포함한 재료가 선택적으로 증착될 때까지, 기판이 촉매 및 실리콘 전구체과 교대로 접촉하는 주기적 기상 증착 공정에 의해 증착될 수 있다. 일부 구현예에서, 실리콘 및 산소를 포함한 재료가 ALD 공정에 의해 증착된다. 일부 구현예에서, ALD 공정은 플라즈마 강화 ALD 공정이다. 실리콘 및 산소를 포함한 재료 증착 후에, 제2 표면 상의 패시베이션 층은, 예컨대 에칭에 의해 제거될 수 있다. 에칭은, 예를 들어, 플라즈마 또는 화학적 처리에 의해 수행될 수 있다.
일부 구현예에서, 기판 상의 제1 유전체 표면, 예컨대 산화물 표면은 실릴화제, 예컨대 알릴트리메틸실란(TMS-A), 클로로트리메틸실란(TMS-Cl), N-(트리메틸실릴)이미다졸(TMS-Im), 옥타데실트리클로로실란(ODTCS), 헥사메틸디실라잔(HMDS), 또는 N-(트리메틸실릴)디메틸아민(TMSDMA)로 실릴화 차단되고, 유기 중합체는 동일한 기판의 제2 표면 상에 선택적으로 증착되고, 알루미늄 촉매와 같은 금속 촉매는 동일한 기판의 유전체 표면 상에 선택적으로 증착되고, 실리콘 및 산소를 포함한 재료는 패시베이션된 제2 표면에 대해 기판의 제1 표면 상에 선택적으로 증착된다. 예를 들어, 실리콘 및 산소를 포함한 층은 인접한 금속 표면에 대해 유전체 표면, 예컨대 금속 산화물 표면, 실리콘 산화물 표면 또는 저 유전율 표면 상에, 예를 들어 제1 표면을 실릴화제로 실릴화하는 것에 의해 차단하는 단계, 티올 SAM 또는 폴리이미드 층을 사용하여 금속 표면을 패시베이션하는 단계, 트리메틸 알루미늄(TMA), 디메틸알루미늄클로라이드, 알루미늄 트리클로라이드(AlCl3), 디메틸알루미늄 이소프로폭시드(DMAI), 트리스(터트-부틸)알루미늄(TTBA), 트리스(이소프로폭시드)알루미늄(TIPA) 트리스(디메틸아미노) 알루미늄(TDMAA) 또는 트리에틸 알루미늄(TEA)을 촉매로서 사용하는 단계, 및 알콕시 실란을 실리콘 전구체로서 사용하는 단계를 포함한다. 일부 구현예에서, 실릴화된 유전체 표면은, 촉매를 반응 챔버에 제공하기 전에 플라즈마 처리된다. 기판은, 유전체 표면이 실리콘 종으로 선택적으로 차단되는 충분한 시간 동안에 충분한 양의 차단제와 접촉할 수 있다. 일부 구현예에서, 유전체 표면은 자기 조립 단층(SAM)으로 패시베이션되지 않는다.
일부 구현예에서, 본 개시에 따른 공정은 촉매를 반응 챔버 내에 제공하기 전에, 제2 표면을 선택적으로 패시베이션하기 위해 패시베이션제를 기상으로 반응 챔버 내에 제공하는 단계를 포함한다. 유기 중합체 패시베이션 층은 패시베이션제를 반응 챔버 내에 제공함으로써, 제1 유전체 표면에 대해 제2(예, 금속) 표면 상에 선택적으로 형성될 수 있다. 패시베이션제는 주기적 증착 공정에 의해 제공될 수 있다. 예를 들어, 폴리이미드 함유 패시베이션 층은 아세트산 무수물 및 디아민을 반응 챔버 내에 교대 순차적으로 제공하여 패시베이션 층을 형성함으로써 증착될 수 있다. 패시베이션 층은 패시베이션제를 반응 챔버 내에 제공함으로써 제2 표면 상에 선택적으로 증착될 수 있다. 일부 구현예에서, 금속 또는 금속성 표면 상의 패시베이션 층은 금속 또는 금속성 표면 상에 실리콘 및 산소를 포함한 재료의 형성을 억제하거나, 방지하거나, 감소시킨다.
플라즈마 처리를 사용하여 유전체 표면을 활성화시킬 수 있다. 예를 들어, 실릴화된 유전체 표면은 H2 플라즈마에 노출될 수 있다.
본 개시의 일부 구현예에서, 금속 또는 준금속 촉매는, 예컨대 금속 또는 준금속 촉매를 반응 챔버 내에 제공함으로써 제2 표면에 대해 제1 표면 상에 선택적으로 제공된다. 여기서, 촉매는 기판과 접촉한다. 제1 표면은 유전체 표면일 수 있고, 제2 표면은 금속 표면일 수 있다. 일부 구현예에서, 기판은 후술하는 바와 같은 촉매와 접촉한다. 촉매는, 예를 들어, B, Zn, Mg, Mn, La, Hf, Al, Zr, Ti, Sn, Y 또는 Ga를 포함한 화합물일 수 있다. 일부 구현예에서, 촉매는 금속 할라이드, 유기금속 또는 금속유기 화합물이다. 일부 구현예에서, 촉매는 금속 산화물일 수 있다. 일부 구현예에서, 촉매는 알루미늄 촉매이고, 이는, 트리메틸알루미늄(TMA), 디메틸알루미늄클로라이드, 알루미늄 트리클로라이드(AlCl3), 디메틸알루미늄 이소프로폭시드(DMAl), 트리스(터트부틸)알루미늄(TTBA), 트리스(이소프로폭시드)알루미늄(TIPA), 또는 트리에틸 알루미늄(TEA)을 포함한다. 일부 구현예에서, 촉매는 비스(메틸시클로펜타디에닐)메톡시메틸 지르코늄(ZrD-04)과 같은 지르코늄 화합물이다. 일부 구현예에서, 촉매는 테트라키스(에틸메틸아미노)지르코늄(TEMAZ)이다. 일부 구현예에서, 촉매는 ZrCl4이다. 일부 구현예에서, 촉매는 트리스(이소프로필-시클로펜타디에닐)란타늄(La(iPrCp)3)과 같은 란타늄 화합물이다. 일부 구현예에서, 촉매는 티타늄 이소프로폭시드(TTIP) 또는 TiCl4와 같은 티타늄 화합물이다. 일부 구현예에서, 촉매는 갈륨 화합물, 예컨대 트리메틸갈륨(TMG)이다. 일부 구현예에서, 촉매는 HfCl4 또는 Hf(NO3)4와 같은 하프늄 화합물이다.
일부 구현예에서, 촉매는 패시베이션된 금속 표면에 대해 유전체 표면, 예를 들어 차단제를 포함한 유전체 표면 상에 우선적으로 화학흡착할 수 있다. 일부 구현예에서, 촉매는 패시베이션된 금속 표면에 대해 유전체 표면 상에 우선적으로 증착한다. 일부 구현예에서, 금속 표면 상의 패시베이션제는 금속 표면 상에 촉매의 증착을 억제하거나 방지한다. 일부 구현예에서, 패시베이션제에 대한 단일 노출은, 기판이 촉매와 접촉하는 1, 2, 5, 10, 20, 30, 40 또는 50회 이상의 사이클 동안에 금속 표면 상에 촉매가 증착되는 것을 방지할 수 있다. 일부 구현예에서, 제2 표면은 패시베이션되지 않고, 촉매는 금속 표면 상의 패시베이션제가 없는 상태에서 유전체 표면 상에 선택적으로 화학흡착된다. 예를 들어, 촉매는 제2 표면에 대해 차단제를 포함한 유전체 표면 상에 선택적으로 증착될 수 있다. 일부 구현예에서, 촉매는 사용되지 않는다.
촉매를 유전체 표면과 접촉시킨 후, 패시베이션된 제2 표면에 대해 유전체 표면 상에 실리콘 및 산소를 포함한 재료를 선택적으로 증착한다. 예를 들어, 기판은 실리콘 전구체, 예컨대 알콕시 실란에 노출될 수 있다. 실리콘 전구체 및 플라즈마로부터 생성된 반응성 종(예컨대 비활성 가스로부터 생성된 플라즈마)은 촉매를 포함한 표면과 반응하여 실리콘 및 산소를 포함한 재료를 형성할 수 있다. 예를 들어, 유전체 표면 상의 촉매 원자에서 그리고 플라즈마 유도 반응성 종을 이용해 알콕시 실란이 분해되도록, 알콕시 실란을 포함한 실리콘 전구체와 기판이 접촉하여, 제2 표면에 대해 유전체 표면 상의 실리콘 및 산소를 포함한 재료가 선택적으로 성장할 수 있다.
실리콘 및 산소를 포함한 재료
본 개시에 따라 실리콘 및 산소를 포함한 재료는, 실리콘 디옥사이드와 같은 실리콘 산화물을 포함하거나, 이로 필수적으로 구성되거나, 이로 구성될 수 있다. 그러나, 일부 구현예에서, 실리콘 및 산소를 포함한 재료는 알루미늄(Al)과 같은 추가 원소를 포함한다. 일부 구현예에서, 실리콘 및 산소를 포함한 재료는, 알루미늄 실리케이트와 같은 금속 실리케이트를 포함하거나, 이로 실질적으로 구성되거나, 이로 구성된다. 본 개시에 따른 방법은, 금속의 양이 조절 가능하도록 실리콘, 산소 및 금속을 포함한 재료의 증착을 허용한다. 둘 이상의 상이한 증착 공정을 교번시킴으로써, 이들 중 적어도 하나는 본 개시에 따른 방법으로, 교번하는 조성의 나노 적층체 구조가 증착될 수 있다. 일부 구현예에서, 둘 이상의 상이한 증착 방법은 본 개시에 따른 모든 방법이다.
일부 구현예에서, 실리콘 및 산소를 포함한 층이 증착된다. 본원에서 사용되는 바와 같이, 용어 "층" 및/또는 "막"은 본원에 개시된 방법에 의해 증착된 재료와 같이 임의의 연속적인 또는 비연속적인 구조 및 재료를 지칭할 수 있다. 예를 들어, 층 및/또는 막은 이차원 재료, 삼차원 재료, 나노입자 또는 심지어는 부분 또는 전체 분자층 또는 부분 또는 전체 원자 층 또는 원자 및/또는 분자 클러스터를 포함할 수 있다. 막 또는 층은 핀홀을 갖는 재료 또는 층을 포함할 수 있고, 이는 적어도 부분적으로 연속적일 수 있다. 씨드 층은 다른 재료의 핵생성 속도를 증가시키도록 작용하는 비연속 층일 수 있다. 그러나, 씨드 층은 또한 실질적으로 또는 완전히 연속적일 수 있다.
원하는 두께의 실리콘 및 산소 함유 층은, 본 개시에 따른 주기적 증착 공정에 의해 증착될 수 있다. 일부 구현예에서, 실리콘 및 산소를 포함한 층은 실질적으로 연속적이다. 일부 구현예에서, 실리콘 및 산소를 포함한 층은 연속적이다. 일부 구현예에서, 실리콘 및 산소룰 포함한 층은 적어도 약 0.5 nm의 대략적인 두께를 갖는다. 일부 구현예에서, 실리콘 및 산소룰 포함한 층은 적어도 약 1 nm의 대략적인 두께를 갖는다. 일부 구현예에서, 실리콘 및 산소룰 포함한 층은 적어도 약 5 nm의 대략적인 두께를 갖는다. 일부 구현예에서, 실리콘 및 산소룰 포함한 층은 적어도 약 10 nm의 대략적인 두께를 갖는다. 일부 구현예에서, 실리콘 및 산소를 포함한 층은 약 1 nm 내지 약 50 nm의 대략적인 두께를 갖는다. 일부 구현예에서, 약 10 nm 미만, 예컨대 약 4 nm 내지 약 9 nm, 예를 들어 약 5 nm 또는 약 6 nm의 두께를 갖는 실질적으로 또는 완전히 연속적인 실리콘 및 산소를 포함한 층이, 기판의 제1 표면 상에 선택적으로 증착될 수 있다.
일부 구현예에서, 실리콘 및 산소를 포함한 재료의 실리콘 대 금속 비율은 약 3 이상이다. 일부 구현예에서, 실리콘 및 산소를 포함한 재료의 실리콘 대 금속 비율은 약 4 이상이다. 일부 구현예에서, 실리콘 및 산소를 포함한 재료의 실리콘 대 금속 비율은 약 5 이상, 예컨대 약 6이다. 일부 구현예에서, 실리콘 및 산소를 포함한 재료의 실리콘 대 금속 비율은 약 2.5 내지 약 6, 예컨대 약 3 내지 약 5이다.
일부 구현예에서, 본 개시에 따라 증착된 실리콘 및 산소를 포함한 재료의 유전율 값은 약 5 미만, 또는 약 4 미만이다.
일부 구현예에서, 본 개시에 따른 실리콘 및 산소를 포함한 재료의 습식 에칭 저항은, 0.5% HF에 대한 노출에 의해 측정했을 때, 및 실리콘 및 산소를 포함한 재료의 조성에 따라, 약 0.1 내지 약 1 nm/s이다. 일부 구현예에서, 습식 에칭 저항은 0.5% HF에 대한 노출에 의해 측정했을 때 약 0.2 nm/s이다.
일반 공정
본 개시에 따른 방법에서, 제1 표면 및 제2 표면을 포함한 기판이 반응 챔버 내에 제공되고, 촉매가 기상으로 반응 챔버 내에 제공되고, 알콕시 실란 화합물을 포함한 실리콘 전구체가 기상으로 반응 챔버 내에 제공되고, 플라즈마가 반응 챔버 내에 제공되어 제1 표면 상에 실리콘 및 산소를 포함한 재료를 형성하기 위한 반응성 종을 형성한다.
용어 "전구체" 및 "반응물"은 다른 화합물을 생성하는 화학 반응에 참여하는 분자(단일 원소를 포함하는 화합물 또는 분자)를 지칭할 수 있다. 전구체는, 전형적으로 문제의 화학 반응으로부터 생성된 화합물 또는 원소에 적어도 부분적으로 혼입되는 부분을 함유한다. 이러한 최종 화합물 또는 원소는 기판 상에 증착될 수 있다. 반응물은, 상당한 정도로 최종 화합물 또는 원소 내에 혼입되지 않은 원소 또는 화합물일 수 있다. 그러나, 특정 구현예에서, 반응물은 생성된 화합물 또는 원소에 기여할 수도 있다.
일부 구현예에서, 전구체는 두 개 이상의 화합물의 혼합물로 제공된다. 혼합물에서, 전구체 이외에 다른 화합물은 불활성 화합물 또는 원소일 수 있다. 일부 구현예에서, 전구체는 조성물에 제공된다. 일부 구현예에서, 전구체는 실질적으로 또는 완전히 단일 화합물로 형성된다. 조성물은 표준 조건에서 용액 또는 가스일 수 있다.
본 개시는 선택적 증착 공정에 관한 것이다. 선택도는 [(제1 표면 상의 증착)-(제2 표면 상의 증착)]/(제1 표면 상의 증착)에 의해 계산되는 백분율로서 주어질 수 있다. 증착은 임의의 다양한 방식으로 측정될 수 있다. 일부 구현예에서, 증착은 증착된 재료의 측정된 두께로서 제공될 수 있다. 일부 구현예에서, 증착은 증착된 재료의 측정된 양으로서 제공될 수 있다.
일부 구현예에서, 선택도는 약 30% 초과이다. 일부 구현예에서, 선택도는 약 50% 초과이다. 일부 구현예에서, 선택도는 약 75% 초과 또는 약 85% 초과이다. 일부 구현예에서, 선택도는 약 90% 초과 또는 약 93% 초과이다. 일부 구현예에서, 선택도는 약 95% 초과 또는 약 98% 초과이다. 일부 구현예에서, 선택도는 약 99% 초과 또는 심지어 약 99.5% 초과이다. 구현예에서, 선택도는 증착 지속 시간 또는 두께에 따라 변할 수 있다.
일부 구현예에서, 증착은 제1 표면에서만 일어나고 제2 표면에서는 일어나지 않는다. 일부 구현예에서, 기판의 제2 표면에 대해 기판의 제1 표면 상의 증착은 적어도 약 80 % 선택적인데, 이는 일부 응용에서 충분히 선택적일 수 있다. 일부 구현예에서, 기판의 제2 표면에 대해 기판의 제1 표면 상의 증착은 적어도 50 % 선택적인데, 이는 일부 특별한 응용에서 충분히 선택적일 수 있다. 일부 구현예에서, 기판의 제2 표면에 대해 기판의 제1 표면 상의 증착은 적어도 10 % 선택적인데, 이는 일부 특별한 응용에서 충분히 선택적일 수 있다.
주기적 증착 공정
일부 구현예에서, 실리콘 및 산소를 포함한 재료를 증착하기 위해 주기적 기상 증착 방법이 사용된다. 일부 구현예에서, 주기적 기상 증착이 사용되며, 예를 들어 주기적 CVD 또는 원자층 증착(ALD) 공정이 사용된다. 실리콘 및 산소를 포함한 재료의 선택적 증착이 완료된 이후, 추가 처리가 수행되어 원하는 구조를 형성할 수 있다.
본 개시에서, 증착 공정은 주기적 증착 공정, 예컨대 원자층 증착(ALD) 공정 또는 주기적 화학 기상 증착(VCD) 공정을 포함할 수 있다. 용어 "주기적 증착 공정"은 기판 상에 실리콘 및 산소를 포함한 재료와 같은 재료를 증착하기 위해 반응 챔버 내로 전구체(및/또는 반응물)를 순차적으로 도입하는 것을 지칭할 수 있다. 주기적 증착은 원자층 증착(ALD), 주기적 화학 기상 증착(주기적 CVD), 및 ALD 성분 및 주기적 CVD 성분을 포함한 하이브리드 주기적 증착 공정과 같은 처리 기술을 포함한다. 공정은 전구체를 제공하는 단계 사이 또는 반응 챔버 내에 전구체와 반응물을 제공하는 단계 사이의 퍼지 단계를 포함할 수 있다. 주기적 증착 공정에서, 기판 및/또는 반응 공간은 가열되어 기체 전구체 및/또는 반응물 사이의 반응을 촉진할 수 있다. 일부 구현예에서, 원하는 두께를 갖는 층이 증착될 때까지 전구체(들)와 반응물(들)이 제공된다.
공정은 하나 이상의 주기적 단계를 포함할 수 있다. 예를 들어, 실리콘 전구체 및 플라즈마의 펄스화가 반복될 수 있다. 일부 구현예에서, 공정은 하나 이상의 비주기적 단계를 포함한다. 일부 구현예에서, 증착 공정은 적어도 하나의 전구체의 연속 흐름을 포함한다. 이러한 구현예에서, 공정은 실리콘 전구체 또는 플라즈마의 연속 흐름을 포함한다. 일부 구현예에서, 전구체 및/또는 반응물 중 하나 이상이 반응 챔버 내에 연속적으로 제공된다. 일부 구현예에서, 촉매는 반응 챔버에 연속적으로 제공될 수 있다.
용어 "원자층 증착"(ALD)은 기상 증착 공정을 지칭할 수 있고, 여기서 증착 사이클은, 예컨대 복수의 연속 증착 사이클은 반응 챔버에서 수행된다. 본원에서 사용된 용어 원자층 증착은 전구체(들)/반응물(들), 및 선택적 퍼지(들)의 교번 펄스로 수행되는 경우, 화학 기상 원자층 증착과 같은 관련 용어에 의해 지정된 공정을 포함하는 것을 또한 의미한다. 일반적으로, ALD 공정의 경우, 각각의 사이클 중에 전구체는 반응 챔버에 도입되고 증착 표면(예, 이전 ALD 사이클로부터 이전에 증착된 재료 또는 다른 재료를 포함할 수 있는 기판 표면)에 화학 흡착되고, 추가적인 전구체와 쉽게 반응하지 않는(즉, 자기 제한적 반응인) 단층 또는 서브 단층을 형성한다. 그 후, 일부 경우에서, 다른 전구체 또는 반응물을 후속해서 공정 챔버에 도입시켜 증착 표면 상에서 화학 흡착된 전구체를 원하는 재료로 전환시키는 데 사용한다. 제2 전구체 또는 반응물은 전구체와 더 반응할 수 있다. 하나 이상의 사이클 동안, 예를 들어 각 사이클의 각 단계 중에 퍼지 단계를 사용하여, 공정 챔버로부터 과잉의 전구체를 제거하고/제거하거나, 공정 챔버로부터 과잉의 반응물 및/또는 반응 부산물을 제거할 수 있다. 따라서, 일부 구현예에서, 주기적 증착 공정은, 반응 챔버 내에 전구체를 제공한 이후에 반응 챔버 내에 퍼지 가스를 제공하는 단계를 포함한다. 일부 구현예에서, 주기적 증착 공정은, 반응 챔버 내에 실리콘 전구체를 제공한 이후에 반응 챔버 내에 퍼지 가스를 제공하는 단계를 포함한다. 일부 구현예에서, 주기적 증착 공정은, 반응 챔버 내에 플라즈마를 제공한 이후에 반응 챔버를 퍼지하는 단계를 포함한다. 일부 구현예에서, 주기적 증착 공정은, 실리콘 전구체를 반응 챔버 내에 제공한 이후에, 그리고 플라즈마를 반응 챔버 내에 제공하고 촉매를 반응 챔버 내에 제공한 이후에, 반응 챔버를 퍼지하는 단계를 포함한다.
CVD 유형 공정은 일반적으로 둘 이상의 전구체 및/또는 반응물 사이에 기상 반응을 포함한다. 전구체(들) 및/또는 반응물(들)은 반응 공간 또는 기판에 동시에 제공되거나, 부분적으로 제공되거나, 완전히 분리된 펄스일 수 있다. 일부 구현예에서, 주기적 CVD 공정은 원하는 두께를 갖는 박막을 증착하기 위한 다수의 사이클과 함께 사용될 수 있다. 주기적인 CVD 공정에 있어서, 전구체 및/또는 반응물은 중첩되지 않거나, 부분적으로 중첩되거나, 완전히 중첩되는 펄스로 반응 챔버에 제공될 수 있다.
반응 챔버는 원자층 증착(ALD) 어셈블리의 일부를 형성할 수 있다. 반응 챔버는 화학 기상 증착(CVD) 어셈블리의 일부를 형성할 수 있다. 반응기는 단일 웨이퍼 반응기일 수 있다. 대안적으로, 반응기는 배치식 반응기일 수 있다. 어셈블리는 하나 이상의 다중 스테이션 증착 챔버를 포함할 수 있다. 방법의 다양한 단계는 단일 반응 챔버 내에서 수행될 수 있거나, 다수의 반응기 챔버에서 수행될 수 있고, 예를 들어 클러스터 툴의 반응 챔버에서 수행될 수 있다. 일부 구현예에서, 방법은 클러스터 툴의 단일 반응 챔버에서 수행되고, 하지만 다른 경우에는 선행 또는 후속, 구조체 또는 소자의 제조 단계는 동일한 클러스터 툴의 추가 반응 챔버에서 수행된다. 선택적으로, 반응 챔버를 포함하는 어셈블리는 기판 및/또는 반응물 및/또는 전구체 중 하나 이상의 온도를 상승시킴으로써 반응을 활성화시키기 위한 히터가 제공될 수 있다. 본 개시에 따른 실리콘 및 산소를 포함한 재료는 교차 흐름 반응 챔버에서 증착될 수 있다. 본 개시에 따라 실리콘 및 산소를 포함한 재료는 샤워헤드 유형 반응 챔버에서 증착될 수 있다.
일부 구현예에서, 촉매, 실리콘 전구체 및 플라즈마는 모두 하나의 증착 사이클 동안 반응 챔버 내에 제공된다. 따라서, 증착 공정은 촉매, 실리콘 전구체 및 플라즈마가 반응 챔버 내에 제공되는 적어도 하나의 증착 사이클을 포함한다. 일부 구현예에서, 증착 공정의 실질적으로 모든 증착 사이클은 촉매, 실리콘 전구체 및 플라즈마를 반응 챔버 내에 제공하는 단계를 포함한다. 이러한 증착 체계는 "ABC" 증착 체계로 표시될 수 있으며, 여기서 A는 촉매를 반응 챔버 내에 제공하는 단계를 나타내고, B는 실리콘 전구체를 반응 챔버 내에 제공하는 단계를 나타내고, C는 플라즈마를 반응 챔버 내에 제공하는 단계를 나타낸다. 반응 챔버는 촉매, 실리콘 전구체 및/또는 플라즈마를 반응 챔버 내에 제공한 후에 퍼지될 수 있다. ABC 증착 사이클은 원하는 두께의 실리콘 및 산소를 포함한 재료의 원하는 두께를 달성하기 위해 소정의 횟수 [n(A+B+C)]로 반복될 수 있으며, 여기서 n은 증착 사이클의 수이다. 예를 들어, n은 1 내지 약 1,000, 또는 약 5 내지 약 1,000, 또는 약 10 내지 약 1,000, 또는 약 100 내지 약 1,000일 수 있다. 일부 구현예에서, n은 약 3 내지 약 500, 또는 약 5 내지 약 500초, 또는 약 10 내지 약 500, 또는 약 50 내지 약 500이다. 일부 구현예에서, n은 약 50 내지 약 300, 또는 약 10 내지 약 200, 또는 약 200 내지 약 600이다. 증착 사이클의 반복 횟수는, 실리콘 및 산소를 포함한 재료의 사이클당 성장 속도(gpc) 및 증착된 재료의 원하는 두께의 사이클당 성장 속도에 따라 달라진다.
일부 구현예에서, 본 개시에 따른 증착 공정은 반응 챔버 내에 촉매를 제공하는 단계를 포함하지 않는 적어도 하나의 증착 사이클을 포함한다. 따라서, 일 양태에 있어서, 주기적 증착 공정에 의해 기판의 제2 표면에 대해 기판의 제1 표면 상에 실리콘 및 산소를 포함한 재료를 선택적으로 증착하는 방법이 개시되며, 상기 방법은, 반응 챔버 내에 기판을 제공하는 단계, 촉매를 기상으로 반응 챔버에 제공하는 단계, 그리고 실리콘 및 산소 서브사이클을 수행하는 단계를 포함한다. 실리콘 및 산소 서브사이클은, 교대 순차적으로, 알콕시 실란을 포함한 실리콘 전구체를 기상으로 반응 챔버 내에 제공하는 단계, 및 플라즈마를 기상으로 반응 챔버 내에 제공하여 제1 표면 상에 실리콘 및 산소를 포함한 재료를 형성하는 단계를 포함한다.
이러한 구현예에서, 공정은, 촉매를 반응 챔버 내에 제공하는 단계, 그리고 실리콘 및 산소 서브사이클을 포함하는 마스터 사이클을 포함하되, 실리콘 및 산소 서브사이클은 촉매가 반응 챔버 내에 제공될 때마다 적어도 두 번 수행된다. 촉매를 반응 챔버 내에 제공하는 단계는 촉매를 반응 챔버에 제공하는 단계 및 반응 챔버를 퍼지하는 단계를 포함할 수 있다. 촉매를 반응 챔버 내에 제공하는 단계는 촉매를 반응 챔버에 제공하는 단계 및 반응 챔버를 퍼지하지 않는 단계를 포함할 수 있다. 실리콘 및 산소 서브사이클은 실리콘 전구체를 반응 챔버 내에 제공하는 단계, 선택적으로 반응 챔버를 퍼지하는 단계, 플라즈마를 반응 챔버 내에 제공하는 단계, 및 다시 선택적으로 반응 챔버를 퍼지하는 단계를 포함할 수 있다. 이러한 증착 방식은 n[A+m(B+C)]로서 설명될 수 있으며, 여기서 A는 촉매를 반응 챔버 내에 제공하는 단계를 나타내고, B는 실리콘 전구체를 반응 챔버 내에 제공하는 단계를 나타내고, C는 플라즈마를 반응 챔버 내에 제공하는 단계를 나타낸다. 촉매 또는 전구체를 반응 챔버 내에 제공한 후에 반응 챔버가 퍼지되는 경우, 단계 A, B 및/또는 C는 각각 퍼지 단계를 포함한다. 이러한 구현예에서, 단계 A는, 예를 들어 촉매의 단일 펄스를 제공하는 대신에 반응 챔버 내에 촉매를 제공하는 단계의 여러 번의 반복을 포함할 수 있다. 마스터 사이클의 수(n)는 위에 나타낸 바와 같이 증착 재료의 성장 속도 및 원하는 재료 두께에 따라 달라질 수 있다. m은 실리콘 및 산소를 포함한 재료 성장 속도 및 조성을 조절하기 위해 변경될 수 있다. 일부 구현예에서, 촉매의 금속 또는 반금속은, 실리콘 및 산소를 포함한 재료 내에 혼입될 수 있고, 금속 함량은 촉매를 반응 챔버 내로 제공하여 금속 혼입을 감소시키는 것에 비해 실리콘 및 산소 서브사이클 의 수를 증가시킴으로써 조절될 수 있고, 그 반대일 수 있다.
일부 구현예에서, 마스터 사이클 증착 체계는 n(m(A+C) + o(B+C))로서 설명될 수 있다. 이러한 구현예에서, 금속 산화물 서브사이클(A+C) 그리고 실리콘 및 산소 서브사이클(B+C) 중 적어도 하나는 다른 서브사이클을 수행하기 전에 한 번 초과로 수행된다. 이들 구현예에서, 금속 산화물 및 실리콘 산화물을 교대로 포함하는 나노라미네이트 구조가 증착될 수 있다. 각각 독립적으로 가변될 수 있는 mo의 값은, 각각의 재료 층의 두께를 결정할 것이고, mo 사이의 비율은 증착된 재료 내의 두 재료의 비율을 결정할 수 있다. 이들 모두는 증착된 층의 특성에 영향을 미칠 수 있으며, 이는 차례로 상이한 용도 및 응용을 위해 층을 튜닝시킨다.
따라서 추가 양태에서, 주기적 증착 공정에 의해 기판의 제2 표면에 대해 기판의 제1 표면 상에 실리콘 및 산소를 포함한 재료를 선택적으로 증착하는 방법이 개시된다. 이 양태에서, 상기 방법은 기판을 반응 챔버 내에 제공하는 단계, 금속 산화물 서브사이클을 수행하는 단계를 포함하고, 상기 서브사이클은, 촉매 및 산소와 수소를 포함한 제1 산소 반응물을 기상으로 상기 반응 챔버 내에 교대 순차적으로 제공하는 단계, 상기 실리콘 및 산소 서브사이클을 수행하는 단계를 포함하고, 상기 서브사이클은, 교대 순차적으로 알콕시 실란을 포함한 실리콘 전구체를 기상으로 반응 챔버 내에 제공하는 단계, 및 플라즈마를 반응 챔버 내에 기상으로 제공하여 제1 표면 상에 실리콘 및 산소를 포함한 재료를 형성하는 단계를 포함한다.
일부 구현예에서, 상기 방법은 실리콘 및 산소를 포함한 재료 증착 전에 활성화 처리를 추가로 포함하되, 활성화 처리는 촉매를 기상으로 반응 챔버 내에 제공하는 단계; 및 제1 산소 반응물을 기상으로 반응 챔버 내에 제공하는 단계를 포함한다. 일부 구현예에서, 촉매 및 제1 산소 반응물은 활성화 처리 시 반응 챔버 내에 주기적으로 제공된다. 일부 구현예에서, 기판은 촉매 및 제1 산소 반응물에 교대 순차적으로 노출될 수 있다. 일부 구현예에서, 활성화 처리는 실리콘 및 산소를 포함한 재료의 증착이 시작되기 바로 직전에 수행된다. 활성화 처리는 실리콘 및 산소를 포함한 재료가 증착되는 것과 동일한 증착 어셈블리에서 수행될 수 있다. 일부 구현예에서, 활성화 처리는 실리콘 및 산소를 포함한 재료가 증착되는 동일한 다중 스테이션 증착 챔버에서 수행된다. 예를 들어, DMAl 및 물은, 각각의 반응물에 대해 1초의 펄스 길이로, 예를 들어 교대 순차적으로, 기상으로 반응 챔버 내에 주기적으로 제공될 수 있다. 일부 구현예에서, 활성화 처리 동안의 촉매 펄스 길이는 약 0.5초 내지 약 10초, 예컨대 1초, 2초 또는 6초이다. 일부 구현예에서, 활성화 처리 동안의 제1 산소 반응물 펄스 길이는 약 0.5초 내지 약 10초, 예컨대 1초, 2초 또는 6초이다. 활성화 처리 동안의 압력은, 실리콘 및 산소를 포함한 재료의 증착 동안 사용되는 것과 동일한 압력일 수 있다.
예를 들어, DMAl 및 물은, 각각의 반응물에 대해 1초의 펄스 길이로, 예를 들어 교대 순차적으로, 기상으로 반응 챔버 내에 주기적으로 제공될 수 있다. 일부 구현예에서, 활성화 처리 동안의 촉매 펄스 길이는 약 0.5초 내지 약 10초, 예컨대 1초, 2초 또는 6초이다. 일부 구현예에서, 활성화 처리 동안의 제1 산소 반응물 펄스 길이는 약 0.5초 내지 약 10초, 예컨대 1초, 2초 또는 6초이다. 활성화 처리 동안의 압력은, 실리콘 및 산소를 포함한 재료의 증착 동안 사용되는 것과 동일한 압력일 수 있다. 일부 구현예에서, 활성화 처리는 약 2 내지 10 토르의 압력, 예컨대 약 6 토르 또는 약 8 토르의 압력에서 수행된다.
일부 구현예에서, 활성화 처리는 약 2 내지 10 토르의 압력, 예컨대 약 6 토르 또는 약 8 토르의 압력에서 수행된다.
일부 구현예에서, 활성화 처리는 산소 또는 과산화수소와 같은 산화제를 반응 챔버 내에 제공함으로써 수행될 수 있다. 일부 구현예에서, 활성화 처리는 수소 플라즈마, 산소 플라즈마 또는 이들의 조합과 같은 플라즈마를 반응 챔버 내에 제공함으로써 수행될 수 있다. 일부 구현예에서, 활성화 처리는 수소 가스에 의한 처리, 또는 기상 물에 의한 처리일 수 있다.
따라서, 일부 구현예에서, 증착 공정은 실제 재료 성장의 개시 전에 활성화 처리를 포함한다. 이러한 구현예에서, 실리콘 및 산소를 포함한 재료의 증착은 전술한 방법 중 어느 하나에 의해 수행될 수 있다. 예를 들어, 증착 체계는 n(A+C) + m(A+B+C)일 수 있고, 여기서 n(A+C)은 활성화 사이클이고, 순서 A+B+C는 원하는 재료 두께가 달성될 때까지 반복(즉, m회)된다. 대안적으로, 활성화 사이클(n(A+C)) 후에 수행되는 증착 체계는, 예를 들어 n[A+m(B+C)] 또는 n(m(A+C) + o(B+C)일 수 있다. 증착 전에 활성화 처리를 사용하면, 원하는 두께의 실리콘 및 산소를 포함한 재료를 증착하는 데 필요한 사이클의 수를 감소시킬 수 있다. 일부 구현예에서, 더 빠른 성장은 성장 개시의 지연 감소로 인한 것일 수 있다. 본 개시를 임의의 특정 이론으로 제한하지 않는다면, 증착은 활성화 처리 없는 증착 체계에 비해 제1 표면 전체에 걸쳐 보다 균일한 방식으로 개시될 수 있다. 이는, 특히 실리콘 및 산소를 포함한 얇은 층이 고려되는 구현예에서 이점을 가질 수 있다. 얇은 실리콘 및 산소 포함 재료 층은, 예를 들어 두께가 15 nm 미만일 수 있다. 예를 들어, 얇은 실리콘 및 산소 포함 재료 층의 두께는 약 2 nm 내지 약 10 nm, 예를 들어 3 nm, 5 nm, 또는 8 nm일 수 있다. 활성화 처리는 더 빠른 층 폐쇄로 이어질 수 있으므로, 더 낮은 두께를 갖는 실질적으로 또는 완전히 연속적인 층의 증착을 가능하게 한다. 또한, 활성화 처리는 더 적은 수의 결함으로 이어질 수 있다. 활성화 처리를 사용하면 추가적으로 좁은 피치 구조 내로의 균일한 증착을 허용할 수 있는데, 예컨대 구조는 40 nm 이하의 폭을 갖거나, 30 nm 이하의 폭을 갖거나, 25 nm 이하의 폭을 갖는 갭을 포함한다.
증착 공정은 상기 증착 체계의 임의의 조합일 수 있다.
퍼지
본원에서 사용되는 바와 같이, 용어 "퍼지"는, 예를 들어 진공 펌프로 반응 챔버를 배기하고/배기하거나 반응 챔버 내부의 가스를 아르곤 또는 질소와 같은 불활성 또는 실질적으로 불활성인 가스로 대체함으로써, 기상 전구체 및/또는 기상 부산물이 기판 표면으로부터 제거되는 절차를 지칭할 수 있다. 퍼지는 서로 반응하는 두 개의 가스 펄스 사이에서 수행될 수 있다. 그러나, 퍼지는 서로 반응하지 않는 가스의 두 펄스 사이에서 수행될 수 있다. 예를 들어, 퍼지 또는 퍼징은 두 개의 전구체의 펄스 사이 또는 촉매와 전구체 사이에 제공될 수 있다. 퍼지는 서로 반응하는 두 가스 사이의 기상 상호 작용을 회피하거나 적어도 감소시킬 수 있다. 퍼지는 시간 또는 공간, 또는 둘 모두에 영향을 미칠 수 있음을 이해해야 한다. 예를 들어 시간적 퍼지의 경우, 퍼지 단계는, 예를 들어 반응기 챔버에 제1 전구체를 제공하는 단계, 반응기 챔버에 퍼지 가스를 제공하는 단계, 및 반응기 챔버에 제2 전구체를 제공하는 단계의 시간적 순서로 사용될 수 있으며, 여기서 재료가 증착되는 기판은 이동하지 않는다. 예를 들어, 공간적 퍼지의 경우, 퍼지 단계는 다음과 같은 형태: 기판을, 제1 전구체가 연속적으로 공급되는 제1 위치로부터 퍼지 가스 커튼을 통해 제2 전구체가 연속적으로 공급되는 제2 위치로 이동시키는 단계를 취할 수 있다. 퍼지 시간은, 예를 들어 약 0.01초 내지 약 20초, 약 0.05초 내지 약 20초, 약 1초 내지 약 20초, 또는 약 0.5초 내지 약 10초, 또는 약 1초 내지 약 7초, 예컨대 5초, 6초 또는 8초일 수 있다. 그러나, 매우 높은 종횡비 구조 또는 복잡한 표면 형태를 갖는 다른 구조에 대한 고도의 등각성 단차 피복도가 필요한 경우 또는 배치형 반응기와 같이 특정한 반응기가 사용되는 것과 같이, 필요하다면 다른 퍼지 시간이 사용될 수 있다.
플라즈마 공정
본 개시에 따른 방법에서, 플라즈마는 제1 표면 상에 실리콘 및 산소를 포함한 재료를 형성하기 위한 반응성 종을 형성하도록 반응 챔버 내에 제공된다. 따라서, 본 개시에 따른 주기적 증착 방법은 플라즈마 강화 증착 방법으로 지칭될 수 있다. 플라즈마 강화 주기적 증착 방법의 예는, 플라즈마 강화 원자층 증착(PEALD) 또는 플라즈마 강화 주기적 화학 기상 증착(주기적 PECVD)을 포함한다.
일부 구현예에서, 플라즈마는 플라즈마 방전을 통해 기판 또는 반응 공간으로부터 떨어져 원격으로 형성("원격식 플라즈마")될 수 있다. 일부 구현예에서, 플라즈마는 기판의 근방 또는 기판의 바로 위에 형성("직접식 플라즈마")될 수 있다. 일부 구현예에서, 플라즈마는 무선 주파수(RF) 전력으로 가스의 기상 이온화에 의해 생성된다. RF로 발생된 플라즈마를 생성하기 위한 전력은 본 개시의 상이한 구현예에서 변화될 수 있다. 일부 구현예에서, RF 전력은 30 W 내지 100 W이다. 일부 구현예에서, RF 전력은 30 W 내지 80 W, 예컨대, 40 W, 50 W 또는 60 W일 수 있다. 일부 구현예에서, RF 전력은 30 W 내지 70 W일 수 있다. 실리콘 및 산소를 포함한 재료의 증착 동안 RF 플라즈마 발생기의 전력을 조절하는 단계는, 플라즈마에 의해 생성된 반응성 종의 양/밀도 및 에너지에 영향을 미칠 수 있다. 본 발명을 임의의 특정 이론으로 제한하지 않는다면, 더 높은 RF 전력은 더 높은 에너지 이온 및 라디칼의 생성을 초래할 수 있다. 이는, 반응성 종이 기판의 표면 상에 초래하는 손상에 영향을 미칠 수 있다. 예를 들어, 제2 표면이 패시베이션 층을 포함하는 구현예에서, 너무 높은 플라즈마 전력을 피해야 한다.
플라즈마 강화 증착에서, 화학 반응은 플라즈마 내의 반응성 종에 의해 촉진된다. 따라서, 써멀 공정(즉, 플라즈마를 제외한 공정)에 비해 더 낮은 온도가 사용될 수 있다. 따라서, PEALD 또는 주기적 PECVD와 같은 플라즈마 강화 주기적 증착 공정을 사용하면, 제조 공정의 열적 부담이 감소될 수 있다. 일부 구현예에서, 본 개시에 따른 기상 증착 공정은 플라즈마 강화 ALD 공정이다. 일부 구현예에서, 실리콘 및 산소를 포함한 재료는 약 80℃ 내지 약 400℃, 예컨대 약 200℃ 내지 약 350℃의 온도에서 증착된다. 예를 들어, 실리콘 및 산소를 포함한 재료는 약 100℃ 내지 약 350℃의 온도에서, 또는 약 100℃ 내지 약 250℃의 온도에서, 또는 약 100℃ 내지 약 200℃의 온도에서 증착될 수 있다. 일부 구현예에서, 본 개시에 따른 주기적 증착 공정은 주변 온도에서 수행될 수 있다. 일부 구현예에서, 주변 온도는 실온(RT)이다. 일부 구현예에서, 주변 온도는 20℃ 내지 30℃로 변할 수 있다.
촉매는, 실리콘 및 산소를 포함한 재료가 증착되는 동일한 온도에서 반응 챔버 내에 제공될 수 있다. 대안적으로, 촉매를 반응 챔버 내에 제공하는 동안의 온도는 실리콘 및 산소를 포함한 재료가 증착되는 온도와 상이하다. 일부 구현예에서, 기판은 촉매를 반응 챔버 내에 제공하기 전에 가열된다. 패시베이션 차단 층 및 패시베이션 층을 증착하는 단계를 포함하는 구현예에서, 상기 층의 증착 온도는 독립적으로 선택될 수 있다. 예를 들어, 실릴화 공정 동안의 온도는 약 50℃ 내지 약 500℃, 또는 약 100℃ 내지 약 300℃일 수 있다. 다른 예로서, 폴리이미드 함유 패시베이션 층은 190℃ 미만의 온도에서 증착될 수 있고, 후속하여 약 190℃ 이상의 온도(예컨대 200℃ 또는 210℃)에서 열처리되어 폴리아믹 산에서 폴리이미드로 유기 재료의 비율을 증가시키고, 패시베이션 층의 패시베이션 특성을 개선할 수 있다.
압력
본 개시에 따른 방법은 감압 하에 수행될 수 있다. 일부 구현예에서, 본 개시에 따른 증착 공정 동안에 반응 챔버 내의 압력은 약 500 토르 미만이거나, 증착 공정 동안 반응 챔버 내의 압력은 약 0.1 토르 내지 약 500 토르, 또는 약 0.5 토르 내지 약 100 토르, 또는 약 1 토르 내지 약 20 토르이다. 일부 구현예에서, 증착 공정 동안 반응 챔버내 압력은 약 100 토르 미만, 또는 약 50 토르 미만, 약 20 토르 미만 또는 약 10 토르 미만이다.
일부 구현예에서, 증착 사이클 동안의 압력은 약 20 토르 미만이다. 일부 구현예에서, 증착 사이클 동안의 압력은 약 10 토르 미만이다. 일부 구현예에서, 증착 사이클 동안의 압력은 약 5 토르 초과이다. 일부 구현예에서, 증착 사이클 동안의 압력은 약 10 토르 초과이다. 일부 구현예에서, 증착 사이클 동안의 압력은 약 3 토르 내지 약 25 토르이다. 일부 구현예에서, 공정은 일정한 압력으로 수행될 수 있다. 일부 구현예에서, 압력은 증착 공정 동안 변할 수 있다. 예를 들어, 증착 공정보다 활성화 처리를 위해 상이한 압력이 사용될 수 있다. 일부 구현예에서, 압력은 상이한 서브사이클에 대해 독립적으로 선택될 수 있다. 그러나, 일부 구현예에서, 증착 챔버 내에 상이한 반응물을 제공하는 동안 상이한 압력을 사용할 수 있다. 일부 구현예에서, 제1 압력은 촉매를 반응 챔버 내에 제공하는 동안 사용되고, 제2 압력은 실리콘 전구체를 반응 챔버 내에 제공하는 경우 사용된다. 일부 구현예에서, 제2 압력은, 플라즈마를 반응 챔버 내에 제공하는 경우에 사용된다. 일부 구현예에서, 제2 압력은, 실리콘 전구체를 반응 챔버 내에 제공하고 플라즈마를 반응 챔버 내에 제공하는 경우에 사용된다. 일부 구현예에서, 제1 압력은 제2 압력보다 더 작다. 예를 들어, 일부 구현예에서, 제1 압력은 약 10 토르 미만이거나 약 20 토르 미만일 수 있다. 일부 구현예에서, 제1 압력은 약 5 토르 미만, 예컨대 약 0.5 토르, 약 1 토르, 약 2 토르 또는 약 3 토르이다. 일부 구현예에서, 제2 압력은 약 5 토르 이상이다. 일부 구현예에서, 제2 압력은 약 20 토르 이하, 또는 약 10 토르 이하이다. 일부 구현예에서, 제2 압력은 약 5 토르 내지 약 12 토르이다.
반응물
실리콘 전구체
본원에서 사용되는 바와 같이, "실리콘 전구체"는, 가스가 될 수 있고 실리콘을 포함한 화학식으로 표시될 수 있는 가스 또는 재료를 포함한다. 일부 구현예에서, 실리콘 전구체는 히드록실기를 함유하지 않는다. 본 개시에 따른 실리콘 전구체는 알콕시 실란을 포함한다. 일부 구현예에서, 실리콘 전구체는 알콕시 실란이다. 일부 구현예에서, 본 개시에 따른 알콕시 실란은 네 개의 동일한 알콕시기를 포함한다. 일부 구현예에서, 본 개시에 따른 알콕시 실란은 카르복실레이트기를 포함한다. 일부 구현예에서, 본 개시에 따른 알콕시 실란은 히드록실기를 포함한다. 일부 구현예에서, 본 개시에 따른 알콕시 실란은 실릴 에스테르를 포함한다. 일부 구현예에서, 알콕시실란은 테트라아세톡시실란(테트라아세틸 오르토실리케이트), 테트라메톡시실란, 테트라에톡시실란(테트라에틸 오르토실리케이트), 트리메톡시실란, 트리에톡시실란, 및 트리메톡시(3-메톡시프로필)실란으로 이루어진 군으로부터 선택된다. 일부 구현예에서, 본 개시에 따른 트리알콕시 실란은 화학식 RSi(OR')3의 화합물을 포함하되, R은 H, 3-아미노프로필, CHCH3, 3-메톡시프로필로부터 선택되고, R'은 CH3 및 CH2CH3으로부터 선택된다. 일부 구현예에서, 본 개시에 따른 트리에톡시 실란은 화학식 HSi(OCH2CH3)3의 화합물을 포함한다. 일부 구현예에서, 본 개시에 따른 트리에톡시 실란은 트리에톡시-3-아미노프로필 실란(Si(OCH2CH3)3CH2CH2CH2NH2)을 포함한다. 일부 구현예에서, 본 개시에 따른 트리에톡시 실란은 트리에톡시(에틸)실란(Si(OCH2CH3)3CHCH3)을 포함한다.
알콕시 실란, 예를 들어 테트라에톡시실란 및 트리메톡시(3-메톡시프로필)실란은, 이들의 반응성이 더 낮기 때문에, 선택적 증착 응용에서 다른 실리콘 전구체에 비해 이점을 가질 수 있다. 일부 구현예에서, 본 개시에 따른 알콕시 실란은 실리콘 원자에 결합된 하나의 알콕시 치환된 알킬 및 세 개의 알콕시기를 포함한다. 따라서, 실리콘 원자는 세 개의 산소 원자 및 하나의 탄소 원자에 결합될 수 있다. 일부 구현예에서, 알콕시 실란의 실리콘 원자는 네 개의 산소 원자에 결합된다. 이는 유전체 재료의 표면 상에서 이용 가능한 OH기, 및 금속 및 금속성 표면에 적용될 수 있다.
알콕시 실란은 또한, 유기 패시베이션제에 대한 더 낮은 반응성을 가질 수 있다. 일부 구현예에서, 패시베이션제에 대한 감소된 반응성은 유전체 표면을 향하는 것보다 더 두드러진다. 일부 구현예에서, 유기 패시베이션 상에서 실리콘 및 산소를 포함한 재료의 성장이 실질적으로 완전히 방지되는 방식으로 공정 조건을 선택하는 것이 가능하다. 폴리이미드 및/또는 폴리아믹 산과 같은 유기 패시베이션제에 대한 알콕시 실란의 감소된 반응성은 또한, 다른 실리콘 전구체보다 더 강력할 수 있고, 유기 패시베이션제에 대한 일부 플라즈마 유도 손상을 견딜 수 있다. 종합하면, 일반적으로 알콕시 실란 및 특히 테트라에톡시실란은 당업계에 공지된 방법에 비해 더 넓은 선택도 윈도우를 가질 수 있다.
일부 구현예에서, 실리콘 전구체는, 적어도 하나의 증착 사이클에 한 번 초과로 제공된다. 일부 구현예에서, 증착 사이클 동안, 실리콘 전구체는 두 개 이상의 연속 펄스로 제공된다. 일부 구현예에서, 실리콘 전구체는 실란을 포함한다. 일부 구현예에서, 실리콘 전구체는 테트라에톡시실란으로 본질적으로 이루어진다. 일부 구현예에서, 실리콘 전구체는 트리메톡시(3-메톡시프로필)실란을 포함한다. 일부 구현예에서, 실리콘 전구체는 트리메톡시(3-메톡시프로필)실란으로 본질적으로 이루어진다.
촉매
금속 또는 준금속 촉매("촉매")는 제1 표면 상에 실리콘 및 산소를 포함한 재료의 증착을 향상시키거나 가능하게 한다. 본 개시에 따른 이점을 얻기 위해, 전술한 실리콘 전구체가 촉매와 조합될 수 있다. 이는 증착 선택도를 유지하면서 본 개시에 따른 알콕시 실란을 사용하여 증착을 허용할 수 있다.
본 개시에 따른 촉매는 금속 또는 준금속 촉매이다. 일부 구현예에서, 금속 촉매는, B, Zn, Mg, Mn, La, Hf, Al, Zr, Ti, Sn, Y 또는 Ga를 포함한 금속 또는 준금속 화합물이다. 일부 구현예에서, 촉매는 금속 할라이드, 유기금속 또는 금속유기 화합물이다. 일부 구현예에서, 촉매는 제1 표면과 반응할 수 있는 알킬알루미늄, 알킬보론 또는 알킬아연 화합물이다. 예를 들어, 촉매는 트리메틸 알루미늄(TMA), 트리에틸보론(TEB), 또는 디에틸 아연을 포함할 수 있다. 일부 구현예에서, 금속 또는 준금속 촉매는 준금속 촉매이다. 일부 구현예에서, 촉매는 알킬보란을 포함한다. 일부 구현예에서, 촉매는 트리알킬보란을 포함한다. 일부 구현예에서, 촉매는 트리메틸보란 또는 트리에틸보란을 포함한다.
일부 구현예에서, 촉매는 화학식 MRxA3-x를 갖는 화합물을 포함하며, 여기서 x는 1 내지 3이고, R은 C1-C5 알킬 리간드이고, M은 B, Zn, Mg, Mn, La, Hf, Al, Zr, Ti, Sn, Y 또는 Ga이고, A는 할라이드, 알킬아민, 아미노, 실릴 또는 이의 유도체이다. 일부 구현예에서, R은 C1-C3 알킬 리간드이다. 일부 구현예에서, R은 메틸기 또는 에틸기이다. 일부 구현예에서, M은 붕소이다. 일부 구현예에서, 촉매는 ZnRxA2-x이고, 여기서 x는 1 내지 2이고, R은 C1-C5 알킬 리간드이고, A는 할라이드, 알킬아민, 아미노, 실릴 또는 이의 유도체이다. 일부 이러한 구현예에서, R은 C1-C3 알킬 리간드이다. 일부 구현예에서, R은 메틸기 또는 에틸기이다.
일부 구현예에서, 촉매는 알루미늄 촉매이다. 사용될 수 있는 Al 화합물의 예시는, 트리메틸 알루미늄(TMA), 디메틸알루미늄클로라이드, 알루미늄 트리클로라이드(AlCl3), 디메틸알루미늄 이소프로폭시드(DMAl), 트리스(터트부틸)알루미늄(TTBA), 트리스(이소프로폭시드)알루미늄(TIPA), 트리스(디메틸아미노) 알루미늄(TDMAA) 또는 트리에틸 알루미늄(TEA)을 포함한다. 일부 구현예에서, 알루미늄 촉매는 헤테로렙틱 알루미늄 화합물이고 이를 포함한다. 일부 구현예에서, 헤테로렙틱 알루미늄 화합물은 알킬기 및 할라이드, 예컨대 Cl과 같은 다른 리간드를 포함한다. 일부 구현예에서, 알루미늄 촉매는 디메틸알루미늄클로라이드를 포함한다. 일부 구현예에서, 알루미늄 촉매는, 두 개의 상이한 알킬기를 리간드로서 포함한 알킬 전구체를 포함한다. 일부 구현예에서, 알루미늄 화합물은 알루미늄 이소프로폭시드이다. 일부 구현예에서, 알루미늄 전구체는 금속유기 화합물을 포함한다. 일부 구현예에서, 알루미늄 전구체는 유기금속 화합물을 포함한다. 일부 구현예에서, 알루미늄 촉매는 알루미늄 화합물, 예컨대 트리메틸알루미늄(TMA), 디메틸알루미늄클로라이드, 알루미늄 트리클로라이드(AlCl3), 디메틸알루미늄 이소프로폭시드(DMAl), 트리스(터트부틸)알루미늄(TTBA), 트리스(이소프로폭시드)알루미늄(TIPA), 트리스(디메틸아미노) 알루미늄(TDMAA) 또는 트리에틸 알루미늄(TEA)이다.
일부 구현예에서, 촉매는 지르코늄 화합물, 예컨대 ZrD-04이다. 일부 구현예에서, 촉매는 테트라키스(에틸메틸아미노)지르코늄(TEMAZ)이다. 일부 구현예에서, 촉매는 ZrCl4이다.
일부 구현예에서, 촉매는 트리스(이소프로필-시클로펜타디에닐)란타늄(LA(iPrCp)3)과 같은 란타늄 화합물이다. 일부 구현예에서, 촉매는 티타늄 이소프로폭시드(TTIP) 또는 TiCl4와 같은 티타늄 화합물이다. 일부 구현예에서, 촉매는 갈륨 화합물, 예컨대 트리메틸갈륨(TMG)이다. 일부 구현예에서, 촉매는 HfD-04, HfCl4 또는 Hf(NO3)4와 같은 하프늄 화합물이다.
촉매는, 단일 펄스로 또는 다수 펄스의 순서로 기판을 유지한 반응 챔버 안에 제공될 수 있다. 일부 구현예에서, 촉매는 단일 긴 펄스로 제공된다. 일부 구현예에서, 촉매는 다수의 짧은 펄스로 제공된다. 펄스는 순차적으로 제공될 수 있다. 일부 구현예에서, 촉매는 약 0.1 내지 약 60초의 1 내지 25회 펄스로 제공된다. 일부 구현예에서, 촉매는 약 0.1 내지 약 60초, 약 1 내지 약 30초 또는 약 25초의 단일 펄스로 제공된다. 일부 구현예에서, 촉매는 모든 증착 사이클에서 반응 챔버 내에 제공된다. 일부 구현예에서, 촉매는 모든 증착 사이클에서 단일 펄스로 반응 챔버 내에 제공된다. 각각의 증착 사이클에서의 펄스 길이는 약 0.1초 내지 약 10초, 예컨대 약 1초 내지 약 5초일 수 있다. 촉매 펄스 사이에서, 과량의 촉매가 반응 공간으로부터 제거될 수 있다. 예를 들어, 반응 챔버는 불활성 가스로 배기 및/또는 퍼지될 수 있다. 퍼지는, 예를 들어 약 1 내지 30초 이상일 수 있다. 퍼지는, 예컨대 진공 펌프로 챔버를 배기하고/배기하거나 반응 챔버 내부의 가스를 불활성 가스로 대체함으로써 기상 촉매 및/또는 기상 부산물을 반응 챔버로부터 제거하는 것을 의미한다. 일부 구현예에서, 기상 촉매는, 기상 촉매를 포함한 반응 공간으로부터 기판을 이동시킴으로써, 기판 표면으로부터 제거된다.
"촉매"라는 용어는 간략화를 위해 본 개시 전체에 걸쳐 사용된다. 실제로, 표면 결합 촉매 활성 물질은, 기상으로 반응 챔버 내에 제공된 물질과 화학적으로 상이할 수 있음을 이해할 수 있다.
플라즈마
본 개시에 따른 구현예에서, 실리콘 및 산소를 포함한 재료를 기판 상에 증착하기 위한 플라즈마가 반응 챔버 내에 제공된다. 플라즈마는, 간략화를 위해 플라즈마 전구체로 본원에서 지칭되는 가스로부터 생성된다. 증착 공정에 사용되는 증착 어셈블리의 요소 및 설계 선택에 따라, 가스가 기상 또는 액상으로 존재할 수 있는 용기로부터 가스가 제공될 수 있음을 이해할 것이다.
일부 구현예에서, 플라즈마는 실질적으로 비활성 가스만을 함유한 가스로부터 발생된다. 일부 구현예에서, 플라즈마는 비활성 가스로부터 발생된다. 따라서, 이러한 구현예에서, 플라즈마 전구체는 비활성 가스이다. 일부 구현예에서, 상기 비활성 가스는 헬륨, 네온 및 아르곤으로 이루어진 군으로부터 선택된다. 일부 구현예에서, 플라즈마는 하나 이상의 비활성 가스만을 포함하거나 실질적으로 이들 가스만을 포함한 가스로부터 생성된다. 일부 구현예에서, 플라즈마는 하나의 비활성 가스만을 포함하거나 실질적으로 이들 가스만을 포함한 가스로부터 생성된다. 일부 구현예에서, 플라즈마는 하나의 아르곤만을 포함하거나 실질적으로 이들 가스만을 포함한 가스로부터 생성된다. 따라서, 이러한 구현예에서, 플라즈마 전구체는 아르곤이다. 일부 구현예에서, 플라즈마는 하나의 헬륨만을 포함하거나 실질적으로 이들 가스만을 포함한 가스로부터 생성된다. 일부 구현예에서, 플라즈마는 하나의 네온만을 포함하거나 실질적으로 이들 가스만을 포함한 가스로부터 생성된다. 일부 구현예에서, 플라즈마는 하나의 네온만을 포함하거나 실질적으로 이들 가스만을 포함한 가스로부터 생성된다. 일부 구현예에서, 플라즈마는 비활성 가스 및 추가 원소로부터 생성된다. 일부 구현예에서, 추가 원소는 수소 및 질소로부터 선택된다. 일부 구현예에서, 플라즈마는 실질적으로 비활성 가스와 수소만을 함유한 가스로부터 발생된다. 일부 구현예에서, 플라즈마는 실질적으로 아르곤과 수소만을 포함한 가스로부터 발생된다. 일부 구현예에서, 추가 원소는 질소이다. 일부 구현예에서, 플라즈마는 실질적으로 아르곤 및 질소만을 함유한 가스로부터 발생된다. 일부 구현예에서, 추가 원소는 질소이고, 실리콘 및 산소를 포함한 재료는 질소를 추가로 포함한다. 그러나, 일부 구현예에서, 플라즈마는 세 개의 원소 또는 화합물을 함유한 가스로부터 발생될 수 있다. 일부 구현예에서, 플라즈마는 네 개의 원소 또는 화합물을 함유한 가스로부터 발생될 수 있다.
플라즈마 이온 에너지는 본 개시의 구현예에서 낮게 유지될 수 있다. 플라즈마 이온 에너지는 기판 상의 패시베이션 층과 같은 손상 표면 및 공정 속도 모두에 영향을 미칠 수 있다. 너무 높은 플라즈마 에너지는 가능한 패시베이션 층을 손상시킬 수 있고, 증착의 선택도에 악영향을 미칠 수 있다. 일부 구현예에서, 플라즈마는 RF 플라즈마이고, 플라즈마 전력은 100 W를 초과하지 않는다. 일부 구현예에서, 플라즈마 이온 에너지는 160 eV를 초과하지 않는다. 일부 구현예에서, 플라즈마의 최대 이온 에너지는 약 25 eV 내지 약 160 eV, 예컨대 약 30 eV 내지 약 150 eV 또는 약 30 eV 내지 약 120 eV, 또는 약 30 eV 내지 약 70 eV이다. 일부 구현예에서, 플라즈마의 최대 이온 에너지는 약 40 eV 약 50 eV, 약 60 eV, 약 80 eV 또는 약 100 eV이다. 본 개시에 따라 실리콘 및 산소를 포함한 재료를 증착하기 위해 가벼운 플라즈마 처리를 사용하면, 원하는 경우, 물과 같은 산화제의 사용을 피할 수 있다. 실리콘 및 산소를 포함한 재료의 성장 속도는 산화제가 없을 때 여전히 비교적 빠르게 유지될 수 있으며, 가능하면 대량 제조에서 이점을 제공할 수 있다.
본 개시를 임의의 특정 이론으로 제한하지 않는다면, 실리콘 전구체는 기판 표면 상에 이용 가능한 히드록실기를 통해 기판 표면 상에 화학 흡착할 수 있다. 실리콘 전구체의 알콕시기의 산소 원자는, 기판 표면과 반응하여, 표면 결합 산소와 알콕시 실란의 실리콘 원자 사이의 결합을 초래할 수 있다.
알콕시드 향상제
일부 구현예에서, 실리콘 전구체를 반응 챔버 내에 제공한 후, 알콕사이드 향상제가 반응 챔버 내에 제공된다. 본 개시를 임의의 특정 이론으로 제한하지 않는다면, 알콕시드 향상제 반응물은, 기판 표면 상에 화학 흡착된 실리콘 전구체 내의 알콕시드 기의 일부를 히드록실기로 환원시킬 수 있으며, 이는 다음의 증착 사이클 동안 추가 실리콘 전구체의 화학 흡착을 향상시킬 수 있다. 일부 구현예에서, 알콕시드 향상제는 알콕시드를 포함한다. 일부 구현예에서, 알콕시드 향상제는 알콕시드이다. 일부 구현예에서, 알콕시드 향상제는 알코올을 포함한다. 일부 구현예에서, 알콕시드 향상제는 알코올이다. 본 개시에 따른 알코올은 일차 알코올일 수 있다. 예를 들어, 본 개시에 따른 알코올은 메탄올, 에탄올, 프로판올, 1-부탄올 또는 이소부탄올일 수 있다. 본 개시에 따른 알코올은 이차 알코올일 수 있다. 일부 구현예에서, 알코올은 2-프로판올일 수 있다.
제1 산소 반응물
금속 산화물 서브사이클을 포함하는 구현예에서, 제1 산소 반응물은 촉매와 함께 사용되어 금속 산화물을 증착하고/증착하거나 기판 표면을 변형시켜 실리콘 및 산소를 포함한 재료의 증착 속도를 증가시킬 수 있다. 제1 산소 반응물이라는 용어는 명료성을 위해, 알콕시드 향상제 및 플라즈마를 반응 챔버 내에 제공하는 것과 연관하여 사용된 제2 산소 반응물과 같이, 공정의 일부 구현예에서 사용되는 다른 산소 함유 화학물질과 구별하기 위해, 이 반응물에 사용된다.
본 개시에 따른 제1 산소 반응물은 수소 및 산소를 포함한다. 일부 구현예에서, 제1 산소 반응물은 탄소를 함유하지 않으며, 즉, 탄소가 없다. 일부 구현예에서, 제1 산소 반응물은 실리콘을 함유하지 않으며, 즉, 실리콘이 없다. 일부 구현예에서, 제1 산소 반응물은 물을 포함한다. 일부 구현예에서, 제1 산소 반응물은 물로 실질적으로 구성되거나 이로 구성된다. 일부 구현예에서, 제1 산소 반응물은 과산화수소를 포함한다. 일부 구현예에서, 제1 산소 반응물은 과산화수소로 실질적으로 구성되거나 이로 구성된다.
일부 구현예에서, 제1 산소 반응물은 카르복시기를 포함한다. 일부 구현예에서, 제1 산소 반응물은 카르복시산을 포함한다. 카르복시기 포함 제1 산소 반응물은 C1 내지 C7 카르복시산, 또는 C1 내지 C3 카르복시산일 수 있다. 본 개시에 따른 예시적인 카르복시산은 포름산, 아세트산, 프로피온산, 부티르산, 펜타논산, 헥사논산, 헵타논산, 이소부티르산, 2-메틸부타논산, 3-메틸부타논산, 피발산, 2,2-디메틸부타논산, 2-메틸펜타논산, 3-메틸펜타논산, 2-에틸펜타논산, 2-에틸펜타논산 및 2,3-디메틸부타논산이다.
일부 구현예에서, 제1 산소 반응물은 카르복시기를 포함한다. 일부 구현예에서, 제1 산소 반응물은 카르복시산을 포함한다. 카르복시기 포함 제1 산소 반응물은 C1 내지 C7 카르복시산, 또는 C1 내지 C3 카르복시산일 수 있다. 본 개시에 따른 예시적인 카르복시산은 포름산, 아세트산, 프로피온산, 부티르산, 펜타논산, 헥사논산, 헵타논산, 이소부티르산, 2-메틸부타논산, 3-메틸부타논산, 피발산, 2,2-디메틸부타논산, 2-메틸펜타논산, 3-메틸펜타논산, 2-에틸펜타논산, 2-에틸펜타논산 및 2,3-디메틸부타논산이다.
제2 산소 반응물
본 개시에 따른 방법의 일부 구현예에서, 제2 산소 반응물은 반응 챔버 내에 제공된다. 제2 산소 반응물은 플라즈마를 반응 챔버 내에 제공하는 단계와 동시에, 또는 플라즈마를 반응 챔버에 제공하는 단계에 대해 순차적으로, 플라즈마를 반응 챔버 내에 제공하는 단계와 함께 사용된다. 일부 구현예에서, 제2 산소 반응물은 산소 분자(O2)를 포함한다. 일부 구현예에서, 본 개시에 따른 제2 산소 반응물은 수소 및 산소를 포함한다. 일부 구현예에서, 제2 산소 반응물은 물을 포함한다. 일부 구현예에서, 제2 산소 반응물은 과산화수소를 포함한다. 일부 구현예에서, 제2 산소 반응물은 탄소를 함유하지 않으며, 즉, 탄소가 없다. 일부 구현예에서, 제2 산소 반응물은 실리콘을 함유하지 않으며, 즉, 실리콘이 없다. 일부 구현예에서, 제2 산소 반응물은 물을 포함한다. 일부 구현예에서, 제2 산소 반응물은 물이다. 일부 구현예에서, 제2 산소 반응물은 과산화수소를 포함한다. 일부 구현예에서, 제2 산소 반응물은 과산화수소이다. 일부 구현예에서, 제2 산소 반응물의 사용은 바람직하지 않을 수 있다. 예를 들어, 제2 표면이 금속을 포함하는 구현예에서, 금속은 산화될 수 있으며, 이는 바람직하지 않을 수 있다. 따라서, 일부 구현예에서, 제2 산소 반응물은 사용되지 않는다. 제2 산소 반응물은 제1 표면 및 제2 표면이 쉽게 산화되지 않거나 표면 상에서 어느 정도의 산화가 용인될 수 있는 구현예에서 사용될 수 있다. 예를 들어, 제1 표면 및 제2 표면이 상이한 유전체 재료인 일부 구현예에서, 제2 산소 반응물이 사용될 수 있다.
제2 산소 반응물을 사용하는 것이 일부 구현예에서 사용될 수 있지만, 일부 구현예에서, 공정은 제2 산소 반응물의 부재 하에 수행된다. 따라서, 일부 구현예에서, 실리콘 및 산소를 포함한 재료는 실리콘 전구체가 있는 다른 산소 공급원의 부재 하에 증착될 수 있다.
일부 구현예에서, 제1 산소 반응물 및 제2 산소 반응물은 동일할 수 있다. 대안적으로, 제1 산소 반응물 및 제2 산소 반응물은 상이할 수 있다. 일부 구현예에서, 두 개의 상이한 제2 산소 반응물이 사용될 수 있다.
공정 체계
일부 구현예에서, 본 개시에 따른 증착 체계는 n(A+B+C)으로 설명될 수 있고, n은 증착 사이클의 수이고, 여기서 A는 촉매를 반응 챔버 내에 제공하는 단계를 나타내고, B는 실리콘 전구체를 반응 챔버 내에 제공하는 단계를 나타내고, C는 플라즈마를 반응 챔버 내에 제공하는 단계를 나타낸다. 촉매는, 단일 펄스로 또는 다수 펄스의 순서로 기판을 유지한 반응 챔버 안에 제공될 수 있다. 일부 구현예에서, 촉매는 단일 긴 펄스로 제공된다. 일부 구현예에서, 촉매는 다수의 더 짧은 펄스, 예컨대 2 내지 약 30 펄스로 제공된다. 펄스는 순차적으로 제공될 수 있다. 두 개의 연속적인 촉매 펄스 사이에 퍼지가 있을 수 있다. 실리콘 전구체는, 단일 펄스로 또는 다수 펄스의 순서로 기판을 유지하는 반응 챔버 안에 제공될 수 있다. 일부 구현예에서, 실리콘 전구체는 단일 긴 펄스로 제공된다. 일부 구현예에서, 실리콘 전구체는 다수의 더 짧은 펄스, 예컨대 2 내지 약 30 펄스로 제공된다. 예를 들어, 마스터 사이클은, 단일 펄스로 반응 챔버 내에 촉매를 제공하는 단계, 그 다음 실리콘 전구체를 다수의 펄스로, 예를 들어 약 15 내지 약 25 펄스로 반응 챔버 내에 제공하는 단계, 및 그 다음 아르곤 플라즈마를 단일 펄스로 반응 챔버 내에 제공하는 단계를 포함할 수 있다. 펄스는 순차적으로 제공될 수 있다. 두 개의 연속적인 실리콘 전구체 펄스 사이에 퍼지가 있을 수 있다.
일부 구현예에서, 본 개시에 따른 방법은 n(A+B+C+D)으로 기재될 수 있으며, n은 증착 사이클의 수이고, A는 반응 챔버 내에 촉매를 제공하는 단계를 나타내고, B는 실리콘 전구체를 반응 챔버 내에 제공하는 단계를 나타내고, C는 플라즈마를 반응 챔버 내에 제공하는 단계를 나타내고, D는 산소 분자와 같은 제2 산소 반응물 또는 알코올과 같은 알콕시드 향상제를 반응 챔버 내에 제공하는 단계를 나타낸다. 일부 구현예에서, 플라즈마를 반응 챔버 내에 제공하기 전에 제2 산소 반응물 또는 알콕시드 향상제가 반응 챔버 내에 제공된다(n(A+B+D+C)으로 표시됨). 일부 구현예에서, 반응물 및/또는 전구체 중 일부는 모든 증착 사이클에서 반응 챔버 내에 제공되지 않을 수 있다. 이러한 구현예에서, 증착 공정은, 모든 전구체 및 반응물이 반응 챔버 내에 제공되는 마스터 사이클을 포함한다. 예를 들어, 본 개시에 따른 증착 공정은 n(A+m(B+C+D))으로 표시될 수 있으며, 여기서 n은 마스터 사이클의 수이고, m은 매 마스터 사이클에서 수행되는 서브사이클의 수이다. 이러한 구현예에서, 모든 서브사이클에서 실리콘 전구체(B), 플라즈마(C) 및 제2 산소 반응물 또는 알콕시드 향상제(D)가 반응 챔버 내에 제공된다. 전술한 바와 같이, 제2 산소 반응물 또는 알콕시드 향상제는 플라즈마 전에 반응 챔버 내에 제공될 수 있다(n(A+m(B+D+C))). 간략화를 위해 단일 문자 D로 표시되었지만, 제2 산소 반응물 및 알콕시드 향상제는 전술한 바와 같이 서로 독립적으로 사용될 수 있다. 이들 중 하나 또는 둘 모두는 본 개시에 따른 증착 공정에 사용될 수 있다.
일부 구현예에서, 본 개시에 따른 방법은 중첩된 서브사이클을 포함한다. 예를 들어, 공정은 n(A+o(m(B+C)+D))로 설명될 수 있고, 여기서 실리콘 전구체 및 플라즈마(또는 n(A+o(m(B+D)+C))에서와 같이, 실리콘 전구체 및 제2 산소 반응물/알콕시드 향상제)가 반응 챔버 내에 m회 제공되고, 그 후에 제2 산소 반응물/알콕시드 향상제(또는 경우에 따라 플라즈마)가 챔버 내에 제공되고, 이 서브사이클은 반복적으로 o회 제공된다. 마스터 사이클의 일부로서, 촉매가 반응 챔버 내에 제공(A)되고, 마스터 사이클의 수는 전술한 바와 같이 n에 의해 설명된다. 본원에 설명된 모든 구현예에서, 제2 산소 반응물 또는 알콕시드 향상제를 반응 챔버 내에 제공하는 단계는, 반응 챔버 내에 다른 전구체 또는 반응물을 제공하는 단계와 부분적으로 중첩될 수 있다.
일부 구현예에서, 두 개의 반응물이 공동 펄스화될 수 있으며, 즉 두 반응물은 반응 챔버 내에 적어도 부분적으로 동시에 제공된다. 예를 들어, 일부 구현예에서, 금속 촉매 및 실리콘 전구체를 반응 챔버 내에 동시에 제공하는 것이 유리할 수 있다(하기 A/B로 표시됨). 일부 구현예에서, 금속 촉매 및 실리콘 전구체의 펄스는 부분적으로 중첩된다. 일부 구현예에서, 금속 촉매 및 실리콘 전구체의 펄스는 적어도 부분적으로 중첩된다. 일부 구현예에서, 금속 촉매 및 실리콘 전구체의 펄스는 완전히 중첩된다. 일부 구현예에서, 본 개시에 따른 증착 체계는 n(A/B+C)로 표시될 수 있으며, 여기서 A는 촉매, B는 실리콘 전구체, 및 C는 플라즈마를 나타낸다. 일부 구현예에서, 본 개시에 따른 증착 체계는 n(m(A/B+C)+o(B+C)로 표시될 수 있고, 여기서 n, mo는 서로 독립적이며, 표시된 사이클의 반복 횟수를 도시한다. 또한, 일부 구현예에서, 증착 사이클은 실리콘 전구체 및 제2 산소 반응물을 공동 펄스화하는 단계를 포함할 수 있다. 예를 들어, 테트라에톡시실란과 물, 또는 테트라에톡시실란과 포름산이 적어도 부분적으로 동시에 반응 챔버 내에 제공될 수 있다. 또한, 두 개의 상이한 제2 산소 반응물, 예를 들어 물 및 카르복시산을 공동 펄스화하는 것이 유리할 수 있다. 일부 구현예에서, 실리콘 전구체와 제2 산소 반응물은 적어도 부분적으로 동시에 반응 챔버 내에 제공된다. 일부 구현예에서, 실리콘 전구체와 제2 산소 반응물은 적어도 부분적으로 별도로 반응 챔버 내에 제공된다. 일부 구현예에서, 실리콘 전구체와 제2 산소 반응물은 순차적으로 반응 챔버 내에 제공된다. 일부 구현예에서, 실리콘 전구체와 알콕시드 향상제는 적어도 부분적으로 동시에 반응 챔버 내에 제공된다. 일부 구현예에서, 실리콘 전구체와 알콕시드 향상제는 적어도 부분적으로 별도로 반응 챔버 내에 제공된다. 일부 구현예에서, 실리콘 전구체와 알콕시드 향상제는 순차적으로 반응 챔버 내에 제공된다도면
본 개시는 도면에 도시된 다음의 예시적인 구현예에 의해 추가로 설명된다. 본원에 제시된 예시는 임의의 특정한 재료, 구조체, 소자 또는 장치의 실제 뷰를 의도하려 하는 것은 아니며, 단지 본 개시의 구현예를 설명하기 위해 단순히 사용되는 개략적 표현이다. 도면의 요소는 간략하고 명료하게 도시되어 있으며, 반드시 축적대로 도시되지 않았음을 이해할 것이다. 예를 들어, 도면 중 일부 요소의 치수는 다른 요소에 비해 과장되어 본 개시의 도시된 구현예의 이해를 개선할 수 있다. 도면에 도시된 구조체 및 소자는 명확성을 위해 생략될 수 있는 추가 요소 및 세부 사항을 포함할 수 있다.
도 1 패널 a) 내지 f)는 본 개시에 따른 방법의 일 구현예를 개략적으로 나타낸다. 도면에서, 제1 표면(102) 및 제2 표면(104)을 포함한 기판(100)이 도시되어 있다. 제1 표면(102)은 차단 층(106)에 의해 제2 표면(104)에 대해 차단되고, 제2 표면(104)은 차단 층(106)을 포함한 제1 표면(102)에 대해 유기 패시베이션 층(108)에 의해 선택적으로 패시베이션되고, 이어서 패시베이션된 제2 표면(104)에 대해 제1 표면(102) 상에 실리콘 및 산소를 포함한 재료(112)를 선택적으로 증착한다.
패널 a)는 상이한 재료 특성을 갖는 두 개의 표면(102, 104)을 갖는 기판(100)을 나타낸다. 예를 들어, 제1 표면(102)은 유전체 표면일 수 있다. 제1 표면(102)은 실리콘 산화물계 재료 또는 본 개시에 설명된 다른 유전체 재료를 포함하거나, 이로 필수적으로 구성되거나, 이로 구성될 수 있다. 제2 표면(104)은 본 개시에 개시된 구리(Cu) 또는 다른 금속 같은 금속을 포함하거나, 이로 필수적으로 구성되거나, 이로 구성될 수 있다.
패널 b)는, 예컨대 실릴화에 의한 제2 표면(104)의 선택적 차단 후의 패널 a)의 기판(100)을 나타낸다. 예를 들어, 알릴트리메틸실란(TMS-A), 클로로트리메틸실란(TMS-Cl), N-(트리메틸실릴)이미다졸(TMS-Im), 옥타데실트리클로로실란(ODTCS), 헥사메틸디실라잔(HMDS), 또는 N-(트리메틸실릴)디메틸아민(TMSDMA)와 같은 실리릴화제에 기판(100)을 노출시킴으로써 유전체 표면 상에 차단 층(106)을 선택적으로 형성할 수 있다.
패널 c)는, 예를 들어 SAM 또는 폴리이미드를 포함한 층의 형성에 의해, 제2 표면(104) 상에 유기 패시베이션 층(108)을 선택적으로 증착한 후의 패널 b)의 기판(100)을 나타낸다.
패널 d)는 제2 표면(104) 상의 중합체 패시베이션 층(108)에 대해 제1 표면 상에 촉매(110)를 선택적으로 증착한 후의 패널 c)의 기판(100)을 나타낸다. 촉매는, 기판을 촉매에, 예컨대 트리메틸 알루미늄(TMA), 디메틸알루미늄클로라이드, 알루미늄 트리클로라이드(AlCl3), 디메틸알루미늄 이소프로폭시드(DMAl), 트리스(터트부틸)알루미늄(TTBA), 트리스(이소프로폭시드)알루미늄(TIPA) 리스(디메틸아미노) 알루미늄(TDMAA) 또는 트리에틸 알루미늄(TEA)에 노출시킴으로써, 제1 표면(102) 상에 선택적으로 형성될 수 있다. 알루미늄 촉매로 나타나 있지만, 다른 구현예에 다른 금속 또는 준금속을 포함한 촉매가 사용될 수 있다.
패널 e)는 중합체 패시베이션된 제2 표면(104)에 대해 촉매를 포함한 제1 표면(102) 상에 실리콘 및 산소를 포함한 재료(112)를 선택적으로 증착한 후의 패널 d)의 기판(100)을 나타낸다. 실리콘 및 산소를 포함한 재료(112)는, 테트라에톡시실란과 같은 알콕시 실란을 포함한 실리콘 전구체를 반응 챔버 내에 제공하고, 본 개시에 따라 플라즈마를 반응 챔버 내에 제공함으로써 증착된다. 본 개시를 임의의 특정 이론으로 제한하지 않는다면, 알콕시 실란은 촉매를 포함한 유전체 표면 상의 금속 원자 상에서 분해될 수 있으며, 이는 실리콘 및 산소를 포함한 재료를 증착 제1 표면 상에 증착한다. 일부 예시적인 구현예에서, 플라즈마 처리는 아르곤으로부터만 실질적으로 생성된 플라즈마에 의해 수행된다. 본 개시를 임의의 특정 이론으로 제한하지 않는다면, 플라즈마 기반 증착 공정은 실리콘 및 산소, 예컨대 실리콘 산화물 및/또는 금속 실리케이트를 포함한 재료의 증착을 열적 공정에서보다 더 높은 성장 속도로 허용한다. 아르곤 또는 다른 귀금속으로부터 생성된 플라즈마는, 특정 패시베이션 재료가 사용되는 경우에 이점을 가질 수 있다. 예를 들어, 폴리이미드 함유 패시베이션 층은, 패시베이션 층을 재증착할 필요 없이 여러 증착 사이클에 걸쳐 선택적 증착이 계속될 수 있도록, 플라즈마 처리를 견딜 수 있다. 예를 들어, 촉매로서 디메틸 알루미늄 이소프로폭시드, 실리콘 전구체로서 테트라에틸 오르토실리케이트 및 아르곤으로부터 생성된 플라즈마를 사용하는 조합은, 실리콘 및 산소를 포함한 재료를 적절히 증착하기에 적합한 것으로 밝혀졌다.
중합체 패시베이션 금속 층(108)과 같은 제2 표면(104) 상에 증착된 임의의 실리콘 및 산소를 포함한 재료(112)는 에치 백 공정과 같은 증착후 처리에 의해 제거될 수 있다. 실리콘 및 산소를 포함한 재료가 제1 표면(102) 상에 선택적으로 증착되기 때문에, 패시베이션 층(108) 상에 남은 실리콘 및 산소를 포함한 임의의 재료(112)는 제1 표면(102) 상에 증착된 실리콘 및 산소를 포함한 재료보다 얇을 것이다. 따라서, 증착후 처리는, 유전체 표면 위로부터 실리콘 및 산소를 포함한 재료(112) 전부를 제거하지 않으면서 제2 표면(104) 위로부터 실리콘 및 산소를 포함한 재료의 전부 또는 실질적으로 전부를 제거하도록 제어될 수 있다. 이런 방식으로 선택적 증착과 에치 백을 반복하면, 증착 및 에칭의 각 사이클마다 제1 표면(102) 상의 실리콘 및 산소를 포함한 재료(112)의 두께가 증가할 수 있다. 이런 방식으로 선택적 증착과 에치 백을 반복하면, 제1 표면(102) 상의 실리콘 및 산소를 포함한 재료(112)의 전반적인 선택도가 증가할 수도 있는데, 그 이유는 증착 및 에칭의 각 사이클은 제1 표면(102)과 비교하면 낮은 속도로 실리콘 및 산소를 포함한 재료가 선택적으로 증착되는 깨끗한 패시베이션 층(108)을 남기기 때문이다. 다른 구현예에서, 제2 표면(104) 위의 실리콘 및 산소를 포함한 재료는 패시베이션 층(108)의 후속 제거 중에 제거될 수 있다.
패널 f)는, 제2 표면(104)으로부터 패시베이션 층(108)을 제거하기 위한 증착후 처리, 예컨대 에칭 공정 이후에 패널 e)의 기판을 나타낸다. 일부 구현예에서, 에칭 공정은 기판(100)을 플라즈마에 노출시키는 단계를 포함할 수 있다. 일부 구현예에서, 증착후 처리용 플라즈마는 산소 원자, 산소 라디컬, 산소 플라즈마 또는 이들의 조합을 포함할 수 있다. 일부 구현예에서, 플라즈마는 수소 원자, 수소 라디컬, 수소 플라즈마 또는 이들의 조합을 포함할 수 있다. 일부 구현예에서, 플라즈마는 비활성 가스 종, 예를 들어 Ar 종이나 He 종을 포함할 수도 있다. 일부 구현예에서, 플라즈마는 본질적으로 비활성 가스 종으로 구성될 수 있다. 일부 구현예에서, 플라즈마는 다른 종, 예를 들어 질소 원자, 질소 라디컬, 질소 플라즈마, 또는 이들의 조합을 포함할 수 있다. 일부 구현예에서, 에칭 공정은 예를 들어 O3와 같은 산소를 포함하는 에천트에 기판을 노출시키는 단계를 포함할 수 있다. 일부 구현예에서, 기판은 약 30℃ 내지 약 500℃, 또는 약 100℃ 내지 약 400℃의 온도에서 에천트에 노출될 수 있다. 일부 구현예에서, 에천트는 하나의 연속 펄스로 공급될 수 있거나 다수의 펄스로 공급될 수 있다. 패시베이션 층(108)의 제거는 주기적인 선택적 증착 및 제거에 있어서 패시베이션 층(108)을 완전히 제거하거나 패시베이션 층(108)을 부분적으로 제거할 때 금속 층 위로부터 실리콘 및 산소를 포함한 임의의 잔여 재료를 리프트 오프하는 데 사용될 수 있다.
도 2a는 본 개시에 따른 방법의 예시적인 구현예의 블록 다이어그램이다. 먼저 블록(202)에서, 기판이 반응 챔버 내에 제공된다. 기판은 본 개시에 설명된 대로 제1 표면과 제2 표면을 포함한다. 예를 들어, 제1 표면은 실릴화제와 같은 패시베이션 차단제를 포함한 유전체 표면일 수 있고, 제2 표면은 유기 패시베이션 층을 포함한 구리 표면과 같은 금속 표면일 수 있다. 예시적인 구현예에서, 금속 패시베이션 층은 폴리이미드를 포함한다. 패시베이션 층의 증착은 후속하는 선택적 증착의 정확성을 개선하기 위해, 증착된 패시베이션 층을 에치 백하는 단계를 포함할 수 있다. 촉매를 반응 챔버 내에 제공하기 전에, 블록(202)에서, 기판은 가열될 수 있다.
기판을 반응 챔버 내에 제공(202)한 후, 블록(204)에서, 촉매가 반응 챔버 내에 제공되어 촉매를 기판과 접촉시킨다. 촉매는, 예를 들어 디메틸알루미늄 이소프로폭시드와 같이 알루미늄 함유 촉매일 수 있다. 촉매는 기상으로 반응 챔버 내에 제공된다. 촉매를 제공하는 지속 시간은, 예를 들어 약 0.5초 내지 약 10초, 예컨대 약 1초, 약 2초, 약 3초, 약 5초 또는 약 7초일 수 있다. 반응 챔버는, 촉매를 반응 챔버 내에 제공한 후에 퍼지될 수 있다. 퍼지는 도 2a에 표시되지 않지만, 블록(204)에 선택적으로 포함될 수 있다.
블록(206)에서, 알콕시 실란을 포함한 실리콘 전구체가 기상으로 반응 챔버 내에 제공된다. 예시적인 구현예에서, 실리콘 전구체는 테트라에톡시실란이다. 실리콘 전구체는 기판의 제2 표면에 대해 제1 표면 상에 선택적으로 화학 흡착된다. 실리콘 전구체는 약 0.2 내지 8초 동안, 예를 들어 약 0.5초 동안, 약 1초 동안, 약 3초 동안 또는 약 5초 동안 반응 챔버 내에 제공(즉, 펄스화)될 수 있다. 일부 구현예에서, 실리콘 전구체는 다수의, 예컨대 2, 4 또는 10개의 연속 펄스로 반응 챔버 내에 제공된다. 일부 구현예에서, 실리콘 전구체는 각각의 증착 사이클에 대해 단일 펄스로 반응 챔버 내에 제공된다. 반응 챔버는 실리콘 전구체 펄스 후에 퍼지될 수 있다. 퍼지는 도 2a에 표시되지 않지만, 블록(206)에 선택적으로 포함될 수 있다.
블록(208)에서, 플라즈마는 제1 표면 상에 실리콘 및 산소를 포함한 재료를 형성하기 위한 반응성 종을 생성하도록 반응 챔버 내에 제공된다. 플라즈마를 반응 챔버 내로 제공하는 지속시간(즉, 플라즈마 펄스 길이)은, 예를 들어 플라즈마 전력 및 챔버 압력에 따라 달라질 수 있다. 플라즈마 펄스 길이는, 예를 들어 약 0.1초 내지 약 10초, 약 0.1초 내지 약 2초, 약 0.2초 내지 약 5초, 또는 약 0.5초 내지 약 5초, 예컨대 약 1초 또는 약 1.5초일 수 있다. 아르곤 플라즈마 및 30 W의 플라즈마(RF) 전력 및 약 8 토르의 챔버 압력을 사용하는 예시적인 구현예에서, 약 1초, 약 2초 또는 약 3초의 펄스 길이가 사용될 수 있다. 예시적인 구현예에서, 반응성 종은 아르곤 플라즈마로부터 생성된다. 반응성 종은 화학 흡착된 실리콘 전구체와 반응하여 실리콘 및 산소를 포함한 재료를 기판의 제1 표면 상에 형성한다. 실리콘 및 산소를 포함한 재료는, 예를 들어 실리콘 산화물, 및/또는 알루미늄 실리케이트와 같은 금속 실리케이트를 포함할 수 있다. 반응 챔버는 플라즈마 펄스 이후에 퍼지될 수 있다. 퍼지는 도 2a에 표시되지 않지만, 블록(208)에 선택적으로 포함될 수 있다.
본 개시에 따른 증착 공정은 주기적 증착 공정이다. 따라서, 루프(210)에서, 증착 사이클이 다시 개시된다. 증착 사이클은 기판 상에 원하는 양의 실리콘 및 산소를 포함한 재료를 증착하기 위해 필요한 만큼 많이 반복될 수 있다. 예를 들어, 증착 사이클은 2 내지 약 1,000회, 또는 약 5 내지 약 500회, 또는 약 10 내지 약 500회, 또는 약 50 내지 약 300회, 또는 약 5 내지 약 50회, 또는 약 10 내지 약 40회, 예를 들어 30회 수행될 수 있다. 예를 들어, 증착 사이클은 약 70회, 약 100회, 약 150회, 약 200회 또는 약 400회 수행될 수 있다. 본 개시에 도시되지 않았지만, 공정은 추가적인 단계, 예를 들어 연속적이고 선택적인 증착에 필요할 수 있는 임의의 차단 또는 패시베이션을 리프레싱하는 단계를 포함할 수 있다.
전술한 바와 같이, 본 개시에 따른 선택적 증착은 당업계에 공지된 방법에 비해 더 넓은 선택도 윈도우를 가질 수 있다. 예를 들어, 공정 동안의 온도는 변할 수 있다. 일부 구현예에서, 증착(반응 챔버 내에 촉매를 제공하는 것을 포함함)은 약 100℃ 내지 약 450℃, 예컨대 약 200℃, 약 300℃ 또는 약 350℃의 온도에서 수행된다.
일부 구현예에서, 제1 표면 상에 실리콘 및 산소를 포함한 재료를 선택적으로 증착하는 것은, 제2 표면 상에 존재하는 유기 패시베이션 층을 손상시키지 않는다. 또한, 일부 구현예에서, 실리콘 및 산소를 포함한 재료는 유기 패시베이션 층 상에 실질적으로 증착되지 않는다.
일부 구현예에서, 본 개시에 따라 증착된 실리콘 및 산소를 포함한 재료는 주로, 예컨대 적어도 60 원자% 또는 적어도 80 원자%의 실리콘 및 산소를 포함한다. 도 2a 내지 2d에 제시된 상이한 증착 체계는 문제의 응용 예의 필요에 따라 증착된 재료의 조성을 조절할 수 있게 한다.
도 2a 내지 2d에 도시되지 않았지만, 증착 공정의 단계가 중첩되는 것이 가능하다. 예를 들어, 단계(204 및 206)는 적어도 부분적으로 동시에 수행될 수 있다. 일부 구현예에서, 단계(206 및 208)는 적어도 부분적으로 동시에 수행된다.
도 2b는 본 개시에 따른 방법의 예시적인 추가 구현예의 블록 다이어그램이다. 블록(202, 204, 206 및 208)은 도 2a에 설명된 구현예와 유사하게 수행된다. 도 2b의 구현예에서, 블록(206 및 208)은 마스터 사이클 전에 적어도 2회 수행되는 실리콘 및 산소 서브사이클(루프 212)을 형성하며, 이는 또한, 블록(204)을 포함하고 루프(210)로 표시되어 반복된다. 실리콘 및 산소 서브사이클(212)의 반복 횟수는 달라질 수 있고, 증착된 재료에 포함된 금속에 대한 실리콘의 비율은, 각각의 마스터 사이클(210)에서 수행될 서브사이클(212)의 수의 선택을 통해 조절될 수 있다. 예를 들어, 실리콘 및 산소 서브사이클은 각각의 마스터 사이클에서 적어도 두 번 수행될 수 있다. 일부 구현예에서, 실리콘 및 산소 서브사이클은 각각의 마스터 사이클에서 2 내지 약 50회, 예를 들어 약 10 또는 약 20 또는 약 30회 수행된다. 예를 들어, 실리콘 및 산소를 포함한 재료가 알루미늄을 포함하는 구현예에서, 실리콘 및 산소 서브사이클의 수를 1(즉, 도 2a의 구현예와 유사함)에서 약 30으로 증가시킴으로써, 실리콘 대 알루미늄의 비율은 0.5 미만에서 약 3.5로 증가될 수 있다. 마스터 사이클은 증착된 재료의 목표 두께에 따라 1 내지 약 500회 수행될 수 있다. 예시적인 구현예에서, 마스터 사이클은, 3초 동안 알루미늄 포함 촉매를 반응 챔버 내에 제공하는 단계, 그 다음 실리콘 및 산소 서브사이클을 8회 수행하는 단계를 포함하고, 그 다음 마스터 사이클을 반복한다. 마스터 사이클은 약 7 내지 8 nm의 두께를 갖는 실리콘 및 산소를 포함한 재료의 층을 증착하기 위해 약 100회 수행된다. 예시적인 구현예에서의 촉매는 디메틸알루미늄 이소프로폭시드이고, 실리콘 전구체는 테트라에톡시실란(1초 동안 펄스화됨)이고, 플라즈마는 아르곤 플라즈마(0.5초 동안 펄스화됨)이다.
도 2c는 본 개시에 따른 방법의 예시적인 다른 추가 구현예의 블록 다이어그램이다. 블록(202, 204, 206 및 208)은 도 2a 및 도 2b에 설명된 구현예와 유사하게 수행된다. 도 2c의 구현예에서, 블록(206 및 208)은 도 2b의 구현예에서와 같이 실리콘 및 산소 서브사이클(루프 212)을 형성한다. 그러나, 도 2c의 구현예에서, 촉매를 반응 챔버 내에 제공하는 블록(204)은, 제1 산소 반응물을 플라즈마 대신에 반응 챔버 내에 제공하는 블록(208a)으로 수행된다. 블록(204 및 208a)은 실리콘 및 산소 서브사이클(212)이 수행되기 전에, 소정의 횟수만큼 반복되는 금속 산화물 서브사이클(루프 214)을 형성한다. 따라서, 도 2c의 구현예에서의 마스터 사이클은 적어도 하나의 금속 산화물 서브사이클(214) 및 적어도 두 개의 실리콘 및 산소 서브사이클(212)을 포함한다. 금속 산화물 서브사이클(214)과 실리콘 및 산소 서브사이클(212)의 반복 횟수는 달라질 수 있고, 이들 두 개의 서브사이클 사이의 비는 (알루미늄 산화물과 같은) 금속 산화물과, 실리콘 및 산소 서브사이클(212) 동안 증착된 실리콘 및 산소를 포함한 재료의 비를 조절하는 데 사용될 수 있다. 도 2c의 구현예는 (실리콘 산화물 및/또는 실리케이트와 같은 실리콘을 또한 함유할 수 있는) 금속 산화물 층 및 (실리콘 산화물 및/또는 실리케이트 이외에 알루미늄과 같은 금속을 또한 함유할 수 있는) 실리콘 및 산소를 포함한 층을 교대로 포함한 나노라미네이트 구조를 증착하는 데 사용될 수 있다. 두 개의 층 두께는 두 개의 서브사이클의 비율을 변화시킴으로써 조절될 수 있고, 이는 증착된 재료의 특성을 유연하게 조절할 수 있다. 층은 또한, 부분적으로 또는 완전히 혼합될 수 있다. 전술한 바와 같이, 증착된 재료의 전체 두께는 수행된 마스터 사이클의 수를 변경함으로써 조절될 수 있다.
도 2d는 본 개시에 따른 방법의 추가 예시적인 구현예의 블록 다이어그램이다. 블록(202, 204, 206 및 208)은 도 2a 내지 도 2c의 이전에 설명된 구현예와 유사하게 수행된다. 도 2d의 구현예에서, 촉매를 반응 챔버 내에 제공하는 단계(204a) 및 제1 산소 반응물을 반응 챔버 내에 제공하는 단계(208a)를 포함한 활성화 처리(루프 214a)가, 실리콘 및 산소를 포함한 재료의 증착을 시작하기 전에 수행된다. 증착 공정은, 촉매를 제공하는 단계(204) 및 도 2c에 설명된 바와 같은 금속 산화물 서브사이클(루프 214)로서 플라즈마를 제공하는 단계(208)를 포함할 수 있지만, 활성화 처리(214a)의 공정 파라미터는 독립적으로 선택되고 증착 공정의 파라미터와 상이할 수 있다. 증착 공정은 도 2a 내지 도 2c에 설명된 구현예 중 어느 하나에 따라 수행될 수 있다. 활성화 처리는 본 개시에 따른 방법에서 관찰될 수 있는 성장 개시의 지연을 감소시킬 수 있다. 일부 구현예에서(도 2d에 도시되지 않음), 활성화 처리는 플라즈마 처리(예컨대 N2/Ar 플라즈마 처리)를 포함할 수 있다. 일부 구현예에서, 플라즈마 처리는 촉매 및 산소 포함 활성화 처리제에 추가적으로 또는 대안적으로 수행될 수 있다. 실리콘 및 산소를 포함한 재료의 성장 지연을 감소시키는 것 이외에, 본 개시에 따른 활성화 처리는 특히 작은 피치 구조 상에서 결함의 수를 감소시킬 수 있고, 기판 표면에 걸쳐 불균일성을 감소시킬 수 있다.
활성화 처리는, 예를 들어 디메틸알루미늄 이소프로폭시드와 같은 촉매, 및 물과 같은 제1 산소 반응물을 반응 챔버 내에 교대 순차적으로 제공함으로써 수행될 수 있다. 일부 구현예에서, 촉매를 제공하는 단계 이후 및/또는 반응 챔버 내에 제1 산소 반응물을 제공하는 단계 이후에, 반응 챔버를 퍼지한다. 활성화 처리 동안 온도, 압력, 촉매 펄스 길이 등과 같은 처리 조건은, 활성화 처리를 최적화하기 위해 증착 동안 사용된 조건과 독립적으로 선택될 수 있다. 일부 구현예에서, 활성화 처리 동안의 온도는 약 250℃ 내지 약 400℃, 예컨대 약 300℃ 내지 약 380℃, 예를 들어 약 340℃ 또는 약 350℃이다. 활성화 처리의 목적은 기판 상에 금속 산화물을 증착시키는 것이 아니며, 이는 실리콘 및 산소를 포함한 층의 성능에 악영향을 미칠 수 있기 때문이다. 대신에, 본 개시를 임의의 특정 이론으로 제한하지 않는다면, 활성화 처리는 제1 표면의 특성을 변경하여 실리콘 및 산소를 포함한 재료의 성장 개시를 개선할 수 있다. 일부 구현예에서, 촉매 및 제1 산소 반응물을 제공하는 단계는 2 내지 약 35회, 예를 들어 약 8 내지 30회, 또는 약 12 내지 약 25회 반복된다. 촉매 및 제1 산소 반응물에 대한 펄스 길이는 독립적으로 선택될 수 있고, 0.1초 내지 약 8초, 또는 약 1초 내지 약 6초로 변할 수 있다. 활성화 처리 동안 예시적인 펄스 길이는 2초, 3초, 4초 또는 5초이다. 일부 구현예에서, 물은 활성화 처리 동안 제1 산소 반응물로서 사용된다.
일부 구현예에서, 활성화 처리는 증착의 시작 직전에 수행된다. 일부 구현예에서, 활성화 처리는 증착 처리와 동일한 반응 챔버에서 수행된다. 일부 구현예에서, 활성화 처리는 다중 스테이션 증착 챔버의 상이한 증착 스테이션에서 수행된다.
도 3은 본 개시에 따른 기상 증착 어셈블리(300)를 개략적인 방식으로 나타낸다. 일 양태에서, 기판 상에 실리콘 및 산소를 포함한 재료를 증착하기 위한 증착 어셈블리가 개시된다. 증착 어셈블리는, 기판을 유지하도록 구성되고 배열된 하나 이상의 반응 챔버(32), 금속 또는 준금속 촉매 및 실리콘 전구체를 반응 챔버 내에 기상으로 제공하고 플라즈마를 반응 챔버에 제공하도록 구성되고 배열된 전구체 인젝터 시스템(31)을 포함한다.
증착 어셈블리는 또한, 금속 또는 준금속 촉매를 함유하도록 구성되고 배열된 제1 반응물 용기(311), 실리콘 전구체를 함유하도록 구성되고 배열된 제2 반응물 용기(312), 및 플라즈마 전구체를 함유하도록 구성되고 배열된 제3 반응물 용기(313)를 포함한다. 기상 증착 어셈블리는 플라즈마 발생 시스템(35)을 추가로 포함한다. 기상 증착 어셈블리(300)는 촉매, 실리콘 전구체 및 플라즈마 전구체를 전구체 인젝터 시스템(31)을 통해, 그리고 플라즈마 발생 시스템(35)를 통해 플라즈마를 반응 챔버(32) 내로 제공하여 실리콘 및 산소를 포함한 재료를 기판의 제1 표면 상에 선택적으로 증착하도록 구성되고 배열된다.
증착 어셈블리(300)는 본원에 설명된 바와 같은 방법을 수행하는 데 사용될 수 있다. 나타낸 예시에서, 증착 어셈블리(300)는 하나 이상의 반응 챔버(32), 전구체 인젝터 시스템(31), 제1 반응물 용기(311), 제2 반응물 용기(312), 제3 반응물 용기(313), 배기 공급원(33), 및 제어기(34)를 포함한다. 증착 어셈블리(300)는 제1 산소 반응물 공급원, 제2 산소 반응물 공급원, 알콕시드 향상제 공급원, 불활성 가스 공급원, 캐리어 가스 공급원 및/또는 퍼지 가스 공급원과 같은 하나 이상의 추가 가스 공급원(미도시함)을 포함할 수 있다. 차단 및/또는 패시베이션이 동일한 증착 어셈블리에서 수행되는 구현예에서, 어셈블리는 상응하는 공급원을 포함할 수 있다.
반응 챔버(32)는 본원에서 설명된 바와 같은 임의의 적합한 반응 챔버, 예컨대 ALD 또는 CVD 반응 챔버를 포함할 수 있다.
제1 반응물 용기(311)는, 본원에 설명된 바와 같은 용기 및 촉매를 단독으로 또는 하나 이상의 캐리어(예, 불활성) 가스와 혼합하여 포함할 수 있다. 제2 반응물 용기(312)는 용기, 및 본원에 설명된 실리콘 전구체(단독으로 포함하거나 하나 이상의 캐리어 가스와 혼합됨)를 포함할 수 있다. 제3 반응물 용기(313)는 본원에 설명된 바와 같은 플라즈마 전구체를 포함할 수 있다. 따라서, 세 개의 반응물 용기(311-313)로 나타냈지만, 증착 어셈블리(300)는 적절한 임의 개수의 반응물 용기를 포함할 수 있다. 구체적으로, 제1 산소 반응물 및/또는 제2 산소 반응물 및/또는 알콕시드 향상제가 사용되는 구현예에서, 증착 어셈블리는 상응하는 수의 추가 반응물 용기를 포함할 수 있다. 반응물 용기(311-313)는 라인(314-316)을 통해 반응 챔버(32)에 결합될 수 있으며, 이들 각각은 흐름 제어기, 밸브, 히터 등을 포함할 수 있다. 일부 구현예에서, 제1 반응물 용기(311) 내의 촉매, 제2 반응물 용기(312) 내의 실리콘 전구체, 제3 반응물 용기(313) 내의 플라즈마 전구체, 및 그들 반응물 용기 내의 임의의 선택적 추가 반응물 각각은 독립적으로 가열되거나 주변 온도에서 유지될 수 있다. 일부 구현예에서, 반응물 용기가 가열되어 전구체 또는 반응물이기화에 적절한 온도에 도달한다.
배기원(33)은 하나 이상의 진공 펌프를 포함할 수 있다.
제어기(34)는 밸브, 매니폴드, 히터, 펌프 및 증착 어셈블리(300)에 포함된 다른 구성 요소를 선택적으로 작동시키기 위한, 전자 회로 및 소프트웨어를 포함한다. 이러한 회로 및 구성 요소는, 전구체, 반응물, 퍼지 가스를 각각의 공급원으로부터 도입하기 위해 작동한다. 제어기(34)는 가스 및 플라즈마 펄스 순서의 시점, 기판 및/또는 반응 챔버(32)의 온도, 반응 챔버(32)의 압력, 플라즈마 생성, 및 증착 어셈블리(300)의 적절한 작동을 제공하는데 다양한 기타 작동을 제어할 수 있다. 제어기(34)는, 반응 챔버(32) 내로 그리고 반응 챔버로부터의 전구체, 반응물 및 퍼지 가스의 흐름을 제어하기 위한 밸브를 전기식 혹은 공압식으로 제어하는 제어 소프트웨어를 포함할 수 있다. 제어기(34)는, 특정 작업을 수행하는 소프트웨어 또는 하드웨어 구성 요소와 같은 모듈을 포함할 수 있다. 모듈은 제어 시스템의 어드레스 가능한 저장 매체에 탑재되도록 구성되고, 하나 이상의 공정을 실행하도록 구성될 수 있다.
상이한 갯수 및 종류의 전구체 및 반응물 공급원을 포함하는 증착 어셈블리(300)의 다른 구성이 가능하다. 예를 들어, 반응 챔버(32)는 하나 초과, 예컨대 둘 또는 네 개의 증착 스테이션을 포함할 수 있다. 이러한 다중 스테이션 구성은, 예를 들어 차단, 패시베이션 및/또는 활성화 처리가 동일한 챔버에서 수행되는 경우에 이점을 가질 수 있다. 또한, 가스를 반응 챔버(32) 내로 선택적으로 그리고 연동 방식으로 공급하는 목적을 달성하는데 사용될 수 있는 밸브, 도관, 전구체 공급원, 반응물 공급원의 다수의 배열이 존재함을 이해할 것이다. 또한, 증착 어셈블리를 개략적으로 표현하면서, 많은 구성 요소가 예시의 단순화를 위해 생략되었는데, 이러한 구성 요소는, 예를 들어 다양한 밸브, 매니폴드, 정화기, 히터, 용기, 벤트, 및/또는 바이패스를 포함할 수 있다.
기상 증착 어셈블리(300)는, 본 개시에 따른 공정에 사용되는 플라즈마를 생성하기 위한 플라즈마 생성 시스템(35)을 포함한다. 플라즈마 생성 시스템(35)은, 제어기와 작동 가능하게 연결된 RF 전력 공급원(351)을 구비할 수 있고, 아르곤, 질소, 또는 이들의 조합과 같은 선택된 가스로부터 플라즈마를 생성하도록 구성되고 배열될 수 있다.
본 개시에 따른 플라즈마 강화 주기적 증착 공정은 기상 증착 어셈블리(300)를 사용하여 수행될 수 있다. 예를 들어, 반응 챔버(32)의 내부(반응 구역)에서 서로 마주하며 평행한 한 쌍의 전기 전도성 평판 전극(352, 353)이 제공될 수 있고, 전원(351)로부터 RF 전력(예, 13.56 MHz 또는 27 MHz)이 일측에 제공될 수 있고 타측은 전기적으로 접지(354)시킴으로써 플라즈마가 전극(352, 353) 사이에서 여기될 수 있다.
기판은 하부 전극(353) 상에 배치될 수 있고, 하부 전극(353)은 서셉터로서의 역할을 한다. 하부 전극(353)은 또한, 위에 안착된 기판의 온도를 비교적 일정하게 유지하는 온도 조절기를 포함할 수 있다. 상부 전극(352)은 샤워 플레이트로서의 역할을 수행할 수 있고, 전구체 가스 및 선택적으로 불활성 가스(들) 및/또는 퍼지 가스는 가스 라인(314-316) 각각을 통해, 그리고 샤워 플레이트를 통해 반응 챔버(32)로 유입될 수 있다.
증착 어셈블리(300)의 작동 중에, 반도체 웨이퍼(미도시)와 같은 기판은 반응 챔버(32)로 이송된다. 일단 기판(들)이 반응 챔버(32)로 이송되면, 전구체, 캐리어 가스, 및/또는 퍼지 가스와 같은, 가스 공급원으로부터 하나 이상의 가스가 반응 챔버(32) 내로 유입된다. 기판의 제1 표면 상에 실리콘 및 산소를 포함한 재료를 증착하기 위해 반응 챔버 내에 반응성 종을 제공하기 위한 적절한 시점에 플라즈마가 생성된다.
본 개시에서, "가스"는 정상 온도 및 압력(NTP)에서 가스, 증기화된 고체 및/또는 증기화된 액체인 재료를 포함할 수 있으며, 맥락에 따라 단일 가스 또는 가스 혼합물로 구성될 수 있다. 본 개시에 따른 전구체는 반응 챔버에 기상으로 제공될 수 있다. 용어 "불활성 가스"는, 화학 반응에 참여하지 않고/않거나 상당한 정도로 층의 일부가 되지 않는 가스를 지칭할 수 있다. 예시적인 불활성 가스는 He 및 Ar 및 이들의 임의의 조합을 포함한다. 일부 경우에, 질소 및/또는 수소 분자는 불활성 가스일 수 있다. 공정 가스 이외의 가스, 즉 가스 인젝터 시스템, 다른 가스 분배 장치 등을 통과하지 않고 유입되는 가스는, 예를 들어 반응 공간을 밀폐하기 위해 사용될 수 있고, 밀폐 가스를 포함할 수 있다.
전술한 본 개시의 예시적 구현예는 본 발명의 범주를 제한하지 않는데, 그 이유는 이들 구현예는 본 발명의 구현예의 예시일 뿐이기 때문이며, 이는 첨부된 청구범위 및 그의 법적 균등물에 의해 정의된다. 임의의 균등한 구현예는 본 발명의 범주 내에 있도록 의도된다. 본원에 나타내고 설명된 것 외에도, 설명된 요소의 대안적인 유용한 조합과 같은 본 발명의 다양한 변경은 설명으로부터 당업자에게 분명할 수 있다. 이러한 변경예 및 구현예도 첨부된 청구범위의 범주 내에 있는 것으로 의도된다.

Claims (22)

  1. 주기적 증착 공정에 의해 기판의 제2 표면에 대해 상기 기판의 제1 표면 상에 실리콘 및 산소를 포함한 재료를 선택적으로 증착하는 방법으로서, 상기 방법은,
    기판을 반응 챔버 내에 제공하는 단계;
    금속 또는 준금속 촉매를 상기 반응 챔버에 기상으로 제공하는 단계;
    알콕시 실란 화합물을 포함한 실리콘 전구체를 상기 반응 챔버에 기상으로 제공하는 단계; 및
    플라즈마를 상기 반응 챔버 내에 제공하여 반응성 종을 형성하는 단계를 포함하여,
    상기 제1 표면 상에 실리콘 및 산소를 포함한 재료를 형성하기 위한, 방법.
  2. 제1항에 있어서, 상기 제2 표면은 패시베이션 층을 포함하는, 방법.
  3. 제1항 또는 제2항에 있어서, 상기 제1 표면은 유전체 표면인, 방법.
  4. 제3항에 있어서, 상기 유전체 표면은 실리콘을 포함하는, 방법.
  5. 제1항 내지 제4항 중 어느 한 항에 있어서, 상기 촉매는 금속 할라이드, 유기금속 화합물 또는 금속유기 화합물인, 방법.
  6. 제1항 내지 제5항 중 어느 한 항에 있어서, 상기 촉매는, 트리메틸 알루미늄(TMA), 디메틸알루미늄 클로라이드, 알루미늄 트리클로라이드(AlCl3), 디메틸알루미늄 이소프로폭시드(DMAl), 트리스(터트-부틸)알루미늄(TTBA), 트리스(이소프로폭시드)알루미늄(TIPA), 트리스(디메틸아미노) 알루미늄(TDMAA) 또는 트리에틸 알루미늄(TEA)을 포함하는, 방법.
  7. 제1항 내지 제6항 중 어느 한 항에 있어서, 상기 알콕시실란은 테트라아세톡시실란, 테트라메톡시실란, 테트라에톡시실란, 트리메톡시실란, 트리에톡시실란 및 트리메톡시(3-메톡시프로필)실란으로 이루어진 군으로부터 선택되는, 방법.
  8. 제1항 내지 제7항 중 어느 한 항에 있어서, 상기 플라즈마는 헬륨, 네온 및 아르곤으로 이루어진 군으로부터 선택된 비활성 가스로부터 생성되는, 방법.
  9. 제8항에 있어서, 상기 플라즈마는 추가 원소로부터 추가적으로 생성되는, 방법.
  10. 제9항에 있어서, 상기 추가 원소는 수소 및 질소로부터 선택되는, 방법.
  11. 제1항 내지 제10항 중 어느 한 항에 있어서, 플라즈마 이온 에너지는 160 eV를 초과하지 않는, 방법.
  12. 제1항 내지 제11항 중 어느 한 항에 있어서, 상기 공정은 촉매를 상기 반응 챔버 내에 제공한 후 제1 산소 반응물을 상기 반응 챔버 내에 기상으로 제공하는 단계를 포함하는, 방법.
  13. 제1항 내지 제12항 중 어느 한 항에 있어서, 상기 공정은 상기 실리콘 전구체를 상기 반응 챔버 내에 제공하는 단계와 적어도 부분적으로 동시에, 제2 산소 반응물을 상기 반응 챔버 내에 기상으로 제공하는 단계를 포함하는, 방법.
  14. 제1항 내지 제13항 중 어느 한 항에 있어서, 증착 사이클 공정 동안의 압력은 약 20 토르 미만인, 방법.
  15. 제1항 내지 제14항 중 어느 한 항에 있어서, 증착 사이클 공정 동안의 압력은 약 5 토르 초과인, 방법.
  16. 제1항 내지 제15항 중 어느 한 항에 있어서, 실리콘 및 산소를 포함한 재료의 증착 전에 활성화 처리를 추가로 포함하되, 상기 활성화 처리는 촉매를 상기 반응 챔버 내에 기상으로 제공하는 단계; 및 제1 산소 반응물을 상기 반응 챔버 내에 기상으로 제공하는 단계를 포함하는, 방법.
  17. 제16항에 있어서, 상기 촉매 및 상기 제1 산소 반응물은 상기 반응 챔버 내에 주기적으로 제공되는, 방법
  18. 제1항 내지 제17항 중 어느 한 항에 있어서, 상기 실리콘 및 산소를 포함한 재료는 실리콘 산화물, 알루미늄 실리케이트 및/또는 실리콘 옥시카바이드를 포함하는, 방법.
  19. 주기적 증착 공정에 의해 기판의 제2 표면에 대해 상기 기판의 제1 표면 상에 실리콘 및 산소를 포함한 재료를 선택적으로 증착하는 방법으로서, 상기 방법은,
    기판을 반응 챔버 내에 제공하는 단계;
    금속 또는 준금속 촉매를 상기 반응 챔버에 기상으로 제공하는 단계;
    실리콘 및 산소 서브사이클을 수행하는 단계로서, 상기 서브사이클은 교대 순차적으로 알콕시 실란 화합물을 포함하는 실리콘 전구체를 상기 반응 챔버 내에 기상으로 제공하는 단계 및 플라즈마를 상기 반응 챔버 내에 제공하여 반응성 종을 형성하는 단계를 포함하여,
    상기 제1 표면 상에 실리콘 및 산소를 포함한 재료를 형성하기 위한, 방법.
  20. 제19항에 있어서, 상기 공정은 촉매를 상기 반응 챔버 내에 제공하기 전에, 상기 제2 표면을 선택적으로 패시베이션하기 위해 패시베이션제를 상기 반응 챔버 내에 기상으로 제공하는 단계를 포함하는, 방법.
  21. 주기적 증착 공정에 의해 기판의 제2 표면에 대해 상기 기판의 제1 표면 상에 실리콘 및 산소를 포함한 재료를 선택적으로 증착하는 방법으로서, 상기 방법은,
    기판을 반응 챔버 내에 제공하는 단계;
    금속 산화물 서브사이클을 수행하는 단계로서, 상기 서브사이클은 금속 또는 준금속 촉매 그리고 산소 및 수소를 포함하는 제1 산소 반응물을 기상으로 상기 반응 챔버 내에 교대 순차적으로 제공하는 단계를 포함하는, 상기 수행하는 단계; 및
    실리콘 및 산소 서브사이클을 수행하는 단계로서, 상기 서브사이클은 교대 순차적으로 알콕시 실란 화합물을 포함하는 실리콘 전구체를 상기 반응 챔버 내에 기상으로 제공하는 단계 및 플라즈마를 상기 반응 챔버 내에 제공하여 반응성 종을 형성하는 단계를 포함하는, 상기 수행하는 단계를 포함하여,
    상기 제1 표면 상에 실리콘 및 산소를 포함한 재료를 형성하기 위한, 방법.
  22. 제21항에 있어서, 상기 금속 산화물 서브사이클 그리고 상기 실리콘 및 산소 서브사이클 중 적어도 하나는 상기 다른 서브사이클을 수행하기 전에 한 번 초과로 수행되는, 방법.
KR1020220138314A 2021-10-29 2022-10-25 플라즈마를 사용하여 실리콘 및 산소를 포함한 재료의 선택적 증착 KR20230062782A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202163273516P 2021-10-29 2021-10-29
US63/273,516 2021-10-29

Publications (1)

Publication Number Publication Date
KR20230062782A true KR20230062782A (ko) 2023-05-09

Family

ID=86145979

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020220138314A KR20230062782A (ko) 2021-10-29 2022-10-25 플라즈마를 사용하여 실리콘 및 산소를 포함한 재료의 선택적 증착

Country Status (4)

Country Link
US (1) US20230140367A1 (ko)
KR (1) KR20230062782A (ko)
CN (1) CN116103633A (ko)
TW (1) TW202325887A (ko)

Also Published As

Publication number Publication date
CN116103633A (zh) 2023-05-12
US20230140367A1 (en) 2023-05-04
TW202325887A (zh) 2023-07-01

Similar Documents

Publication Publication Date Title
US10822700B2 (en) Plasma atomic layer deposition
US11830732B2 (en) Selective passivation and selective deposition
KR102197048B1 (ko) 이중 선택적 퇴적
KR20180116761A (ko) 반응물 가스의 램프 다운 유동을 이용한 플라즈마-지원 주기적 증착 방법
US11898240B2 (en) Selective deposition of silicon oxide on dielectric surfaces relative to metal surfaces
US11643720B2 (en) Selective deposition of silicon oxide on metal surfaces
KR20200120872A (ko) 금속 표면 상에 금속 산화물의 선택적 증착
US20170107614A1 (en) Multi-Step Atomic Layer Deposition Process for Silicon Nitride Film Formation
US20230139917A1 (en) Selective deposition using thermal and plasma-enhanced process
US20230140812A1 (en) Selective thermal deposition method
US20210358745A1 (en) Selective passivation and selective deposition
US20230140367A1 (en) Selective deposition of material comprising silicon and oxygen using plasma
JP2022049682A (ja) 酸化シリコンの堆積方法
US20240014030A1 (en) Method for selective deposition of silicon nitride and structure including selectively-deposited silicon nitride layer
TWI842748B (zh) 選擇性沉積的方法以及用於選擇性沉積的組合工具和系統
US20230395372A1 (en) Method and system for forming patterned structures using multiple patterning process
US20230017874A1 (en) Cyclical deposition methods and structures formed using the methods
KR20240038608A (ko) 전이금속을 선택적으로 증착하기 위한 방법 및 어셈블리
KR20230047002A (ko) 유기 재료의 선택적 증착
KR20240062997A (ko) 포토레지스트 접착 층 특성을 조정하기 위한 방법 및 시스템
KR20240071325A (ko) 실리콘과 질소를 포함하는 재료의 선택적 증착
TW202311556A (zh) 選擇性鈍化及選擇性沉積
CN117170177A (zh) 形成光致抗蚀剂底层的高温方法及形成其的***