KR20180020876A - 이동 가능한 에지 링 및 가스 주입 조정을 사용하여 웨이퍼 상 cd 균일성의 제어 - Google Patents

이동 가능한 에지 링 및 가스 주입 조정을 사용하여 웨이퍼 상 cd 균일성의 제어 Download PDF

Info

Publication number
KR20180020876A
KR20180020876A KR1020170083210A KR20170083210A KR20180020876A KR 20180020876 A KR20180020876 A KR 20180020876A KR 1020170083210 A KR1020170083210 A KR 1020170083210A KR 20170083210 A KR20170083210 A KR 20170083210A KR 20180020876 A KR20180020876 A KR 20180020876A
Authority
KR
South Korea
Prior art keywords
edge ring
process gases
substrate
inner portion
adjusting
Prior art date
Application number
KR1020170083210A
Other languages
English (en)
Other versions
KR102383779B1 (ko
Inventor
이팅 장
사라바나프리얀 스리라만
알렉스 패터슨
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20180020876A publication Critical patent/KR20180020876A/ko
Priority to KR1020220041276A priority Critical patent/KR102488729B1/ko
Application granted granted Critical
Publication of KR102383779B1 publication Critical patent/KR102383779B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/20Means for supporting or positioning the objects or the material; Means for adjusting diaphragms or lenses associated with the support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)

Abstract

기판 프로세싱 시스템 내의 기판 지지부는 내측 부분 및 외측 부분을 포함한다. 내측 부분은 제 1 프로세스 가스들을 내측 부분을 향하여 지향시키도록 구성된 가스 분배 디바이스 아래에 위치된다. 외측 부분은 내측 부분 및 내측 부분 상에 배치된 기판을 적어도 부분적으로 둘러싸도록 내측 부분의 외측 주변부 주위에 위치된 에지 링을 포함한다. 에지 링은 내측 부분에 대해 상승하고 하강하도록, 그리고 제 2 프로세스 가스들을 내측 부분을 향하여 지향시키도록 구성된다. 제어기는 프로세싱 동안 기판 상에 증착된 재료의 분배를 결정하고, 그리고 결정된 분배에 기초하여, 에지 링의 위치를 선택적으로 조정하고 그리고 제 1 프로세스 가스들 및 제 2 프로세스 가스들 중 적어도 하나의 플로우를 선택적으로 조정한다.

Description

이동 가능한 에지 링 및 가스 주입 조정을 사용하여 웨이퍼 상 CD 균일성의 제어{CONTROL OF ON-WAFER CD UNIFORMITY WITH MOVABLE EDGE RING AND GAS INJECTION ADJUSTMENT}
본 개시는 기판 프로세싱, 보다 구체적으로 기판 프로세싱시 에칭 균일성을 제어하는 시스템들 및 방법들에 관한 것이다.
본 명세서에 제공된 배경 기술 설명은 일반적으로 본 개시의 맥락을 제공하기 위한 것이다. 본 발명자들의 성과로서 본 배경기술 섹션에 기술되는 정도의 성과, 뿐만 아니라 출원시 종래 기술로서 인정되지 않을 수도 있는 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
기판 프로세싱 시스템들은 기판들 예컨대, 반도체 웨이퍼들을 처리하도록 사용될 수도 있다. 기판 상에서 수행될 수도 있는 예시적인 프로세스들은 이로 제한되지 않지만, CVD (chemical vapor deposition), ALD (atomic layer deposition), 전도체 에칭, 및/또는 다른 에칭, 증착, 또는 세정 프로세스들을 포함한다. 기판은 기판 프로세싱 시스템의 프로세싱 챔버 내에서 기판 지지부, 예컨대, 페데스탈, ESC (electrostatic chuck), 등 상에 배치될 수도 있다. 에칭 동안, 하나 이상의 전구체들을 포함한 가스 혼합물들이 프로세싱 챔버 내로 도입될 수도 있고 플라즈마가 화학 반응들을 개시하도록 사용될 수도 있다.
기판 지지부는 웨이퍼를 지지하도록 구성된 세라믹 층을 포함할 수도 있다. 예를 들어, 웨이퍼는 프로세싱 동안 세라믹 층에 클램핑될 수도 있다. 기판 지지부는 기판 지지부의 외측 부분 둘레에 (예를 들어, 주변부의 외부에 그리고/또는 주변부에 인접하게) 배치된 에지 링을 포함할 수도 있다. 플라즈마를 기판 위의 볼륨에 한정하고, 기판 지지부를 플라즈마에 의해 유발된 부식으로부터 보호하는, 등을 하도록 에지 링이 제공될 수도 있다.
기판 프로세싱 시스템 내의 기판 지지부는 내측 부분 및 외측 부분을 포함한다. 내측 부분은 제 1 프로세스 가스들을 내측 부분을 향하여 지향시키도록 구성된 가스 분배 디바이스 아래에 위치된다. 외측 부분은 내측 부분 및 내측 부분 상에 배치된 기판을 적어도 부분적으로 둘러싸도록 내측 부분의 외측 주변부 둘레에 위치된 에지 링을 포함한다. 에지 링은 내측 부분에 대해 상승하고 하강하도록, 그리고 제 2 프로세스 가스들을 내측 부분을 향하여 지향시키도록 구성된다. 제어기는 프로세싱 동안 기판 상에 증착된 재료의 분포를 결정하고, 그리고 결정된 분포에 기초하여, 에지 링의 위치를 선택적으로 조정하고 그리고 제 1 프로세스 가스들 및 제 2 프로세스 가스들 중 적어도 하나의 플로우를 선택적으로 조정한다.
기판 프로세싱 시스템 내에서 기판을 프로세싱하는 방법은 내측 부분 및 외측 부분을 가진 기판 지지부를 제공하는 단계를 포함한다. 내측 부분은 가스 분배 디바이스 아래에 위치되고, 그리고 외측 부분은 내측 부분 및 내측 부분 상에 배치된 기판을 적어도 부분적으로 둘러싸도록 내측 부분의 외측 주변부 둘레에 위치된 에지 링을 포함한다. 방법은 제 1 프로세스 가스들을 가스 분배 디바이스를 사용하여 내측 부분을 향하여 지향시키는 단계, 제 2 프로세스 가스들을 에지 링을 사용하여 내측 부분을 향하여 지향시키는 단계, 프로세싱 동안 기판 상에 증착된 재료의 분포를 결정하는 단계, 에지 링의 위치를 내측 부분에 대해 상향으로 또는 하향으로 선택적으로 조정하는 단계, 및 제 1 프로세스 가스들 및 제 2 프로세스 가스들 중 적어도 하나의 플로우를 선택적으로 조정하는 단계를 더 포함한다.
본 개시의 적용 가능성의 추가의 영역들은 상세한 기술, 청구항들 및 도면들로부터 분명해질 것이다. 상세한 기술 및 구체적인 예들은 오직 예시의 목적들을 위해 의도된 것이고 본 개시의 범위를 제한하도록 의도되지 않는다.
본 개시는 상세한 기술 및 첨부된 도면들로부터 보다 완전히 이해될 것이다.
도 1은 본 개시에 따른, 예시적인 프로세싱 챔버의 기능적 블록도이다.
도 2a는 본 개시에 따른, 중심-주입된 프로세스 가스들에 대한 기판에 걸친 예시적인 부산물 분포들을 도시한다.
도 2b는 본 개시에 따른, 측면-주입된 프로세스 가스들에 대한 기판에 걸친 예시적인 부산물 분포들을 도시한다.
도 3은 본 개시에 따른, 중심-주입된 프로세스 가스들 및 측면-주입된 프로세스 가스들의 부산물 분포의 예시적인 제어 범위 및 평균 프로파일을 도시한다.
도 4a는 본 개시에 따른, 하강된 위치의 예시적인 가변 깊이 에지 링을 도시한다.
도 4b는 본 개시에 따른, 상승된 위치의 예시적인 가변 깊이 에지 링을 도시한다.
도 5a 및 도 5b는 본 개시에 따른, 에지 링 가스 주입 노즐들을 포함한 예시적인 에지 링을 도시한다.
도 6은 본 개시에 따른, 기판에 걸친 부산물 분포를 제어하는 예시적인 방법의 단계들을 도시한다.
도면들에서, 참조 번호들은 유사한 그리고/또는 동일한 엘리먼트들 (elements) 을 식별하기 위해 재사용될 수도 있다.
기판 프로세싱 시스템 내의 기판 지지부는 에지 링을 포함할 수도 있다. 에지 링의 상부 표면은 기판 지지부의 상부 표면 위로 연장할 수도 있고, 기판 지지부의 상부 표면 (그리고, 일부 예들에서, 기판 지지부 상에 배치된 기판의 상부 표면) 으로 하여금 에지 링에 대해 리세스되게 한다. 이 리세스는 포켓으로서 지칭될 수도 있다. 에지 링의 상부 표면과 기판의 상부 표면 사이의 거리는 "포켓 깊이"로서 지칭될 수도 있다. 일반적으로, 포켓 깊이는 기판의 상부 표면에 대한 에지 링의 높이에 따라 고정된다. 상이한 포켓 깊이가 요구된다면, 에지 링은 수동으로 교체되어야 하고, 이는 웨이퍼 핸들링 제한들, 프로세스 제한들, 챔버 제한들, 등에 의해 제한될 수도 있다.
에칭 프로세싱의 일부 양태들은 기판 프로세싱 시스템, 기판, 가스 혼합물들, 등의 특성들에 기인하여 가변할 수도 있다. 예를 들어, 플로우 패턴들, 따라서 에칭 레이트 및 에칭 균일성은 에지 링의 포켓 깊이, 에지 링 기하학적 구조 (즉, 형상), 등에 따라 가변할 수도 있다. 일부 예시적인 프로세스들에서, 기판의 상부 표면과 가스 분배 디바이스의 하단 표면 사이의 거리가 증가함에 따라 전체 에칭 레이트들이 가변한다. 더욱이, 에칭 레이트들은 기판의 중심으로부터 기판의 외측 주변부로 가변할 수도 있다. 예를 들어, 기판의 외측 주변부에서, 시스 벤딩 및 이온 틸팅 (ion tilt) 이 STI (shallow trench isolation) 틸팅을 유발할 수 있고, 반응성 종 (예를 들어, 에천트들 및/또는 증착 전구체들) 과 연관된 화학적 부하가 하드마스크 임계 치수 롤 오프 (roll off) 를 유발할 수 있다. 더욱이, 재료 예컨대, 에칭 부산물들이 기판 상에 재증착될 수 있다. 에칭 레이트들은 이로 제한되지 않지만, 기판의 상부 표면에 걸친 가스 속도들을 포함한 다른 프로세스 파라미터들에 따라 가변할 수도 있다. 예를 들어, 프로세스 결과들에 영향을 줄 수도 있는 다양한 프로세스 가스들의 주입 (예를 들어, 중심 노즐들, 측면 튜닝 노즐들, 등으로부터의 주입을 포함함) 과 연관된 파라미터들은 이로 제한되지 않지만, 가스 플로우 레이트들, 가스 종, 주입 각, 주입 위치, 등을 포함한다.
따라서, 에지 링의 구성 (예를 들어, 에지 링 높이 및/또는 기하학적 구조를 포함함) 을 가변하는 것은 기판의 표면에 걸친 가스 속도 프로파일을 수정할 수도 있다. 유사하게, 다양한 프로세스 가스들의 주입과 연관된 파라미터들을 조정하는 것이 또한 프로세스 결과들에 영향을 줄 수도 있다. 단지 예를 들면, 가스 주입 파라미터들은 이로 제한되지 않지만, 가스 플로우, 가스 종, 주입 각, 주입 위치, 등을 포함할 수도 있다. 본 개시의 원리들에 따른 가변 깊이 에지 링 시스템들 및 방법들은 에칭 균일성을 제어하기 위해 기판 프로세싱 동안 에지 링 높이를 조정하는 것과 프로세스 가스 주입의 파라미터들을 조정하는 것을 결합한다. 이 방식으로, 가스 플로우 재순환 및 연관된 부산물 증착이 조절될 수 있다.
예를 들어, 에지 링은 제어기, 사용자 인터페이스, 등에 응답하여 에지 링을 상승 및 하강시키도록 구성된 액추에이터에 커플링될 수도 있다. 일 예에서, 기판 프로세싱 시스템의 제어기는 수행될 특정한 레시피 및 연관된 가스 주입 파라미터들에 따라 프로세스 동안 프로세스 단계들, 등 사이에서 에지 링의 높이를 제어한다. 제어기는 이에 따라 가스 주입 파라미터들을 조정하도록 구성될 수도 있다. 단지 예를 들면, 제어기는 에지 링 높이, 등을 프로세스 가스 주입과 연관된 하나 이상의 파라미터들로 인덱싱하는 데이터 (예를 들어, 룩업 테이블) 를 저장할 수도 있다. 데이터는 에지 링 높이 및 가스 주입 파라미터들과 기판에 걸친 에칭 부산물 분포를 더 연관시킬 수도 있다. 데이터는 미리결정된 (예를 들어, 캘리브레이팅된 (calibrated) 또는 프로그래밍된) 데이터에 대응할 수도 있고, 데이터는 인터페이스, 등을 통해 사용자에 의해 제공된다. 이 방식으로, 목표된 에칭 균일성은 에칭 부산물 분포에 따라 프로세싱 동안 에지 링 높이 및 가스 주입 파라미터들을 동적으로 조정함으로써 달성될 수 있다. 일부 예들에서, 에지 링은 부가적인 측면 튜닝 가스들을 주입하는 가스 주입 노즐들을 포함할 수도 있다.
이제 도 1을 참조하면, 예시적인 기판 프로세싱 시스템 (100) 이 도시된다. 단지 예를 들면, 기판 프로세싱 시스템 (100) 은 RF 플라즈마를 사용한 에칭 및/또는 다른 적합한 기판 프로세싱을 수행하기 위해 사용될 수도 있다. 기판 프로세싱 시스템 (100) 은 기판 프로세싱 시스템 (100) 의 다른 컴포넌트들 (components) 을 둘러싸고 RF 플라즈마를 담는 프로세싱 챔버 (102) 를 포함한다. 기판 프로세싱 챔버 (102) 는 상부 전극 (104) 및 기판 지지부 (106), 예컨대, ESC (electrostatic chuck) 를 포함한다. 동작 동안, 기판 (108) 이 기판 지지부 (106) 상에 배치된다. 특정한 기판 프로세싱 시스템 (100) 및 챔버 (102) 가 예로서 도시되지만, 본 개시의 원리들은 다른 타입들의 기판 프로세싱 시스템들 및 챔버들, 예컨대, 플라즈마를 인-시츄 (in-situ) 생성하는 기판 프로세싱 시스템, 리모트 플라즈마 생성 및 전달 (예를 들어, 플라즈마 튜브, 마이크로파 튜브를 사용함) 을 구현하는 기판 프로세싱 시스템, 등에 적용될 수도 있다.
단지 예를 들면, 상부 전극 (104) 은 프로세스 가스들을 도입 및 분배하는 샤워헤드 (109) 와 같은 가스 분배 디바이스를 포함할 수도 있다. 샤워헤드 (109) 는 프로세싱 챔버의 상단 표면에 연결된 일 단부를 포함한 스템 부분을 포함할 수도 있다. 베이스 부분은 일반적으로 원통형이고 그리고 프로세싱 챔버의 상단 표면으로부터 이격된 위치에서 스템 부분의 반대편의 단부로부터 방사상으로 외향으로 연장한다. 샤워헤드의 베이스 부분의 대면플레이트 또는 기판-대면 표면은, 프로세스 가스 또는 퍼지 가스가 흐르는 복수의 홀들을 포함한다. 대안적으로, 상부 전극 (104) 은 전도성 플레이트를 포함할 수도 있고 그리고 프로세스 가스들은 또 다른 방식으로 도입될 수도 있다.
기판 지지부 (106) 는 하부 전극으로서 작용하는 전도성 베이스 플레이트 (110) 를 포함한다. 베이스 플레이트 (110) 는 세라믹 층 (112) 을 지지한다. 일부 예들에서, 세라믹 층 (112) 은 가열 층, 예컨대, 세라믹 멀티-존 가열 플레이트를 포함할 수도 있다. 내열 층 (114) (예를 들어, 본딩 층) 은 세라믹 층 (112) 과 베이스 플레이트 (110) 사이에 배치될 수도 있다. 베이스 플레이트 (110) 는 베이스 플레이트 (110) 를 통해 냉각제를 흘리기 위한 하나 이상의 냉각제 채널들 (116) 을 포함할 수도 있다.
RF 생성 시스템 (120) 은 RF 전압을 생성하고 RF 전압을 상부 전극 (104) 및 하부 전극 (예를 들어, 기판 지지부 (106) 의 베이스 플레이트 (110)) 중 하나에 출력한다. 상부 전극 (104) 및 베이스 플레이트 (110) 중 다른 하나는 DC 접지되거나, AC 접지되거나 플로팅할 (floating) 수도 있다. 단지 예를 들면, RF 생성 시스템 (120) 은 매칭 및 분배 네트워크 (124) 에 의해 상부 전극 (104) 또는 베이스 플레이트 (110) 에 피딩되는 (feed) RF 전압을 생성하는 RF 전압 생성기 (122) 를 포함할 수도 있다. 다른 예들에서, 플라즈마는 유도적으로 또는 리모트로 생성될 수도 있다. 예시적인 목적들을 위해 도시된 바와 같이, RF 생성 시스템 (120) 이 CCP (capacitively coupled plasma) 시스템에 대응하지만, 본 개시의 원리들은 또한 다른 적합한 시스템들, 예컨대, 단지 예를 들면 TCP (transformer coupled plasma) 시스템들, CCP 캐소드 시스템들, 리모트 마이크로파 플라즈마 생성 및 전달 시스템들, 등으로 구현될 수도 있다.
가스 전달 시스템 (130) 은 하나 이상의 가스 소스들 (132-1, 132-2, ..., 및 132-N) (집합적으로 가스 소스들 (132)) 을 포함하고, 여기서 N은 0보다 큰 정수이다. 가스 소스들은 하나 이상의 전구체들 및 전구체들의 혼합물들을 공급한다. 가스 소스들은 또한 퍼지 가스를 공급할 수도 있다. 기화된 전구체가 또한 사용될 수도 있다. 가스 소스들 (132) 은 밸브들 (134-1, 134-2, ..., 및 134-N) (집합적으로 밸브들 (134)) 및 질량 유량 제어기들 (136-1, 136-2, ..., 및 136-N) (집합적으로 질량 유량 제어기들 (136)) 에 의해 매니폴드 (140) 에 연결된다. 매니폴드 (140) 의 출력은 프로세싱 챔버 (102) 에 피딩된다. 단지 예를 들면, 매니폴드 (140) 의 출력은 샤워헤드 (109) 에 피딩된다.
온도 제어기 (142) 는 세라믹 층 (112) 내에 배치된 TCE들 (thermal control elements) (144) 과 같은 복수의 가열 엘리먼트들에 연결될 수도 있다. 예를 들어, 가열 엘리먼트들 (144) 은 이로 제한되지 않지만, 멀티-존 가열 플레이트 내의 각각의 존들에 대응하는 매크로 가열 엘리먼트들 및/또는 멀티-존 가열 플레이트의 복수의 존들에 걸쳐 배치된 마이크로 가열 엘리먼트들의 어레이를 포함할 수도 있다. 온도 제어기 (142) 는 기판 지지부 (106) 및 기판 (108) 의 온도를 제어하기 위해 복수의 가열 엘리먼트들 (144) 을 제어하도록 사용될 수도 있다. 본 개시의 원리들에 따른 가열 엘리먼트들 (144) 각각은 이하에 보다 상세히 기술되는 바와 같이 양 TCR을 가진 제 1 재료 및 음 TCR을 가진 제 2 재료를 포함한다.
온도 제어기 (142) 는 채널들 (116) 을 통해 냉각제 플로우를 제어하도록 냉각제 어셈블리 (146) 와 연통할 수도 있다. 예를 들어, 냉각제 어셈블리 (146) 는 냉각제 펌프 및 저장소를 포함할 수도 있다. 온도 제어기 (142) 는 기판 지지부 (106) 를 냉각하도록 채널들 (116) 을 통해 냉각제를 선택적으로 흘리기 위해서 냉각제 어셈블리 (146) 를 동작시킨다.
밸브 (150) 및 펌프 (152) 는 프로세싱 챔버 (102) 로부터 반응물질들을 배기하도록 사용될 수도 있다. 시스템 제어기 (160) 는 기판 프로세싱 시스템 (100) 의 컴포넌트들을 제어하도록 사용될 수도 있다. 로봇 (170) 은 기판 지지부 (106) 상으로 기판들을 전달하고, 그리고 기판 지지부 (106) 로부터 기판들을 제거하도록 사용될 수도 있다. 예를 들어, 로봇 (170) 은 기판 지지부 (106) 와 로드록 (172) 사이에서 기판들을 이송할 수도 있다. 분리된 제어기들로서 도시되지만, 온도 제어기 (142) 는 시스템 제어기 (160) 내에 구현될 수도 있다. 일부 실시예들에서, 보호성 시일 (176) 은 세라믹 층 (112) 과 베이스 플레이트 (110) 사이의 본딩 층 (114) 의 주변부 둘레에 제공될 수도 있다.
기판 지지부 (106) 는 에지 링 (180) 을 포함한다. 본 개시의 원리들에 따른 에지 링 (180) 은 기판 (108) 에 대해 이동 가능하다 (예를 들어, 수직 방향으로 상향으로 그리고 하향으로 이동 가능함). 예를 들어, 에지 링 (180) 은 이하에 보다 상세히 기술되는 바와 같이 제어기 (160) 에 응답하는 액추에이터를 통해 제어될 수도 있다. 에지 링 (180) 은 가스 주입 파라미터들에 따라 기판 프로세싱 동안 조정될 수도 있다. 일부 예들에서, 에지 링 (180) 은 부가적인 측면 튜닝 가스들을 주입하기 위한 가스 주입 노즐들을 포함할 수도 있다.
이제 도 2a 및 도 2b를 참조하면, 상승된 (하이) 에지 링 위치 및 하강된 (로우) 에지 링 위치에 대한 기판에 걸친 부산물 분포들이 도시된다. 도 2a는 중심-주입된 프로세스 가스들 (즉, 샤워헤드의 중심 또는 내측 부분으로부터 주입된 가스들) 에 대한 부산물 분포들을 도시한다. 부산물 분포 (200) 는 하강된 위치의 에지 링을 사용한 부산물 분포 (예를 들어, 기판/웨이퍼의 대응하는 위치 위에서 SiCl4의 몰 분율로 측정되고, 기판의 중심으로부터 0 내지 160 ㎜의 반경에서 측정된 바와 같음) 를 예시한다. 반대로, 부산물 분포 (204) 는 상승된 위치의 에지 링을 사용한 부산물 분포를 예시한다. 도시된 바와 같이, 중심-주입된 프로세스 가스들에 대해, 보다 많은 양의 부산물이 하강된 에지 링 위치 및 상승된 에지 링 위치 모두에 대해 기판의 중심 구역에 비해 기판의 에지 구역 근방에서 증착되지만, 에지 링을 하강시키는 것은 에지 구역 근방에 상대적으로 보다 적은 부산물 분포를 발생시킨다.
도 2b는 측면-주입된 프로세스 가스들 (즉, 샤워헤드의 외측, 측면 튜닝 부분으로부터 주입된 가스들 및/또는, 일부 예들에서, 이하에 보다 상세히 기술되는 바와 같은 에지 링 노즐들로부터 주입된 가스들) 에 대한 부산물 분포들을 도시한다. 부산물 분포 (208) 는 하강된 위치의 에지 링을 사용한 부산물 분포를 예시한다. 반대로, 부산물 분포 (212) 는 상승된 위치의 에지 링을 사용한 부산물 분포를 예시한다. 도시된 바와 같이, 측면-주입된 프로세스 가스들에 대해, 보다 많은 양의 부산물이 하강된 에지 링 위치 및 상승된 에지 링 위치 모두에 대해 기판의 에지 구역에 비해 기판의 중심 구역 근방에서 증착되지만, 에지 링을 하강시키는 것은 에지 구역 근방에 상대적으로 보다 적은 부산물 분포를 발생시킨다.
도 3은 기판의 반경에 걸쳐, 중심-주입된 가스들 및 측면-주입된 프로세스 가스들 각각과 연관된 부산물 분포들 (304 및 308) 의 예시적인 평균 부산물 분포 (300) 를 도시한다. 평균 부산물 분포 (300) 는 에지 링의 미리결정된 위치에 대해 미리결정된 기간 동안 (예를 들어, 미리결정된 프로세싱 단계에 대응하는 미리결정된 기간 동안) 평균 부산물 분포에 대응할 수도 있다. 부산물 분포들 (304 및 308) 은 또한 중심-주입된 가스들 및 측면-주입된 가스들에 대응하는, 각각의 미리결정된 가스 플로우 레이트들, 가스 종, 등과 연관될 수도 있다.
그러므로 상한선 (316) 과 하한선 (320) 사이의 구역 (312) 은 에지 링의 위치를 조정 (즉, 상승 및 하강) 함으로써 달성 가능한 부산물 분포의 튜닝가능한 범위에 대응할 수도 있다. 예를 들어, 상한선 (316) 은 달성 가능한 부산물 분포의 예시적인 최대량에 대응할 수도 있고 하한선 (320) 은 달성 가능한 부산물 분포의 예시적인 최소량에 대응할 수도 있다. 부산물 분포는 중심-주입된 가스 플로우 및 측면-주입된 가스 플로우를 선택적으로 조정함으로써 더 조정될 수도 있다. 에지 링 높이 및 가스 플로우는 미리결정된 기간 동안 목표된 부산물 분포 (324) 를 달성하기 위해 프로세싱 동안 동적으로 조정될 수 있다.
예를 들어, 시스템 제어기 (160) 는 기판의 구역 각각에 대한 평균 부산물 분포와 이로 제한되지 않지만, 에지 링 위치, 측면-주입된 가스 플로우, 중심-주입된 가스 플로우, 가스 종, 에지 링 형상, 등을 포함한 다양한 파라미터들을 연관시키는 데이터, 예컨대, 모델을 저장할 수도 있다. 데이터는 복수의 상이한 에지 링 위치들, 프로세스 가스 주입 플로우 레이트들, 가스 종, 등에 대한 부산물 분포들 (304 및 308) 을 나타내는 데이터를 포함할 수도 있다. 단지 예를 들면, 평균 부산물 분포들을 포함한 데이터는 추정치들, 모델들, 이전의 기판들의 프로세싱-후 분석들, 등에 기초하여 결정될 수도 있다. 이에 따라, 프로세싱 동안 조정 가능하지 않은 파라미터들 (예를 들어, 에지 링 형상, 목표된 부산물 분포, 등) 의 미리결정된 세트에 대해, 제어기 (160) 는 목표된 부산물 분포를 달성하기 위해 프로세싱 동안 조정될 수 있는 연관된 파라미터들 (예를 들어, 에지 링 높이 및 중심-주입된 플로우와 측면-주입된 가스 플로우의 각각의 양들) 을 계산하도록 구성된다. 일부 예들에서, 제어기 (160) 는 프로세싱 동안 부산물 분포를 동적으로 계산할 수도 있고 이에 따라 조정할 수도 있다. 예를 들어, 도 2a에 도시된 바와 같이, 미리결정된 에지 링 높이에 대해, 중심-주입된 가스들은 기판의 에지들에서 보다 많은 부산물 분포를 유발하고 반면에 측면-주입된 가스들은 기판의 에지들에서 보다 적은 부산물 분포를 유발한다.
따라서, 프로세싱은 제 1 위치의 에지 링과 중심-주입된 가스 플로우 레이트 및 측면-주입된 가스 플로우 레이트를 사용하여 시작될 수도 있고, 기판의 에지 구역에서 상대적으로 보다 많은 부산물 분포 그리고 기판의 중심 구역에서 상대적으로 보다 적은 부산물 분포를 발생시킨다. 이어서 시스템 제어기 (160) 는 또한 중심-주입된 가스 및 측면-주입된 가스의 각각의 플로우 레이트들을 조정하면서, 에지 링으로 하여금 제 2 위치로 하강 (또는 상승) 되게 할 수도 있다. 예를 들어, 중심-주입된 가스의 플로우 레이트를 감소 (또는 완전히 차단) 시키고 측면-주입된 가스의 플로우 레이트를 증가시키는 동안 에지 링이 하강될 수도 있고, 이는 기판의 에지 구역에서 상대적으로 보다 적은 부산물 분포 그리고 기판의 중심 구역에서 상대적으로 보다 많은 부산물 분포를 발생시킨다. 각각의 플로우 레이트들을 조정하는 것은, 중심-주입된 가스 플로우 또는 측면-주입된 가스 플로우를 완전히 턴 오프하는 것, 중심-주입된 가스 플로우 또는 측면-주입된 가스 플로우를 턴 오프하여 프로세싱을 시작하는 것 그리고 그 후에 중심-주입된 가스 플로우 또는 측면-주입된 가스 플로우를 턴 온하는 것, 등을 포함할 수도 있다.
일부 예들에서, 제어기 (160) 는 특정한 프로세스를 위해 미리결정된 조정들의 시퀀스를 수행하도록 구성될 수도 있다. 예를 들어, 제 1 미리결정된 기간에서, 제어기 (160) 는 제 1 각각의 중심-주입된 플로우 레이트 및 측면-주입된 가스 플로우 레이트를 선택하는 동안 에지 링을 제 1 높이로 조정할 수도 있다. 제 2 미리결정된 기간에서, 제어기 (160) 는 제 2 각각의 중심-주입된 플로우 레이트 및 측면-주입된 가스 플로우 레이트를 선택하는 동안 에지 링을 제 2 높이로 조정할 수도 있다. 이 방식으로, 프로세스 또는 프로세싱 단계는 각각의 에지 링 위치들 및 가스 플로우 레이트들을 가진 2 이상의 미리결정된 기간들로 세그먼트화될 수도 있다.
이제 도 4a 및 도 4b를 참조하면, 본 개시의 원리들에 따른 상부에 기판 (404) 이 배치된 기판 지지부 (400) 가 도시된다. 기판 지지부 (400) 는 내측 부분 (예를 들어, ESC에 대응함) (408) 및 외측 부분 (412) 을 가진 베이스 또는 페데스탈을 포함할 수도 있다. 예들에서, 외측 부분 (412) 은 내측 부분 (408) 으로부터 독립적일 수도 있고, 내측 부분 (408) 에 대하여 이동 가능할 수도 있다. 기판 (404) 은 프로세싱을 위해 내측 부분 (408) 상에 배치된다. 제어기 (416) 는 지지부 (400) 의 포켓 깊이를 조정하기 위해 에지 링들 (424) 을 선택적으로 상승 및 하강시키도록 하나 이상의 액추에이터들 (420) 과 통신한다. 단지 예를 들면, 완전히 하강된 위치의 에지 링 (424) 이 도 4a에 도시되고 예시적으로 완전히 상승된 위치의 에지 링 (424) 이 도 4b에 도시된다. 도시된 바와 같이, 액추에이터들 (420) 은 핀들 (428) 을 수직 방향으로 선택적으로 연장 및 수축시키도록 구성된 핀 액추에이터들에 대응한다. 다른 적합한 타입들의 액추에이터들이 다른 예들에서 사용될 수도 있다. 단지 예를 들면, 에지 링 (424) 은 세라믹 또는 석영 에지 링에 대응한다. 도 4a에서, 제어기 (416) 는 핀들 (428) 을 통해 에지 링 (424) 을 직접 상승 및 하강시키도록 액추에이터들 (420) 과 통신한다. 일부 예들에서, 내측 부분 (408) 은 에지 링 (424) 에 대해 이동 가능하다.
이제 도 5a 및 도 5b를 참조하면, 상부에 기판 (504) 이 배치된 예시적인 기판 지지부 (500) 가 도시된다. 기판 지지부 (500) 는 내측 부분 (508) 및 외측 부분 (512) 을 가진 베이스 또는 페데스탈을 포함한다. 외측 부분은 도 1 내지 도 4에 대해 상기에 기술된 바와 같이 선택적으로 이동 가능한 (즉, 상승 및 하강된) 에지 링 (516) 을 포함한다. 그러나, 에지 링 (516) 의 이동을 제어하는 것과 관련된 기판 지지부 (500) 의 부분들은 간략함을 위해 도 5에서 생략되었다.
기판 지지부 (500) 는 가스 분배 디바이스 예컨대, 샤워헤드 (520) 아래에 위치된다. 샤워헤드 (520) 는 중심 부분 (524) 을 포함하고 선택 가능하게 외측 부분 (528) 을 포함할 수도 있다. 중심 부분 (524) 은 프로세스 가스들을 기판 (504) 바로 위에 하향으로 지향시키도록 배치된 중심 가스 노즐들 (532) 을 포함한다. 외측 부분 (528) 은 프로세스 가스들을 기판 (504) 의 외측 에지들을 향하여 지향시키도록 배치된 측면-튜닝 가스 노즐들 (536) 을 포함할 수도 있다.
일부 예들에서, 에지 링 (516) 은 에지 링 노즐들 (540) 을 포함한다. 에지 링 노즐들 (540) 은 샤워헤드 (520) 의 외측 부분 (528) 의 측면-튜닝 가스 노즐들 (536) 대신 또는 더하여 제공될 수도 있다. 에지 링 노즐들 (540) 은 도 1 내지 도 3에 상기에 기술된 바와 같은 부산물 분포를 더 제어하기 위해 부가적인 측면-튜닝 가스들을 제공하도록 배치된다. 예를 들어, 에지 링 (516) 은 가스 소스(들) (552) 로부터의 가스들을 하나 이상의 도관들 (548) 을 통해 수용하도록 배치된 플레넘 (544) 을 규정할 수도 있다. 예를 들어, 가스 소스(들) (552) 는 상기에 기술된 바와 같은 제어기 (예를 들어, 도 1의 시스템 제어기 (160)) 에 의해 생성된 제어 신호들에 따라 프로세스 가스들을 제공한다.
에지 링 노즐들 (540) 의 특성들은 상이한 프로세스들, 프로세싱 챔버들, 등에 대해 상이할 수도 있다. 수정될 수도 있는 에지 링 노즐들 (540) 의 예시적인 특성들은 이로 제한되지 않지만, 수량, 사이즈, 형상, 및 주입 각을 포함한다. 따라서, 에지 링 위치, 가스 플로우, 등을 조정하는 것에 더하여, 부산물 분포는 목표된 특성들을 가진 에지 링 노즐들 (540) 을 구비한 에지 링을 선택함으로써 더 제어될 수 있다. 일부 예들에서, 에지 링 (516) 의 형상은 부산물 분포를 더 제어하도록 가변될 수도 있다. 예를 들어, 직사각형 내경 (556) 을 갖는 것으로 도시되지만, 다른 예들에서 내경 (556) 은 베벨링 (beveled) 되거나, 커브되는, 등할 수도 있다.
도 6을 이제 참조하면, 기판에 걸친 부산물 분포를 제어하는 예시적인 방법 600이 604에서 시작된다. 608에서, 방법 600 (예를 들어, 시스템 제어기 (160)) 은 선택된 프로세스, 프로세싱 단계, 등에 기초하여 프로세스 챔버의 조정 가능한 파라미터들을 설정한다. 예를 들어, 방법 600은 선택된 프로세스의 특성들 (예를 들어, 프로세스 타입, 가스 종, 에지 링 특성들, 등) 과 다양한 조정 가능한 파라미터들을 연관시키는 저장된 데이터에 따라 조정 가능한 파라미터들을 설정할 수도 있다. 파라미터들은 이로 제한되지 않지만, 에지 링 위치 및 각각의 중심-주입된 프로세스 가스들 및 측면-주입된 프로세스 가스들 (예를 들어, 샤워헤드의 측면-튜닝 부분으로부터 그리고/또는 에지 링의 에지 링 노즐들로부터 주입됨) 을 포함한다.
612에서, 방법 600이 프로세스 또는 프로세싱 단계를 시작한다. 616에서, 방법 600은 프로세싱 단계가 완료되었는지 여부를 결정한다. 참이라면, 방법 600이 620에서 종료된다. 거짓이라면, 방법 600이 624로 계속된다. 624에서, 방법 600은 도 1 내지 도 5에 대해 상기에 기술된 바와 같은 부산물 분포의 제어와 관련된 파라미터들을 조정할지 여부를 결정한다. 예를 들어, 방법 600은 프로세스, 등 동안 부산물 분포의 계산 또는 추정에 기초하여, 미리결정된 기간 후에 에지 링 위치 및/또는 프로세스 가스 플로우 레이트들을 조정할 수도 있다. 참이라면, 방법 600이 628로 계속된다. 거짓이라면, 방법 600이 616으로 계속된다.
628에서, 방법 600 (예를 들어, 시스템 제어기 (160)) 은 에지 링 위치 및/또는 가스 플로우 레이트들을 조정한다. 일부 예들에서, 방법 600은 에지 링 위치 및 가스 플로우 레이트들을 미리결정된 값들로 조정한다 (예를 들어, 프로세스/프로세싱 단계가 시작한 후 경과된 시간에 기초함). 다른 예들에서, 방법 600은 기판의 다양한 구역들에서 부산물 분포를 계산 또는 추정할 수도 있고 (예를 들어, 현재의 에지 링 위치 및 이전의 에지 링 위치, 가스 플로우 레이트들, 등에 기초함) 이에 따라 에지 링 위치 및/또는 가스 플로우 레이트들을 조정할 수도 있다. 이어서 방법 600이 616으로 계속된다.
전술한 기술은 단순히 특성을 예시하는 것이고 어떠한 방식으로도 본 개시, 이의 애플리케이션, 또는 용도를 제한하도록 의도되지 않는다. 본 개시의 광범위한 교시들은 다양한 형태들로 구현될 수 있다. 따라서, 본 개시는 특정한 예들을 포함하지만, 본 개시의 진정한 범위는 다른 수정들이 도면들, 명세서, 및 이하의 청구항들을 연구함으로써 명백해질 것이기 때문에 그렇게 제한되지 않아야 한다. 방법 내에서 하나 이상의 단계들은 본 개시의 원리들을 변경하지 않고 상이한 순서로 (또는 동시에) 실행될 수도 있다는 것이 이해되어야 한다. 또한, 실시예들 각각이 특정한 피처들을 갖는 것으로 상기에 기술되지만, 본 개시의 임의의 실시예에 대해 기술된 임의의 하나 이상의 이들 피처들은, 조합이 명시적으로 기술되지 않아도, 임의의 다른 실시예들의 피처들로 및/또는 임의의 다른 실시예들의 피처들과 조합하여 구현될 수 있다. 즉, 기술된 실시예들은 상호 배타적이지 않고, 하나 이상의 실시예들의 또 다른 실시예와의 치환들이 본 개시의 범위 내에 남는다.
엘리먼트들 간 (예를 들어, 모듈들, 회로 엘리먼트들, 반도체 층들, 등 간) 의 공간적 및 기능적 관계들은, "연결된 (connected)", "인게이지된 (engaged)", "커플링된 (coupled)", "인접한 (adjacent)", "옆에 (next to)", "~의 상단에 (on top of)", "위에 (above)", "아래에 (below)", 및 "배치된 (disposed)"을 포함하는, 다양한 용어들을 사용하여 기술된다. "직접적 (direct)"인 것으로 명시적으로 기술되지 않는 한, 제 1 엘리먼트와 제 2 엘리먼트 간의 관계가 상기 개시에서 기술될 때, 이 관계는 제 1 엘리먼트와 제 2 엘리먼트 사이에 다른 중개하는 엘리먼트가 존재하지 않는 직접적인 관계일 수 있지만, 또한 제 1 엘리먼트와 제 2 엘리먼트 사이에 (공간적으로 또는 기능적으로) 하나 이상의 중개하는 엘리먼트들이 존재하는 간접적인 관계일 수 있다. 본 명세서에서 사용된 바와 같이, 구 A, B, 및 C 중 적어도 하나는 비배타적인 논리 OR를 사용하여, 논리적으로 (A 또는 B 또는 C) 를 의미하는 것으로 해석되어야 하고, "적어도 하나의 A, 적어도 하나의 B, 및 적어도 하나의 C"를 의미하도록 해석되지 않아야 한다.
일부 구현예들에서, 제어기는 상술한 실례들의 일부일 수 있는 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이러한 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 예를 들어 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 전달 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 전달들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스를 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고 인스트럭션들을 발행하고 동작을 제어하고 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 되는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 리모트 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 리모트 액세스를 인에이블할 수도 있다. 일부 예들에서, 리모트 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 리모트 컴퓨터는 차후에 리모트 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 가능하게 하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 리모트 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제조 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.

Claims (16)

  1. 기판 프로세싱 시스템 내의 기판 지지부에 있어서,
    제 1 프로세스 가스들을 내측 부분을 향하여 지향시키도록 구성된 가스 분배 디바이스 아래에 위치된 상기 내측 부분;
    에지 링을 포함하는 외측 부분으로서, 상기 에지 링은 상기 내측 부분 및 상기 내측 부분 상에 배치된 기판을 적어도 부분적으로 둘러싸도록 상기 내측 부분의 외측 주변부 둘레에 위치되고, 상기 에지 링은 상기 내측 부분에 대해 상승하고 하강하도록 구성되고, 그리고 상기 에지 링은 제 2 프로세스 가스들을 상기 내측 부분을 향하여 지향시키도록 구성되는, 상기 외측 부분; 및
    프로세싱 동안 상기 기판 상에 증착된 재료의 분포를 결정하고, 그리고 결정된 분포에 기초하여, (i) 상기 에지 링의 위치를 선택적으로 조정하고 그리고 (ii) 상기 제 1 프로세스 가스들 및 상기 제 2 프로세스 가스들 중 적어도 하나의 플로우를 선택적으로 조정하는 제어기를 포함하는, 기판 프로세싱 시스템 내의 기판 지지부.
  2. 제 1 항에 있어서,
    상기 재료는 에칭 부산물들을 포함하는, 기판 프로세싱 시스템 내의 기판 지지부.
  3. 제 1 항에 있어서,
    상기 제어기는 에지 링 위치, 상기 가스 분배 디바이스에 의해 지향된 상기 제 1 프로세스 가스들, 및 상기 에지 링에 의해 지향된 상기 제 2 프로세스 가스들 중 적어도 하나와 상기 기판 상에 증착된 상기 재료의 분포를 연관시키는 데이터에 기초하여 상기 재료의 분포를 결정하는, 기판 프로세싱 시스템 내의 기판 지지부.
  4. 제 3 항에 있어서,
    상기 데이터는 (i) 상기 제 1 프로세스 가스들에 의해 유발된 상기 기판 상에 증착된 재료 및 (ii) 상기 제 2 프로세스 가스들에 의해 유발된 상기 기판 상에 증착된 재료의 평균을 포함하는, 기판 프로세싱 시스템 내의 기판 지지부.
  5. 제 3 항에 있어서,
    상기 제어기는, 상기 데이터에 기초하여, (i) 제 1 미리결정된 기간 동안, 상기 에지 링의 상기 위치를 제 1 위치로 조정하고, 상기 제 1 프로세스 가스들의 플로우를 제 1 플로우 레이트로 조정하고, 상기 제 2 프로세스 가스들의 플로우를 제 2 플로우 레이트로 조정하고, 그리고 (ii) 상기 제 1 미리결정된 기간 후에, 제 2 미리결정된 기간 동안, 상기 에지 링의 상기 위치를 제 2 위치로 조정하고, 상기 제 1 프로세스 가스들의 상기 플로우를 제 3 플로우 레이트로 조정하고, 상기 제 2 프로세스 가스들의 상기 플로우를 제 4 플로우 레이트로 조정하는, 기판 프로세싱 시스템 내의 기판 지지부.
  6. 제 5 항에 있어서,
    상기 플로우를 상기 제 1 플로우 레이트, 상기 제 2 플로우 레이트, 상기 제 3 플로우 레이트, 및 상기 제 4 플로우 레이트 중 적어도 하나로 조정하는 것은 상기 제 1 프로세스 가스들 및 상기 제 2 프로세스 가스들 중 대응하는 하나를 턴 오프하는 것을 포함하는, 기판 프로세싱 시스템 내의 기판 지지부.
  7. 제 1 항에 있어서,
    상기 에지 링은 복수의 가스 주입 노즐들을 포함하는, 기판 프로세싱 시스템 내의 기판 지지부.
  8. 제 7 항에 있어서,
    상기 복수의 가스 주입 노즐들은 상기 제 2 프로세스 가스들의 소스에 커플링된 적어도 하나의 도관 및 상기 에지 링에 규정된 플레넘을 통해 상기 소스와 유체로 연통하는, 기판 프로세싱 시스템 내의 기판 지지부.
  9. 기판 프로세싱 시스템 내에서 기판을 프로세싱하는 방법에 있어서,
    내측 부분 및 외측 부분을 가진 기판 지지부를 제공하는 단계로서, 상기 내측 부분은 가스 분배 디바이스 아래에 위치되고, 그리고 상기 외측 부분은 상기 내측 부분 및 상기 내측 부분 상에 배치된 기판을 적어도 부분적으로 둘러싸도록 상기 내측 부분의 외측 주변부 둘레에 위치된 에지 링을 포함하는, 상기 기판 지지부를 제공하는 단계;
    제 1 프로세스 가스들을 상기 가스 분배 디바이스를 사용하여 상기 내측 부분을 향하여 지향시키는 단계;
    제 2 프로세스 가스들을 상기 에지 링을 사용하여 상기 내측 부분을 향하여 지향시키는 단계;
    프로세싱 동안 상기 기판 상에 증착된 재료의 분포를 결정하는 단계;
    상기 에지 링의 위치를 상기 내측 부분에 대해 상향으로 또는 하향으로 선택적으로 조정하는 단계; 및
    상기 제 1 프로세스 가스들 및 상기 제 2 프로세스 가스들 중 적어도 하나의 플로우를 선택적으로 조정하는 단계를 포함하는, 기판을 프로세싱하는 방법.
  10. 제 9 항에 있어서,
    상기 재료는 에칭 부산물들을 포함하는, 기판을 프로세싱하는 방법.
  11. 제 9 항에 있어서,
    상기 재료의 분포를 결정하는 단계는 에지 링 위치, 상기 가스 분배 디바이스에 의해 지향된 상기 제 1 프로세스 가스들, 및 상기 에지 링에 의해 지향된 상기 제 2 프로세스 가스들 중 적어도 하나와 상기 기판 상에 증착된 상기 재료의 분포를 연관시키는 데이터에 기초하여 상기 재료의 분포를 결정하는 것을 포함하는, 기판을 프로세싱하는 방법.
  12. 제 11 항에 있어서,
    상기 데이터는 (i) 상기 제 1 프로세스 가스들에 의해 유발된 상기 기판 상에 증착된 재료 및 (ii) 상기 제 2 프로세스 가스들에 의해 유발된 상기 기판 상에 증착된 재료의 평균을 포함하는, 기판을 프로세싱하는 방법.
  13. 제 11 항에 있어서,
    상기 데이터에 기초하여, (i) 제 1 미리결정된 기간 동안, 상기 에지 링의 상기 위치를 제 1 위치로 조정하고, 상기 제 1 프로세스 가스들의 플로우를 제 1 플로우 레이트로 조정하고, 상기 제 2 프로세스 가스들의 플로우를 제 2 플로우 레이트로 조정하는 단계, 그리고 (ii) 상기 제 1 미리결정된 기간 후에, 제 2 미리결정된 기간 동안, 상기 에지 링의 상기 위치를 제 2 위치로 조정하고, 상기 제 1 프로세스 가스들의 상기 플로우를 제 3 플로우 레이트로 조정하고, 상기 제 2 프로세스 가스들의 상기 플로우를 제 4 플로우 레이트로 조정하는 단계를 더 포함하는, 기판을 프로세싱하는 방법.
  14. 제 13 항에 있어서,
    상기 플로우를 상기 제 1 플로우 레이트, 상기 제 2 플로우 레이트, 상기 제 3 플로우 레이트, 및 상기 제 4 플로우 레이트 중 적어도 하나로 조정하는 단계는 상기 제 1 프로세스 가스들 및 상기 제 2 프로세스 가스들 중 대응하는 하나를 턴 오프하는 것을 포함하는, 기판을 프로세싱하는 방법.
  15. 제 9 항에 있어서,
    상기 에지 링은 복수의 가스 주입 노즐들을 포함하는, 기판을 프로세싱하는 방법.
  16. 제 15 항에 있어서,
    상기 복수의 가스 주입 노즐들은 상기 제 2 프로세스 가스들의 소스에 커플링된 적어도 하나의 도관 및 상기 에지 링에 규정된 플레넘을 통해 상기 소스와 유체로 연통하는, 기판을 프로세싱하는 방법.
KR1020170083210A 2016-08-19 2017-06-30 이동 가능한 에지 링 및 가스 주입 조정을 사용하여 웨이퍼 상 cd 균일성의 제어 KR102383779B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020220041276A KR102488729B1 (ko) 2016-08-19 2022-04-01 이동 가능한 에지 링 및 가스 주입 조정을 사용하여 웨이퍼 상 cd 균일성의 제어

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/241,393 US10410832B2 (en) 2016-08-19 2016-08-19 Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US15/241,393 2016-08-19

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020220041276A Division KR102488729B1 (ko) 2016-08-19 2022-04-01 이동 가능한 에지 링 및 가스 주입 조정을 사용하여 웨이퍼 상 cd 균일성의 제어

Publications (2)

Publication Number Publication Date
KR20180020876A true KR20180020876A (ko) 2018-02-28
KR102383779B1 KR102383779B1 (ko) 2022-04-05

Family

ID=61192073

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020170083210A KR102383779B1 (ko) 2016-08-19 2017-06-30 이동 가능한 에지 링 및 가스 주입 조정을 사용하여 웨이퍼 상 cd 균일성의 제어
KR1020220041276A KR102488729B1 (ko) 2016-08-19 2022-04-01 이동 가능한 에지 링 및 가스 주입 조정을 사용하여 웨이퍼 상 cd 균일성의 제어

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020220041276A KR102488729B1 (ko) 2016-08-19 2022-04-01 이동 가능한 에지 링 및 가스 주입 조정을 사용하여 웨이퍼 상 cd 균일성의 제어

Country Status (5)

Country Link
US (2) US10410832B2 (ko)
JP (2) JP7060344B2 (ko)
KR (2) KR102383779B1 (ko)
CN (1) CN107768275B (ko)
TW (1) TW201817899A (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10665490B2 (en) 2018-07-04 2020-05-26 Samsung Electronics Co., Ltd. Apparatus and methods for edge ring replacement, inspection and alignment using image sensors

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10304668B2 (en) * 2016-05-24 2019-05-28 Tokyo Electron Limited Localized process control using a plasma system
US10410832B2 (en) * 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
KR102443036B1 (ko) * 2018-01-15 2022-09-14 삼성전자주식회사 플라즈마 처리 장치
CN110246737B (zh) * 2018-03-08 2021-07-06 长鑫存储技术有限公司 一种半导体晶圆结构的刻蚀方法
CN110767568B (zh) * 2018-07-26 2022-05-27 北京北方华创微电子装备有限公司 压力调节组件、下电极装置、工艺腔室和半导体处理设备
EP3837713A4 (en) 2018-08-13 2022-07-20 Lam Research Corporation REPLACEABLE AND/OR FOLDABLE EDGE RING ASSEMBLIES USED TO ATTACH A PLASMA SHEATH INCORPORATING EDGE RING POSITIONING AND CENTERING ELEMENTS
KR102335472B1 (ko) * 2019-09-04 2021-12-07 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
CN112701027A (zh) * 2019-10-22 2021-04-23 夏泰鑫半导体(青岛)有限公司 等离子体处理装置及边缘环的更换方法
CN110867365B (zh) * 2019-11-04 2022-05-27 北京北方华创微电子装备有限公司 等离子体***
JP2023520035A (ja) * 2020-04-02 2023-05-15 ラム リサーチ コーポレーション 調節ガスの局所供給用エッジリング
CN115702486A (zh) * 2020-11-23 2023-02-14 朗姆研究公司 经由吹扫环防止局部化的等离子电弧
CN114551204A (zh) * 2020-11-25 2022-05-27 中国科学院微电子研究所 一种用于控制晶片边缘关键尺寸的***及方法
US20220328342A1 (en) * 2021-04-08 2022-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma control method in semiconductor wafer fabrication
US11823939B2 (en) 2021-09-21 2023-11-21 Applied Materials, Inc. Apparatus and methods for processing chamber lid concentricity alignment
US11769648B2 (en) 2021-10-28 2023-09-26 Applied Materials, Inc. Ion source gas injection beam shaping

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080223873A1 (en) * 2007-03-12 2008-09-18 Tokyo Electron Limited Dynamic control of process chemistry for improved within-substrate process uniformity
KR20100105695A (ko) * 2007-12-19 2010-09-29 어플라이드 머티어리얼스, 인코포레이티드 유도 결합 플라즈마 챔버에서 에지 성능을 제어하기 위한 장치 및 방법
KR20110125188A (ko) * 2010-05-12 2011-11-18 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 반도체 장치의 제조 방법

Family Cites Families (234)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL8004005A (nl) 1980-07-11 1982-02-01 Philips Nv Werkwijze voor het vervaardigen van een halfgeleiderinrichting.
US4431477A (en) 1983-07-05 1984-02-14 Matheson Gas Products, Inc. Plasma etching with nitrous oxide and fluoro compound gas mixture
US4793897A (en) 1987-03-20 1988-12-27 Applied Materials, Inc. Selective thin film etch process
EP0424299A3 (en) 1989-10-20 1991-08-28 International Business Machines Corporation Selective silicon nitride plasma etching
US6024826A (en) 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US6165311A (en) 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US5329965A (en) 1993-07-30 1994-07-19 The Perkin-Elmer Corporation Hybrid valving system for varying fluid flow rate
JP2638443B2 (ja) 1993-08-31 1997-08-06 日本電気株式会社 ドライエッチング方法およびドライエッチング装置
US5762714A (en) 1994-10-18 1998-06-09 Applied Materials, Inc. Plasma guard for chamber equipped with electrostatic chuck
US5605179A (en) 1995-03-17 1997-02-25 Insync Systems, Inc. Integrated gas panel
US5907221A (en) 1995-08-16 1999-05-25 Applied Materials, Inc. Inductively coupled plasma reactor with an inductive coil antenna having independent loops
JP2713276B2 (ja) 1995-12-07 1998-02-16 日本電気株式会社 半導体装置の製造装置およびこれを用いた半導体装置の製造方法
US5662143A (en) 1996-05-16 1997-09-02 Gasonics International Modular gas box system
US5744695A (en) 1997-01-10 1998-04-28 Sony Corporation Apparatus to check calibration of mass flow controllers
JP3247079B2 (ja) * 1997-02-06 2002-01-15 松下電器産業株式会社 エッチング方法及びエッチング装置
US6210593B1 (en) * 1997-02-06 2001-04-03 Matsushita Electric Industrial Co., Ltd. Etching method and etching apparatus
KR100295518B1 (ko) 1997-02-25 2001-11-30 아끼구사 나오유끼 질화실리콘층의에칭방법및반도체장치의제조방법
US6388226B1 (en) 1997-06-26 2002-05-14 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6042687A (en) 1997-06-30 2000-03-28 Lam Research Corporation Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
JP3586075B2 (ja) 1997-08-15 2004-11-10 忠弘 大見 圧力式流量制御装置
US6074959A (en) 1997-09-19 2000-06-13 Applied Materials, Inc. Method manifesting a wide process window and using hexafluoropropane or other hydrofluoropropanes to selectively etch oxide
US6060400A (en) 1998-03-26 2000-05-09 The Research Foundation Of State University Of New York Highly selective chemical dry etching of silicon nitride over silicon and silicon dioxide
JP2000058512A (ja) 1998-08-03 2000-02-25 Matsushita Electric Ind Co Ltd プラズマ処理装置および処理方法
JP3830670B2 (ja) 1998-09-03 2006-10-04 三菱電機株式会社 半導体製造装置
US6022809A (en) 1998-12-03 2000-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Composite shadow ring for an etch chamber and method of using
US6579805B1 (en) 1999-01-05 2003-06-17 Ronal Systems Corp. In situ chemical generator and method
US7150994B2 (en) 1999-03-03 2006-12-19 Symyx Technologies, Inc. Parallel flow process optimization reactor
US6797189B2 (en) 1999-03-25 2004-09-28 Hoiman (Raymond) Hung Enhancement of silicon oxide etch rate and nitride selectivity using hexafluorobutadiene or other heavy perfluorocarbon
WO2000063756A1 (fr) 1999-04-16 2000-10-26 Fujikin Incorporated Dispositif d'alimentation en fluide du type derivation parallele, et procede et dispositif de commande du debit d'un systeme de pression du type a fluide variable utilise dans ledit dispositif
US6210482B1 (en) 1999-04-22 2001-04-03 Fujikin Incorporated Apparatus for feeding gases for use in semiconductor manufacturing
US6709547B1 (en) * 1999-06-30 2004-03-23 Lam Research Corporation Moveable barrier for multiple etch processes
JP2001023955A (ja) 1999-07-07 2001-01-26 Mitsubishi Electric Corp プラズマ処理装置
DE60041341D1 (de) 1999-08-17 2009-02-26 Tokyo Electron Ltd Gepulstes plasmabehandlungsverfahren und vorrichtung
US6206976B1 (en) 1999-08-27 2001-03-27 Lucent Technologies Inc. Deposition apparatus and related method with controllable edge exclusion
JP4394778B2 (ja) 1999-09-22 2010-01-06 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US6589352B1 (en) 1999-12-10 2003-07-08 Applied Materials, Inc. Self aligning non contact shadow ring process kit
JP2001230239A (ja) 2000-02-15 2001-08-24 Tokyo Electron Ltd 処理装置及び処理方法
EP1265700B1 (en) 2000-03-07 2005-01-19 Symyx Technologies, Inc. Parallel flow process optimization reactor
JP3316490B2 (ja) * 2000-03-13 2002-08-19 三菱重工業株式会社 放電電極への給電方法、高周波プラズマ生成方法および半導体製造方法
TW506234B (en) 2000-09-18 2002-10-11 Tokyo Electron Ltd Tunable focus ring for plasma processing
JP2002110570A (ja) 2000-10-04 2002-04-12 Asm Japan Kk 半導体製造装置用ガスラインシステム
US6492774B1 (en) 2000-10-04 2002-12-10 Lam Research Corporation Wafer area pressure control for plasma confinement
US6333272B1 (en) 2000-10-06 2001-12-25 Lam Research Corporation Gas distribution apparatus for semiconductor processing
JP3388228B2 (ja) 2000-12-07 2003-03-17 株式会社半導体先端テクノロジーズ プラズマエッチング装置、及びプラズマエッチング方法
JP4433614B2 (ja) 2001-01-17 2010-03-17 ソニー株式会社 エッチング装置
US6962879B2 (en) 2001-03-30 2005-11-08 Lam Research Corporation Method of plasma etching silicon nitride
DE10216703A1 (de) 2001-04-20 2002-11-28 Festo Corp Hauppauge Stapelbare Ventilverteileranordnung
JP4209688B2 (ja) 2001-05-24 2009-01-14 セレリティ・インコーポレーテッド 決定された比率のプロセス流体を供給する方法および装置
US20030070620A1 (en) 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
US7882800B2 (en) * 2001-12-13 2011-02-08 Tokyo Electron Limited Ring mechanism, and plasma processing device using the ring mechanism
US6744212B2 (en) 2002-02-14 2004-06-01 Lam Research Corporation Plasma processing apparatus and method for confining an RF plasma under very high gas flow and RF power density conditions
US6814813B2 (en) 2002-04-24 2004-11-09 Micron Technology, Inc. Chemical vapor deposition apparatus
US20040040664A1 (en) 2002-06-03 2004-03-04 Yang Jang Gyoo Cathode pedestal for a plasma etch reactor
JP3856730B2 (ja) 2002-06-03 2006-12-13 東京エレクトロン株式会社 流量制御装置を備えたガス供給設備からのチャンバーへのガス分流供給方法。
US7136767B2 (en) 2002-06-24 2006-11-14 Mks Instruments, Inc. Apparatus and method for calibration of mass flow controller
US6810308B2 (en) 2002-06-24 2004-10-26 Mks Instruments, Inc. Apparatus and method for mass flow controller with network access to diagnostics
US7552015B2 (en) 2002-06-24 2009-06-23 Mks Instruments, Inc. Apparatus and method for displaying mass flow controller pressure
US6841943B2 (en) 2002-06-27 2005-01-11 Lam Research Corp. Plasma processor with electrode simultaneously responsive to plural frequencies
US6896765B2 (en) 2002-09-18 2005-05-24 Lam Research Corporation Method and apparatus for the compensation of edge ring wear in a plasma processing chamber
JP4502590B2 (ja) 2002-11-15 2010-07-14 株式会社ルネサステクノロジ 半導体製造装置
US7311784B2 (en) 2002-11-26 2007-12-25 Tokyo Electron Limited Plasma processing device
KR20040050080A (ko) 2002-12-09 2004-06-16 주식회사 하이닉스반도체 플라즈마 식각 챔버용 포커스 링 구동 장치
US20040112540A1 (en) 2002-12-13 2004-06-17 Lam Research Corporation Uniform etch system
US7169231B2 (en) 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
US6898558B2 (en) 2002-12-31 2005-05-24 Tokyo Electron Limited Method and apparatus for monitoring a material processing system
US20040163601A1 (en) * 2003-02-26 2004-08-26 Masanori Kadotani Plasma processing apparatus
US20040168719A1 (en) 2003-02-28 2004-09-02 Masahiro Nambu System for dividing gas flow
US6907904B2 (en) 2003-03-03 2005-06-21 Redwood Microsystems, Inc. Fluid delivery system and mounting panel therefor
KR100756095B1 (ko) 2003-05-02 2007-09-05 동경 엘렉트론 주식회사 처리가스도입기구 및 플라즈마처리장치
JP4500510B2 (ja) * 2003-06-05 2010-07-14 東京エレクトロン株式会社 エッチング量検出方法,エッチング方法,およびエッチング装置
JP4224492B2 (ja) 2003-06-09 2009-02-12 シーケーディ株式会社 圧力制御システム及び流量制御システム
JP4195837B2 (ja) 2003-06-20 2008-12-17 東京エレクトロン株式会社 ガス分流供給装置及びガス分流供給方法
US7137400B2 (en) 2003-09-30 2006-11-21 Agere Systems Inc. Bypass loop gas flow calibration
JP4399227B2 (ja) 2003-10-06 2010-01-13 株式会社フジキン チャンバの内圧制御装置及び内圧被制御式チャンバ
US7129171B2 (en) 2003-10-14 2006-10-31 Lam Research Corporation Selective oxygen-free etching process for barrier materials
US7128806B2 (en) 2003-10-21 2006-10-31 Applied Materials, Inc. Mask etch processing apparatus
JP2006128559A (ja) * 2004-11-01 2006-05-18 Tokyo Electron Ltd 基板処理システム
US20050155625A1 (en) 2004-01-20 2005-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Chamber cleaning method
US7095179B2 (en) 2004-02-22 2006-08-22 Zond, Inc. Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
US7072743B2 (en) 2004-03-09 2006-07-04 Mks Instruments, Inc. Semiconductor manufacturing gas flow divider system and method
US20070066038A1 (en) 2004-04-30 2007-03-22 Lam Research Corporation Fast gas switching plasma processing apparatus
US7708859B2 (en) 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
JP4407384B2 (ja) * 2004-05-28 2010-02-03 株式会社Sumco Soi基板の製造方法
US7412986B2 (en) 2004-07-09 2008-08-19 Celerity, Inc. Method and system for flow measurement and validation of a mass flow controller
US7338907B2 (en) 2004-10-04 2008-03-04 Sharp Laboratories Of America, Inc. Selective etching processes of silicon nitride and indium oxide thin films for FeRAM device applications
US20060124169A1 (en) 2004-12-09 2006-06-15 Tokyo Electron Limited Gas supply unit, substrate processing apparatus, and supply gas setting method
US7621290B2 (en) 2005-04-21 2009-11-24 Mks Instruments, Inc. Gas delivery method and system including a flow ratio controller using antisymmetric optimal control
US7288482B2 (en) 2005-05-04 2007-10-30 International Business Machines Corporation Silicon nitride etching methods
JP2006344701A (ja) 2005-06-08 2006-12-21 Matsushita Electric Ind Co Ltd エッチング装置およびエッチング方法
US20070021935A1 (en) 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
US7291560B2 (en) 2005-08-01 2007-11-06 Infineon Technologies Ag Method of production pitch fractionizations in semiconductor technology
US8088248B2 (en) 2006-01-11 2012-01-03 Lam Research Corporation Gas switching section including valves having different flow coefficients for gas distribution system
KR20070078346A (ko) * 2006-01-26 2007-07-31 삼성전자주식회사 나이프 에지 링을 갖는 웨이퍼 지지장치
JP4895167B2 (ja) 2006-01-31 2012-03-14 東京エレクトロン株式会社 ガス供給装置,基板処理装置,ガス供給方法
US8097120B2 (en) 2006-02-21 2012-01-17 Lam Research Corporation Process tuning gas injection from the substrate edge
JP4909609B2 (ja) 2006-03-01 2012-04-04 株式会社東芝 加工形状シミュレーション方法、半導体装置の製造方法及び加工形状シミュレーションシステム
US7578258B2 (en) 2006-03-03 2009-08-25 Lam Research Corporation Methods and apparatus for selective pre-coating of a plasma processing chamber
JP4788920B2 (ja) 2006-03-20 2011-10-05 日立金属株式会社 質量流量制御装置、その検定方法及び半導体製造装置
US7398693B2 (en) * 2006-03-30 2008-07-15 Applied Materials, Inc. Adaptive control method for rapid thermal processing of a substrate
US7674337B2 (en) 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US8997791B2 (en) 2006-04-14 2015-04-07 Mks Instruments, Inc. Multiple-channel flow ratio controller
JP4814706B2 (ja) 2006-06-27 2011-11-16 株式会社フジキン 流量比可変型流体供給装置
KR101466998B1 (ko) 2006-08-23 2014-12-01 가부시키가이샤 호리바 에스텍 집적형 가스 패널 장치
KR20080023569A (ko) 2006-09-11 2008-03-14 주식회사 하이닉스반도체 식각프로파일 변형을 방지하는 플라즈마식각장치
US7757541B1 (en) 2006-09-13 2010-07-20 Pivotal Systems Corporation Techniques for calibration of gas flows
US7309646B1 (en) 2006-10-10 2007-12-18 Lam Research Corporation De-fluoridation process
US8592220B2 (en) 2006-10-26 2013-11-26 Intermolecular, Inc. High pressure parallel fixed bed reactor and method
US20080110569A1 (en) * 2006-11-09 2008-05-15 Go Miya Plasma etching apparatus and plasma etching method
US9405298B2 (en) 2006-11-20 2016-08-02 Applied Materials, Inc. System and method to divide fluid flow in a predetermined ratio
US7758698B2 (en) 2006-11-28 2010-07-20 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
JP5002602B2 (ja) 2006-12-05 2012-08-15 株式会社堀場エステック 流量制御装置の検定方法
WO2008072614A1 (ja) 2006-12-12 2008-06-19 Horiba Stec, Co., Ltd. 流量比率制御装置
SE530902C2 (sv) 2006-12-19 2008-10-14 Alfa Laval Corp Ab Sektionerad flödesanordning och förfarande för att reglera temperaturen i denna
US7968469B2 (en) 2007-01-30 2011-06-28 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with variable height ground return path to control plasma ion density uniformity
US7775236B2 (en) 2007-02-26 2010-08-17 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US8074677B2 (en) 2007-02-26 2011-12-13 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US7846497B2 (en) 2007-02-26 2010-12-07 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
JP5317424B2 (ja) 2007-03-28 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置
US8202393B2 (en) 2007-08-29 2012-06-19 Lam Research Corporation Alternate gas delivery and evacuation system for plasma processing apparatuses
US7832354B2 (en) * 2007-09-05 2010-11-16 Applied Materials, Inc. Cathode liner with wafer edge gas injection in a plasma reactor chamber
KR101437522B1 (ko) * 2007-09-05 2014-09-03 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 반응기 챔버에서 웨이퍼 에지 가스 주입부를 갖는캐소드 라이너
US8440259B2 (en) 2007-09-05 2013-05-14 Intermolecular, Inc. Vapor based combinatorial processing
US7824146B2 (en) 2007-09-07 2010-11-02 Advanced Technology Development Facility Automated systems and methods for adapting semiconductor fabrication tools to process wafers of different diameters
JP5459895B2 (ja) 2007-10-15 2014-04-02 Ckd株式会社 ガス分流供給ユニット
US20100264117A1 (en) 2007-10-31 2010-10-21 Tohoku University Plasma processing system and plasma processing method
US8137463B2 (en) 2007-12-19 2012-03-20 Applied Materials, Inc. Dual zone gas injection nozzle
JP5050830B2 (ja) * 2007-12-19 2012-10-17 ソニー株式会社 ドライエッチング装置および半導体装置の製造方法
US8037894B1 (en) 2007-12-27 2011-10-18 Intermolecular, Inc. Maintaining flow rate of a fluid
JP4585035B2 (ja) 2007-12-27 2010-11-24 株式会社堀場エステック 流量比率制御装置
US7823436B2 (en) 2008-01-18 2010-11-02 Pivotal Systems Corporation Method and apparatus for in situ testing of gas flow controllers
JP2009188173A (ja) 2008-02-06 2009-08-20 Tokyo Electron Ltd 基板処理方法及び基板処理装置
KR101659095B1 (ko) 2008-02-08 2016-09-22 램 리써치 코포레이션 측방향 벨로우 및 비접촉 입자 밀봉을 포함하는 조정가능한 갭이 용량적으로 커플링되는 rf 플라즈마 반응기
US8969151B2 (en) 2008-02-29 2015-03-03 Globalfoundries Singapore Pte. Ltd. Integrated circuit system employing resistance altering techniques
US8110068B2 (en) 2008-03-20 2012-02-07 Novellus Systems, Inc. Gas flow distribution receptacles, plasma generator systems, and methods for performing plasma stripping processes
US20090272717A1 (en) 2008-03-21 2009-11-05 Applied Materials, Inc. Method and apparatus of a substrate etching system and process
JP2010034416A (ja) 2008-07-30 2010-02-12 Hitachi High-Technologies Corp プラズマ処理装置およびプラズマ処理方法
ES2379698T3 (es) 2008-08-13 2012-04-30 Shell Internationale Research Maatschappij B.V. Método para controlar un caudal de gas entre una pluralidad de flujos de gases
US8089046B2 (en) 2008-09-19 2012-01-03 Applied Materials, Inc. Method and apparatus for calibrating mass flow controllers
CN101754565B (zh) * 2008-12-03 2012-07-25 北京北方微电子基地设备工艺研究中心有限责任公司 一种电极组件及应用该电极组件的等离子体处理设备
US8809196B2 (en) 2009-01-14 2014-08-19 Tokyo Electron Limited Method of etching a thin film using pressure modulation
JP5216632B2 (ja) 2009-03-03 2013-06-19 東京エレクトロン株式会社 流体制御装置
US8409995B2 (en) 2009-08-07 2013-04-02 Tokyo Electron Limited Substrate processing apparatus, positioning method and focus ring installation method
WO2011021539A1 (ja) 2009-08-20 2011-02-24 東京エレクトロン株式会社 プラズマ処理装置とプラズマ処理方法
WO2011051251A1 (en) 2009-10-26 2011-05-05 Solvay Fluor Gmbh Etching process for producing a tft matrix
TWI569322B (zh) 2009-12-02 2017-02-01 史畢茲科技公司 高選擇性蝕刻系統與方法
US9127361B2 (en) 2009-12-07 2015-09-08 Mks Instruments, Inc. Methods of and apparatus for controlling pressure in multiple zones of a process tool
JP5650548B2 (ja) 2009-12-25 2015-01-07 株式会社堀場エステック マスフローコントローラシステム
WO2011108663A1 (ja) 2010-03-04 2011-09-09 東京エレクトロン株式会社 プラズマエッチング方法、半導体デバイスの製造方法、及びプラズマエッチング装置
US20110265883A1 (en) 2010-04-30 2011-11-03 Applied Materials, Inc. Methods and apparatus for reducing flow splitting errors using orifice ratio conductance control
US8485128B2 (en) 2010-06-30 2013-07-16 Lam Research Corporation Movable ground ring for a plasma processing chamber
BR112013002632B1 (pt) 2010-08-02 2020-01-21 Basell Polyolefine Gmbh processo e aparelho para misturar e dividir correntes de fluido
US8869742B2 (en) 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
JP2012049376A (ja) 2010-08-27 2012-03-08 Hitachi High-Technologies Corp プラズマ処理装置およびプラズマ処理方法
US8905074B2 (en) 2010-10-22 2014-12-09 Applied Materials, Inc. Apparatus for controlling gas distribution using orifice ratio conductance control
EP2649218B1 (en) 2010-12-08 2017-08-23 Evatec AG Apparatus and method for depositing a layer onto a substrate
US20120149213A1 (en) 2010-12-09 2012-06-14 Lakshminarayana Nittala Bottom up fill in high aspect ratio trenches
JP5855921B2 (ja) 2010-12-17 2016-02-09 株式会社堀場エステック ガス濃度調整装置
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
JP2012222235A (ja) 2011-04-12 2012-11-12 Hitachi High-Technologies Corp プラズマ処理装置
US9059678B2 (en) 2011-04-28 2015-06-16 Lam Research Corporation TCCT match circuit for plasma etch chambers
US8746284B2 (en) 2011-05-11 2014-06-10 Intermolecular, Inc. Apparatus and method for multiple symmetrical divisional gas distribution
CN103650118B (zh) 2011-05-31 2016-08-24 应用材料公司 电感耦合等离子体(icp)反应器的动态离子自由基筛与离子自由基孔
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
JP5377587B2 (ja) 2011-07-06 2013-12-25 東京エレクトロン株式会社 アンテナ、プラズマ処理装置及びプラズマ処理方法
JP5739261B2 (ja) 2011-07-28 2015-06-24 株式会社堀場エステック ガス供給システム
US8728239B2 (en) 2011-07-29 2014-05-20 Asm America, Inc. Methods and apparatus for a gas panel with constant gas flow
JP5948026B2 (ja) 2011-08-17 2016-07-06 東京エレクトロン株式会社 半導体製造装置及び処理方法
US20130045605A1 (en) 2011-08-18 2013-02-21 Applied Materials, Inc. Dry-etch for silicon-and-nitrogen-containing films
US8849466B2 (en) 2011-10-04 2014-09-30 Mks Instruments, Inc. Method of and apparatus for multiple channel flow ratio controller system
US20130104996A1 (en) 2011-10-26 2013-05-02 Applied Materials, Inc. Method for balancing gas flow supplying multiple cvd reactors
US8933628B2 (en) 2011-10-28 2015-01-13 Applied Materials, Inc. Inductively coupled plasma source with phase control
JP5932599B2 (ja) 2011-10-31 2016-06-08 株式会社日立ハイテクノロジーズ プラズマエッチング方法
US8671733B2 (en) 2011-12-13 2014-03-18 Intermolecular, Inc. Calibration procedure considering gas solubility
US8900469B2 (en) 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US9804609B2 (en) 2012-02-22 2017-10-31 Agilent Technologies, Inc. Mass flow controllers and methods for auto-zeroing flow sensor without shutting off a mass flow controller
JP5881467B2 (ja) 2012-02-29 2016-03-09 株式会社フジキン ガス分流供給装置及びこれを用いたガス分流供給方法
US9301383B2 (en) 2012-03-30 2016-03-29 Tokyo Electron Limited Low electron temperature, edge-density enhanced, surface wave plasma (SWP) processing method and apparatus
KR101974420B1 (ko) 2012-06-08 2019-05-02 세메스 주식회사 기판처리장치 및 방법
KR101974422B1 (ko) 2012-06-27 2019-05-02 세메스 주식회사 기판처리장치 및 방법
US20140027060A1 (en) * 2012-07-27 2014-01-30 Applied Matericals, Inc Gas distribution apparatus for substrate processing systems
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
JP5616416B2 (ja) 2012-11-02 2014-10-29 株式会社フジキン 集積型ガス供給装置
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US20140144471A1 (en) 2012-11-28 2014-05-29 Intermolecular, Inc. Contamination Control, Rinsing, and Purging Methods to Extend the Life of Components within Combinatorial Processing Systems
US9090972B2 (en) 2012-12-31 2015-07-28 Lam Research Corporation Gas supply systems for substrate processing chambers and methods therefor
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
JP6473131B2 (ja) 2013-03-12 2019-02-20 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 方位角方向及び半径方向分布制御を備えたマルチゾーンガス注入アセンブリ
US20140273460A1 (en) 2013-03-13 2014-09-18 Applied Materials, Inc. Passive control for through silicon via tilt in icp chamber
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9425077B2 (en) 2013-03-15 2016-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor apparatus with transportable edge ring for substrate transport
KR20140132542A (ko) 2013-05-08 2014-11-18 주식회사 미코 세라믹 히터 및 이의 제조 방법
US20150010381A1 (en) 2013-07-08 2015-01-08 United Microelectronics Corp. Wafer processing chamber and method for transferring wafer in the same
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
CN107516626B (zh) 2013-07-19 2021-03-26 朗姆研究公司 用于原位晶片边缘和背侧等离子体清洁的***和方法
JP6193679B2 (ja) 2013-08-30 2017-09-06 株式会社フジキン ガス分流供給装置及びガス分流供給方法
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
CN103730318B (zh) 2013-11-15 2016-04-06 中微半导体设备(上海)有限公司 一种晶圆边缘保护环及减少晶圆边缘颗粒的方法
JP5950892B2 (ja) * 2013-11-29 2016-07-13 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
WO2015099892A1 (en) 2013-12-23 2015-07-02 Applied Materials, Inc. Extreme edge and skew control in icp plasma reactor
US20150184287A1 (en) 2013-12-26 2015-07-02 Intermolecular, Inc. Systems and Methods for Parallel Combinatorial Vapor Deposition Processing
CN104752141B (zh) 2013-12-31 2017-02-08 中微半导体设备(上海)有限公司 一种等离子体处理装置及其运行方法
CN104851832B (zh) 2014-02-18 2018-01-19 北京北方华创微电子装备有限公司 一种固定装置、反应腔室及等离子体加工设备
US9580360B2 (en) 2014-04-07 2017-02-28 Lam Research Corporation Monolithic ceramic component of gas delivery system and method of making and use thereof
JP6204869B2 (ja) 2014-04-09 2017-09-27 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US20150340209A1 (en) 2014-05-20 2015-11-26 Micron Technology, Inc. Focus ring replacement method for a plasma reactor, and associated systems and methods
US9318343B2 (en) 2014-06-11 2016-04-19 Tokyo Electron Limited Method to improve etch selectivity during silicon nitride spacer etch
CN105336561B (zh) 2014-07-18 2017-07-21 中微半导体设备(上海)有限公司 等离子体刻蚀装置
KR101598465B1 (ko) 2014-09-30 2016-03-02 세메스 주식회사 기판 처리 장치 및 방법
KR102122113B1 (ko) 2014-10-17 2020-06-29 램 리써치 코포레이션 튜닝가능한 가스 흐름 제어를 위한 가스 스플리터를 포함하는 가스 공급 전달 장치
US20160181116A1 (en) 2014-12-18 2016-06-23 Lam Research Corporation Selective nitride etch
US11605546B2 (en) * 2015-01-16 2023-03-14 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US10658222B2 (en) 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
TW201634738A (zh) 2015-01-22 2016-10-01 應用材料股份有限公司 用於在空間上分離之原子層沉積腔室的經改良注射器
US9911620B2 (en) 2015-02-23 2018-03-06 Lam Research Corporation Method for achieving ultra-high selectivity while etching silicon nitride
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US9837286B2 (en) 2015-09-04 2017-12-05 Lam Research Corporation Systems and methods for selectively etching tungsten in a downstream reactor
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
KR20180099776A (ko) 2016-01-26 2018-09-05 어플라이드 머티어리얼스, 인코포레이티드 웨이퍼 에지 링 리프팅 솔루션
US9640409B1 (en) 2016-02-02 2017-05-02 Lam Research Corporation Self-limited planarization of hardmask
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
CN107093569B (zh) 2016-02-18 2019-07-05 北京北方华创微电子装备有限公司 一种晶片定位装置及反应腔室
US20170278679A1 (en) 2016-03-24 2017-09-28 Lam Research Corporation Method and apparatus for controlling process within wafer uniformity
US11011353B2 (en) 2016-03-29 2021-05-18 Lam Research Corporation Systems and methods for performing edge ring characterization
US10312121B2 (en) 2016-03-29 2019-06-04 Lam Research Corporation Systems and methods for aligning measurement device in substrate processing systems
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US10510516B2 (en) * 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Moving focus ring for plasma etcher
US10504738B2 (en) * 2017-05-31 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Focus ring for plasma etcher

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080223873A1 (en) * 2007-03-12 2008-09-18 Tokyo Electron Limited Dynamic control of process chemistry for improved within-substrate process uniformity
KR20100105695A (ko) * 2007-12-19 2010-09-29 어플라이드 머티어리얼스, 인코포레이티드 유도 결합 플라즈마 챔버에서 에지 성능을 제어하기 위한 장치 및 방법
KR20110125188A (ko) * 2010-05-12 2011-11-18 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 반도체 장치의 제조 방법

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10665490B2 (en) 2018-07-04 2020-05-26 Samsung Electronics Co., Ltd. Apparatus and methods for edge ring replacement, inspection and alignment using image sensors

Also Published As

Publication number Publication date
JP7454600B2 (ja) 2024-03-22
US10410832B2 (en) 2019-09-10
US20180053629A1 (en) 2018-02-22
JP2022095896A (ja) 2022-06-28
US20190362940A1 (en) 2019-11-28
JP2018032854A (ja) 2018-03-01
KR20220044474A (ko) 2022-04-08
US11424103B2 (en) 2022-08-23
CN107768275B (zh) 2023-07-25
KR102488729B1 (ko) 2023-01-13
KR102383779B1 (ko) 2022-04-05
CN107768275A (zh) 2018-03-06
TW201817899A (zh) 2018-05-16
JP7060344B2 (ja) 2022-04-26

Similar Documents

Publication Publication Date Title
KR102488729B1 (ko) 이동 가능한 에지 링 및 가스 주입 조정을 사용하여 웨이퍼 상 cd 균일성의 제어
KR102556016B1 (ko) 플라즈마 프로세싱을 위한 가변하는 두께를 갖는 상부 전극
TWI796249B (zh) 可運動的邊緣環設計
JP2020512701A (ja) ボトムおよびミドルエッジリング
KR20200142587A (ko) 프로세싱 챔버의 세정 프로세스 동안 에지 링 포커싱된 증착
US20230369025A1 (en) High precision edge ring centering for substrate processing systems
WO2022133434A1 (en) Optimizing edge radical flux in a downstream plasma chamber

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant