TWI569322B - 高選擇性蝕刻系統與方法 - Google Patents

高選擇性蝕刻系統與方法 Download PDF

Info

Publication number
TWI569322B
TWI569322B TW099141970A TW99141970A TWI569322B TW I569322 B TWI569322 B TW I569322B TW 099141970 A TW099141970 A TW 099141970A TW 99141970 A TW99141970 A TW 99141970A TW I569322 B TWI569322 B TW I569322B
Authority
TW
Taiwan
Prior art keywords
etching
chamber
gas
etch
oxygen
Prior art date
Application number
TW099141970A
Other languages
English (en)
Other versions
TW201131643A (en
Inventor
勒波茨凱爾S
強森安德魯大衛
小卡瓦基尤金
凱卡蘇哈斯那拉嚴
紐曼約翰
斯普林格爾大衛L
Original Assignee
史畢茲科技公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 史畢茲科技公司 filed Critical 史畢茲科技公司
Publication of TW201131643A publication Critical patent/TW201131643A/zh
Application granted granted Critical
Publication of TWI569322B publication Critical patent/TWI569322B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)

Description

高選擇性蝕刻系統與方法
半導體材料與(或)基材之氣相蝕刻是使用氣體(諸如二氟化氙)來達成。詳細地說,在二氟化氙蝕刻中,二氟化氙氣體會和固體材料(諸如矽、鍺、矽鍺、與鉬)反應,以致材料被轉變成氣相且被移除。這些材料的移除稱為蝕刻。
蝕刻製程之一重要測量即是選擇性,其為待蝕刻之材料與欲保留之材料(諸如二氧化矽與氮化矽)的蝕刻比例。選擇性的增加最終可導致改善的良率,其對於高產量和需要高選擇性之專用元件的產生是重要的。
藉由添加非蝕刻氣體對二氟化氙蝕刻製程之改善係已經由西元1997年5月於UC Berkely之Kirt Reed Williams的博士論文“Micromachined Hot-Filament Vacuum Devices”的第396頁、美國專利案號US6,409,876與美國專利案號US6,290,864來描述。美國專利公開案號US2009/0071933係討論了氧到二氟化氙的添加以改變蝕刻製程(主要是為了捕獲MoOF4),但沒有教示蝕刻選擇性的優點。
一種二氟化氙蝕刻之一般習知技藝是透過脈衝式蝕刻方法。在此模式中,二氟化氙係在一中間腔室(稱為擴增腔室)裡從固體昇華到氣體,其可接著與其他氣體混合。之後,擴增腔室中的氣體可流動到蝕刻腔室內以蝕刻樣品,這稱為蝕刻步驟。然後,蝕刻腔室係經由真空泵來排空,並且此循環(包括蝕刻步驟)稱為一蝕刻循環。一或多個蝕刻循環係依需要被重複,以達到期望的蝕刻量。
替代地,根據習知技藝之二氟化氙蝕刻可使用連續方法來達到,其中單一儲槽連接到流量控制器以提供恆定流量之二氟化氙氣體到設置有待蝕刻之樣品的腔室。此外,描述了一種在流量控制器的出口側與腔室的入口之間將額外之惰性氣體與蝕刻氣體混合的裝置。
半導體材料與(或)基材之氣相蝕刻係使用氣體(諸如二氟化氙)來達成。詳細地說,在二氟化氙蝕刻中,二氟化氙氣體會和固體材料(諸如不限於矽、鍺、鎢、鈦、鋯、鉿、釩、鉭、鈮、硼、磷、砷、矽鍺、鉬、及其混合物)反應,以致材料被轉變成氣相且被移除。這些材料的移除稱為蝕刻。
蝕刻製程之一重要測量即是選擇性,其為待蝕刻之材料與欲保留之材料(諸如不限於二氧化矽、氮化矽、氮碳化矽、氮氧化矽、鎳、鋁、光阻劑、磷矽玻璃、硼磷矽玻璃、聚醯亞胺、金、銅、鉑、鉻、氧化鋁、碳化矽、鈦、鉭、氮化鉭、氮化鈦、鎢、鈦鎢、及其混合物)的蝕刻比例。選擇性的增加最終可導致改善的良率,其對於高產量和需要高選擇性之專用元件的產生是重要的。
應瞭解,基於應用,在一應用中待蝕刻之材料可以是在另一應用中欲保留之材料。這些材料的非限制實例包括但不限於鈦、鉭、與鎢。
在此顯示了對於至少三種蝕刻情境中添加氧的選擇性優點:1)藉由作為一脈衝式蝕刻循環的部分,其中氧與二氟化氙係在各個蝕刻循環前在擴增腔室中被混合;2)藉由在蝕刻循環中使用純二氟化氙的脈衝,但在各個循環脈衝之間也以氧來沖洗;及3)藉由在連續製程中添加氧流到二氟化氙蝕刻氣體流。也可設想出使用氧作為蝕刻製程之部分的其他蝕刻情境。吾等已經證實了蝕刻矽對於氮化矽和二氧化矽的選擇性改善,但可預期到其他材料(包括但不限於碳化矽與氮碳化矽)的相似選擇性改善。吾等也可預期到諸如鈦、鈦鎢、氮化鈦、與鎢之材料的選擇性改善。
吾等也設想出氣體的混合物,其包括氧或可用來取代氧。此外,其他氧化氣體(諸如但不限於:一氧化二氮,其需要額外的熱或其他能量才是有效的;或臭氧,其可使用臭氧產生器來產生;氧原子,其可使用氧電漿來產生;二氧化氮,其需要額外的熱或其他能量才是有效的;及二氧化碳,其其需要額外的熱或其他能量才是有效的)可用來取代氧或添加到氧。
此外,除了二氟化氙以外,也可使用其他氣相蝕刻氣體(諸如但不限於元素氟、三氟化溴、二氟化氪、三氟化氯、及這些氣體之組合),或將其用來取代二氟化氙。可預期到依在此所描述方式之含氧之氣體的使用能改善任何在此描述之蝕刻氣體的選擇性。又,吾等咸信添加氧的概念也能改善二氟化氙或原位產生之其他氣相蝕刻氣體(例如使用NF3/氙電漿、F2/氙電漿、CF4/氙電漿、或SF6/氙電漿)的選擇性。
更詳細地說,本發明是一種氣相蝕刻方法,其包含以下步驟:(a)放置一基材到一蝕刻腔室內,該基材包含一待蝕刻之材料與一抗蝕刻材料;(b)在步驟(a)後,調整該蝕刻腔室中之壓力到一期望之壓力;及(c)在步驟(b)後,將該蝕刻腔室中之該些材料暴露於一蝕刻氣體與於一含氧之氣體之量,其中該含氧之氣體係經選擇以獲得由該暴露造成之該待蝕刻之材料中之變化對於由該暴露造成之該抗蝕刻材料中之變化的一期望選擇性比例。
由該暴露造成之該待蝕刻之材料中之變化係為(1)由該暴露造成之該待蝕刻之材料的質量變化或(2)由該暴露造成之該待蝕刻之材料的尺寸變化。由該暴露造成之該抗蝕刻材料中之變化係為由該暴露造成之該抗蝕刻材料的尺寸變化。
該選擇性比例不小於60-1。更詳細地說,該選擇性比例介於60-1與125000-1之間。
步驟(c)包括將該些材料暴露於該蝕刻氣體以該含氧之氣體來稀釋的連續流,或暴露於該蝕刻氣體以該含氧之氣體來稀釋的多個脈衝。
該蝕刻氣體以該含氧之氣體來稀釋係發生於該暴露之前或和該暴露同時發生。
步驟(c)包括依序地將該些材料暴露於(1)該蝕刻氣體與(2)該含氧之氣體。或者,步驟(c)包括依序地將該些材料暴露於(1)不存在有該含氧之氣體下的該蝕刻氣體與(2)不存在有該蝕刻氣體下之該含氧之氣體。步驟(c)也包括依序地將該基材暴露於該蝕刻氣體與該含氧之氣體長達多個循環。
該蝕刻氣體可包括下述氣體之一或多者:氟化物、二氟化氙氣體、三氟化溴氣體、二氟化氪氣體、及三氟化氯氣體。該含氧之氣體可以是下述氣體之一或多者:O2、臭氧、一氧化二氮、一氧化氮、二氧化碳、及一氧化碳。該待蝕刻之材料可包含下述之一或多者:矽、鍺、鎢、鈦、鋯、鉿、釩、鉭、鈮、硼、磷、砷、與鉬。該抗蝕刻材料可包含下述之一或多者:二氧化矽、氮化矽、氮碳化矽、氮氧化矽、鎳、鋁、光阻劑、磷矽玻璃、硼磷矽玻璃、聚醯亞胺、金、銅、鉑、鉻、氧化鋁、碳化矽、鈦、鉭、氮化鉭、氮化鈦、鎢、與鈦鎢。
本發明也是一種氣相蝕刻系統,其包含:一蝕刻腔室;一真空泵;複數個閥;及一控制器,其係可操作用以控制該些閥之開啟與關閉而:在一抗蝕刻材料與一待蝕刻之材料定位在該蝕刻腔室中時,使得該真空泵能將該蝕刻腔室中之壓力減少到低於大氣壓力;將一蝕刻氣體供應到減少壓力之該蝕刻腔室;及以和該蝕刻氣體之供應同時的方式或以和該蝕刻氣體之供應分離的方式將一含氧之氣體之量供應到減少壓力之該蝕刻腔室,藉此產生該待蝕刻之材料之蝕刻對於該抗蝕刻材料之蝕刻的一期望比例。
該系統可更包含至少一質流控制器,其用以控制該蝕刻氣體、該含氧之氣體、或兩者供應到減少壓力之該蝕刻腔室的速率。
該系統可更包含一擴增腔室,其中該控制器係可操作用以控制該複數個閥而將該擴增腔室填充以該蝕刻氣體並且用以使蝕刻氣體從該擴增腔室被供應到減少壓力之該蝕刻腔室。
在使蝕刻氣體從該擴增腔室被供應到減少壓力之該蝕刻腔室前,該控制器係控制該複數個閥而將該擴增腔室填充以由該含氧之氣體來稀釋的該蝕刻氣體。
此外或替代地,該控制器係可操作用以使得,以和該蝕刻氣體從該擴增腔室被供應到減少壓力之該蝕刻腔室同時的方式,將該含氧之氣體供應到減少壓力之該蝕刻腔室。
此外或替代地,該控制器係可操作用以:使得該蝕刻氣體之多個脈衝被供應到減少壓力之該蝕刻腔室;及使得該含氧之氣體在該蝕刻氣體之至少一對暫時相鄰脈衝之間被供應到減少壓力之該蝕刻腔室。
該蝕刻氣體之各個脈衝可在不存在有供應到減少壓力之該蝕刻腔室的該含氧之氣體下被供應到減少壓力之該蝕刻腔室。該含氧之氣體之各個脈衝可在不存在有供應到減少壓力之該蝕刻腔室的該蝕刻氣體下被供應到減少壓力之該蝕刻腔室。
最後,本發明是一種氣相蝕刻方法,其包含以下步驟:(a)提供一基材,該基材包含一待蝕刻之材料與至少一抗蝕刻材料;(b)在一低於大氣壓力之壓力的存在下,將該基材暴露於一蝕刻氣體;及(c)在一低於大氣壓力之壓力的存在下,將該基材暴露於一含氧之氣體的量,其係產生該待蝕刻之材料之蝕刻對於該抗蝕刻材料之蝕刻的一期望比例,其中以和步驟(b)中將該基材暴露於該蝕刻氣體同時的方式或以和步驟(b)中將該基材暴露於該蝕刻氣體分離的方式,將該基材暴露於該含氧之氣體。
該方法可更包含重複步驟(b)與(c),直到該抗蝕刻材料已經被蝕刻到至少一預定程度。
以和將該基材暴露於該蝕刻氣體同時的方式將該基材暴露於該含氧之氣體係包括:在該暴露之前,在一腔室中以該含氧之氣體來稀釋該蝕刻氣體;或在正要進行該暴露之前,結合該含氧之氣體與該蝕刻氣體的分離流。
此外或替代地,以和將該基材暴露於該蝕刻氣體分離的方式將該基材暴露於該含氧之氣體係包括:將該基材分別多次暴露於該蝕刻氣體;及至少在兩次將該基材暴露於該蝕刻氣體的期間,將該基材暴露於該含氧之氣體。
該待蝕刻之材料係包含下述之一或多者:矽、鍺、鎢、鈦、鋯、鉿、釩、鉭、鈮、硼、磷、砷、與鉬。該抗蝕刻材料係包含下述之一或多者:二氧化矽、氮化矽、氮碳化矽、氮氧化矽、鎳、鋁、光阻劑、磷矽玻璃、硼磷矽玻璃、聚醯亞胺、金、銅、鉑、鉻、氧化鋁、碳化矽、鈦、鉭、氮化鉭、氮化鈦、鎢、與鈦鎢。
參照第1圖,一氣相蝕刻系統100包括一氣相蝕刻氣體源101,其通常是氣體(諸如二氟化氙)之圓筒且其連接到閥102。閥102連接到一擴增腔室103,擴增腔室103作為一用來在每個循環中調節蝕刻氣體之量的中間腔室。擴增腔室103可選擇性地經由閥110被真空泵109來排空(evacuate)。擴增腔室103包括一壓力感應器PS1,其通常是一電容式隔膜計(capacitance diaphragm gauge)。擴增腔室103經由閥111連接到一混合氣體源112,閥111係容許一或多個混合氣體(諸如氧與(或)氮)與二氟化氙在擴增腔室103中混合。一針狀閥(未示出)也能與閥111和額外閥(未示出)串聯,以提供混合氣體流量之額外控制。擴增腔室103經由一流動路徑連接到蝕刻腔室107,其中該流動路徑係包括一閥104或一質流控制器(MFC)121以及閥120和122。
蝕刻腔室107可經由閥105被洩逸(vent)或被填充以惰性淨化氣體(inert purging gas),以將蝕刻腔室107中的壓力上升到大氣壓而為了開啟。使用壓力感應器PS2來監控蝕刻腔室107中的壓力,壓力感應器PS2較佳為一電容式隔膜計。一針狀閥(未示出)或其他流量限制器也能與閥105串聯,以提供淨化氣體之額外控制。期望地使用一自動壓力控制器140來控制蝕刻腔室107中的壓力,其中自動壓力控制器140會調整蝕刻腔室107與真空泵109之間的流體傳導。期望地,真空泵109是一乾式真空泵。此外,蝕刻腔室107與真空泵109之間的連接可經由真空閥108來完全地隔離。
一電腦或其他類似之控制器C(諸如可程式化之邏輯控制器)(其在儲存於所述電腦之記憶體中之非過渡電腦程式的控制下運行)係期望地控制在此描述之該些閥的操作,以實施本發明。手動操作是可行的,但不是典型的。
可預知第1圖中揭示之系統100的其他變化,諸如美國專利案號US6,887,339中所描述者(其在此以引置方式併入本文以作為參考),包括但不限於可變體積擴增腔室、一或多個選擇性擴增腔室103’、及選擇性閥110、113和113’、與多個氣體源。
此外,可使用其他氣相蝕刻氣體(諸如三氟化溴、二氟化氪、三氟化氯、與這些氣體的組合)來添加到或取代二氟化氙。
一典型的蝕刻順序是將樣品S裝載到蝕刻腔室107內。之後,蝕刻腔室107經由真空泵109與自動壓力控制器140藉由開啟與接著關閉真空閥108來排空。典型地,蝕刻腔室107被抽低壓力到約0.3 Torr,但其不被解讀成會對本發明構成限制。可進一步藉由關閉真空閥108、開啟閥105、及從一洩逸/淨化氣體源131引進洩逸/淨化氣體(諸如氮、氬、或其他惰性或惰性氣體混合氣體)到蝕刻腔室107內到約400 Torr(儘管1 Torr至600 Torr之任何壓力都是有用的),以將蝕刻腔室107淨化,隨後閥105被關閉。接著,藉由真空泵109經由真空壓力控制器140而開啟且接著關閉真空閥108,在一旦達到了適當排空壓力後,以將蝕刻腔室107中的洩逸/淨化氣體排空。
依序地將蝕刻腔室107抽低壓力到壓力1 Torr(例如0.3 Torr)且接著以洩逸/淨化氣體將蝕刻腔室107淨化係通常被重複三或更多次,以將蝕刻腔室107中之濕氣和非期望大氣氣體減到最少。這些泵與淨化的目的是為了從蝕刻腔室107移除會和二氟化氙反應而形成氫氟酸(其會攻擊許多非矽材料)的濕氣以及其他蝕刻氣體。
在適當的時間點,蝕刻腔室107會被抽低壓力到適當之低壓(例如0.3 Torr),並且蝕刻是在蝕刻腔室107中被執行在樣品S上。在完成了蝕刻後,藉由真空泵109而開啟閥108將蝕刻腔室107中的蝕刻氣體淨化。一旦淨化了蝕刻腔室107中的蝕刻氣體,則閥108被關閉。
可進一步藉由開啟閥105與引進洩逸/淨化氣體(通常是氮)到蝕刻腔室107內到約400 Torr(儘管1 Torr至600 Torr之任何壓力都是有用的),以將蝕刻腔室107中的任何殘餘蝕刻氣體淨化。然後,真空泵109係藉由開啟與接著關閉閥108從蝕刻腔室107移除洩逸/淨化氣體,並且將蝕刻腔室107中的壓力降低到低壓(通常是小於0.3 Torr)。
依序地以洩逸/淨化氣體將蝕刻腔室107淨化與接著從蝕刻腔室107移除洩逸/淨化氣體與將蝕刻腔室107抽低壓力到低壓係通常被重複三或更多次,以將蝕刻腔室107中的殘餘蝕刻相關氣體減到最少。在一適當的時間點,蝕刻腔室107會被洩逸到大氣,以為了移除經蝕刻的樣品S。蝕刻腔室107可包括一負載閉鎖腔室,以致樣品S可在真空下被傳送到蝕刻腔室107內,蝕刻腔室107不需要為了每次更換樣品S而被洩逸到大氣。
脈衝式蝕刻順序:
下文將描述一脈衝化基底蝕刻順序。擴增腔室103係經由真空泵109藉由開啟閥110被排空到期望之低壓(通常是約0.3 Torr)。一旦擴增腔室103中的壓力達到了期望的低壓,則閥110被關閉,並且擴增腔室103係藉由開啟與接著關閉閥102從蝕刻氣體源101被填充到期望的蝕刻氣體壓力。可藉由開啟與接著關閉閥111將來自混合氣體源112之混合氣體選擇性地併同蝕刻氣體包括在擴增腔室103中。不構成限制地,來自混合氣體源112之混合氣體可以是氧或氧氣混合物。
一旦擴增腔室103已經被填充以將用來蝕刻樣品S的氣體(蝕刻氣體),擴增腔室103藉由開啟閥104連接到蝕刻腔室107(其包括裝載在其中的樣品S),隨後蝕刻氣體會流動到蝕刻腔室107內且蝕刻樣品S長達一時間(稱為蝕刻時間)。在此蝕刻時間後,蝕刻腔室107與擴增腔室103被真空泵109藉由開啟閥108同時將閥104維持成開啟來排空。在擴增腔室103已經被抽低壓力到足夠的低壓(諸如0.8 Torr)後,則閥104被關閉且閥110被開啟,隨後擴增腔室103會進一步被真空泵109抽低壓力到期望的更低壓力(通常是0.3 Torr或更小)。一旦擴增腔室103已經進一步被抽低壓力到期望的更低壓力,則閥110被關閉。蝕刻腔室107也可藉由開啟閥108被抽低壓力到期望的低壓(通常是小於0.3 Torr)。一旦蝕刻腔室107已經被抽低壓力到期望的低壓,則閥108被關閉。
也可藉由經由閥105從洩逸/淨化氣體源131引進洩逸/淨化氣體或藉由經由閥133、質流控制器(MFC)132與閥106從氧或氧混合物源130引進氧或氧混合物而在多個蝕刻循環之間進行一氣體沖洗。閥133與MFC 132用在此目的之需要是選擇性的,這是因為蝕刻腔室107中的壓力可藉由壓力感應器PS2來監控,並且當蝕刻腔室107中的目標壓力達到時可使用閥106將來自源130的氧或養混合物流停止。
來自洩逸/淨化氣體源131之洩逸/淨化氣體或來自源130之氧或氧混合物係期望地保持在蝕刻腔室107中長達一時間,通常是一至十秒的等級。在此時間(稱為沖洗時間)後,蝕刻腔室108係藉由開啟閥108被排空。一旦蝕刻腔室108已經被排空到期望的低壓(通常是小於0.3 Torr),則閥108被關閉。
除了上述之在多個蝕刻循環之間的氣體沖洗,替代地,可在多個蝕刻循環之間引進一恆定流量與受控壓力的氣體。詳細地說,可使用MFC 132與閥133和106來從源130引進受控流量的氧或氧混合物到蝕刻腔室107內,其可使用壓力控制器140來控制壓力。選擇性地,利用來自洩逸/淨化氣體源131之洩逸/淨化氣體或來自源130之氧或氧混合物的蝕刻腔室107沖洗可在蝕刻順序開始前或在蝕刻順序終止後完成。
將擴增腔室103填充以蝕刻氣體、從擴增腔室103引進蝕刻氣體到經抽低壓力的蝕刻腔室107內、將蝕刻氣體從蝕刻腔室107與擴增腔室103排空、與以洩逸/淨化氣體或氧或氧混合物的蝕刻腔室107清洗的上述製程可持續,直到樣品S的蝕刻被認定成完成。
連續蝕刻順序:
此外,或除了上述之脈衝式蝕刻順序以外,樣品S可藉由一連續蝕刻順序來蝕刻。在一連續蝕刻順序中,擴增腔室103係藉由開啟閥110被真空泵109排空到期望的低壓(通常是約0.3 Torr)。一旦擴增腔室103已經被排空到期望的低壓,則閥110被關閉,並且藉由開啟與接著關閉閥102將擴增腔室103填充以來自蝕刻氣體源101之蝕刻氣體到期望的壓力。
在閥104被關閉且閥108被開啟時,隨後真空泵109經由壓力控制器140耦接到蝕刻腔室107,則閥120與122被開啟,隨後蝕刻氣體從擴增腔室103經由MFC 121流動到蝕刻腔室107內。選擇性地,來自源130之氧或氧混合物藉由開啟閥106與133併同蝕刻氣體被添加到蝕刻腔室107,隨後選擇性的氧或氧混合物流經MFC 132到蝕刻腔室107內。
蝕刻氣體與選擇性氧或氧混合物在蝕刻時間係流動到蝕刻腔室107內。在此蝕刻時間的期間,蝕刻腔室107內的壓力是藉由壓力控制器140來控制。在此蝕刻時間後,閥122與106被關閉,並且蝕刻腔室107被真空泵109排空到期望的低壓(通常小於0.3 Torr),隨後閥108被關閉。藉由開啟閥110與接著在達到期望的低壓後關閉閥110與120,擴增腔室103與MFC 121被抽低壓力到期望的低壓(通常是0.3 Torr)。
也可以實施一脈衝式連續蝕刻製程,其中藉由添加另一擴增腔室103’與閥110’、113、102’與114(皆在第1圖中顯示成虛線)來提供一連續的蝕刻氣體流到蝕刻腔室107。在此脈衝式連續蝕刻順序中,閥110、110’、113、102、102’、114、120、122與108係選擇性地被控制,以將各擴增腔室103與103’個別地填充以來自蝕刻氣體源101之蝕刻氣體(在該擴增腔室沒有用來供應蝕刻氣體到蝕刻腔室107時的時間),並且排放各擴增腔室103與103’中所填充的蝕刻氣體(一時間一個)。舉例而言,從擴增腔室103被填充以蝕刻氣體且擴增腔室103’沒有被填充以蝕刻氣體的狀態開始,閥110、110’、102與114係被關閉且閥113、120、121與108係被開啟以引進擴增腔室103中所填充的蝕刻氣體到蝕刻腔室109內。儘管蝕刻腔室107正被饋送以來自擴增腔室103之蝕刻氣體,閥102’會被開啟以將選擇性擴增腔室103’填充以來自蝕刻氣體源112的蝕刻氣體(期望地,在擴增腔室103中所填充的蝕刻氣體耗盡前),隨後閥102’被關閉。在擴增腔室103中所填充的蝕刻氣體耗盡到無法再支持連續蝕刻氣體流到蝕刻腔室107內之程度前的一適當時間點,閥114與113係以能維持實質上連續的蝕刻氣體流到蝕刻腔室107內的方式被控制成將選擇性擴增腔室103’耦接到蝕刻腔室107且將擴增腔室103與蝕刻腔室107隔離。然後,擴增腔室103藉由開啟與接著關閉閥102被填充以來自蝕刻氣體源112之蝕刻氣體(期望地,在選擇性擴增腔室103’中所填充的蝕刻氣體耗盡前)。在選擇性擴增腔室103’中所填充的蝕刻氣體耗盡到無法再支持連續蝕刻氣體流到蝕刻腔室107內之程度前的一適當時間點,閥114與113係以能維持實質上連續的蝕刻氣體流到蝕刻腔室107內的方式被控制成將擴增腔室103耦接到蝕刻腔室107且將選擇性擴增腔室103’與蝕刻腔室107隔離。依序地從一擴增腔室103、103’供應蝕刻氣體到蝕刻腔室109並同時將另一擴增腔室103、103’填充以蝕刻氣體的前述製程係持續,直到樣品S已經被蝕刻到期望的程度。
若希望脈衝式連續蝕刻也能引進混合氣體(諸如氧)到各擴增腔室103、103’中的蝕刻氣體,可添加一選擇性閥111’到系統100。接著,在從擴增腔室引進蝕刻氣體與混合氣體的組合到蝕刻腔室內前,閥111與111’可被控制以選擇性地將混合氣體結合到各擴增腔室103、103’內來結合待從擴增腔室饋送到蝕刻腔室107之所填充的蝕刻氣體。應注意,閥110與110’通常是用來在填充(再填充)前將擴增腔室103與103’排空。
連續蝕刻順序的額外變化可包括在蝕刻開始前與(或)在蝕刻停止後從源130引進氧或氧混合物。此外,在蝕刻順序期間的各個間隔期間,來自源130的氧或氧混合物可暫時地流動且蝕刻氣體不流動。替代地,在蝕刻順序期間的各個間隔期間,蝕刻氣體可暫時地流動且來自源130的氧或氧混合物不流動。
實例:
選擇性測試配置之描述
使用三種配置來量化選擇性。配置A顯示在第2-4圖。配置B顯示在第5-8圖。配置C顯示在第9-13圖。
配置A:
對於配置A(第2-4圖),第2圖顯示一測試組件307之平面圖,並且第3圖顯示沿著第2圖線III-III之測試組件307的剖視圖。一矽晶圓306(例如100 mm直徑、525 μm厚之矽晶圓)被塗覆以1.5 μm厚之氮化矽層303(在835℃使用140 mTorr之製程壓力、100 sccm之二氯矽烷和25 sccm之NH3流以LPCVD來沉積)。圖上顯示氮化矽303覆蓋住整個晶圓306。使用高度約3 mm之鋁支撐件302將晶圓306懸置在鋁基座301上方。矽晶圓306下方的是矽塊305。矽塊305大約是方形的且各側為約10 mm,並且具有約525 μm的厚度。可使用此方法來測試除了氮化矽303以外之其他測試材料。
期望地,感興趣之材料(在此例子中是氮化矽303)應該塗覆整個晶圓306,以致晶圓306上僅暴露出感興趣之材料。替代地,若感興趣之材料僅能沉積在晶圓之一側上,則晶圓306之背側可被塗覆以一具有低蝕刻速率之材料(諸如二氧化矽、鋁或各種聚合物)。替代地,晶圓306能夠以一具有低蝕刻速率之材料(諸如石英或玻璃)來取代。
參照第4圖且持續參照第2和3圖,測試組件307係設置在蝕刻腔室107(參照第1圖)內以為了進行蝕刻。蝕刻氣體(併同或不併同混合氣體)被引進到蝕刻腔室107內,以進行蝕刻。蝕刻氣體經由真空泵109被泵送出蝕刻腔室107。
矽塊305係小心地在蝕刻前和後被秤重,從而能使用蝕刻前重量和蝕刻後重量來決定經蝕刻之矽的量。這表示成Δ質量矽且以mg來測量。直接相對於與面對矽塊305的區域中之氮化矽303的厚度係小心地在蝕刻前和後被測量,並且表示成Δ氮化矽厚度且以mg來測量。使用配置A之選擇性比例係寫成:
選擇性比例=Δ質量矽/Δ氮化矽厚度
應注意,表示成Δ氮化矽厚度之測量值可由其他材料之厚度變化(在材料不是氮化矽303的情況中)來取代。此外,Δ質量矽可由其他材料之質量變化(在矽塊305由另一材料來取代時)來取代。
配置B:
對於配置B(第5-8圖),1 μm厚之二氧化矽層402係熱生長在150 mm直徑、600 μm厚之矽晶圓401的整個表面上,如第5圖所示。位在晶圓401之一側上的二氧化矽層402係被圖案化,使得一陣列之開口403暴露出下方的矽基材。開口403為500 μm之方形,並且被安排成節距為2500 μm之格網(參照第7圖)。為了清晰起見,第5和6圖已經被簡化而僅顯示兩個開口。
如第6圖所示,晶圓被切割成約25 mm方形的樣品或片408,並且各個樣品408的背部與邊緣被塗覆以八氟環丁烷(RC318)的薄膜404(約1 μm),以避免矽在切割邊緣上的暴露。樣品408放置在一鋁載體405上(如第7圖的平面圖所示),並且放置在真空腔室107中以為了進行蝕刻。蝕刻氣體(併同或不併同混合氣體)係被引進到蝕刻腔室107內,以進行蝕刻。蝕刻氣體經由真空泵109被泵送出蝕刻腔室107。
蝕刻樣品408造成了矽中的半球形凹部406,如第8(A)圖的剖視圖所示,其延伸超過經圖案化之二氧化矽之底緣一距離或尺寸(稱為「底切」407)。二氧化矽402之厚度係在蝕刻前與後被測量,從而能使用蝕刻前厚度與蝕刻後厚度來決定經蝕刻之二氧化矽的量。期望地,在八個點(在第8(B)圖中標示成X1至X8)進行二氧化矽402之厚度的測量,並且中間值作為蝕刻前與後所測量的二氧化矽402的厚度。這表示成Δ二氧化矽厚度且以埃來測量。底切407也以埃來測量。使用之選擇性比例係寫成:
選擇性比例=底切/Δ二氧化矽厚度
應注意,表示成Δ二氧化矽厚度之測量值可由其他材料之厚度變化(在材料不是二氧化矽402的情況中)來取代。此外,可使用除了底切以外(例如蝕刻深度)之矽蝕刻的測量值。矽晶圓也可由其他材料(諸如不限於Si-Ge或Ge而成為兩個實例)來取代。
配置C:
配置C(第9-13圖)係意圖測量一埋置之低壓化學氣相沉積(LPCVD)之氮化矽層與其頂部上之矽的蝕刻的相對選擇性。如第9圖所示,一矽晶圓501(150 mm直徑與600 μm厚度)係被包圍以LPCVD氮化矽502(其具有2.03之折射率與1000埃之厚度)。8500埃厚之非晶多晶矽層503沉積在晶圓501之頂表面上之氮化矽502之頂部上。接著,晶圓501之頂部被塗覆以光阻劑502,光阻劑502被圖案化成具有不同寬度與密度之狹縫與孔洞505。為了清晰起見,僅顯示兩個開口505。
取決於罩幕圖案之密度,每10 mm方形十字線(reticule)存在有24、42或108個狹縫。該些狹縫係構成群組,其含有2、5、10、20、50與100 μm的寬度。三個罩幕圖案顯示在第10(A)-10(C)圖。
如第11圖所示,晶圓501被切割成四個樣品(方形)501’,並且各個方形501’的背部和側被塗覆以八氟環丁烷(RC318)的薄膜506(約1 μm),以避免矽在切割邊緣上的暴露。樣品501’放置在一鋁載體507上(如第12圖的俯視圖與第13圖的平面圖所示),並且放置在真空腔室107中以為了進行蝕刻。蝕刻氣體(併同或不併同混合氣體)係被引進到蝕刻腔室107內,以進行蝕刻。蝕刻氣體經由真空泵109被泵送出蝕刻腔室107。
蝕刻晶圓樣品501’造成了頂部氮化矽層502與光阻劑層504之間的非晶多晶矽503被移除,如第12圖所示。光阻劑504下方所移除之非晶多晶矽503的距離或尺寸508稱為「底切」。樣品係被蝕刻直到清出了開放區域,並且接著進行多個循環直到存在有15至20個底切。
在蝕刻後,光阻劑504以膠帶來移除,暴露出已經蝕刻去除了非晶多晶矽矽503處之氮化矽502。使用點尺寸為5 μm之Filmetrics F40反射計來測量底切508之中心處的氮化矽502之厚度,並且該厚度從已知的起初厚度扣除來獲得厚度變化,其稱為Δ氮化矽厚度(其以埃來測量)。底切也以埃來測量。使用之選擇性比例係寫成:
選擇性比例=底切/Δ氮化矽厚度
應注意,表示成Δ氮化矽厚度之測量值可由其他材料之厚度變化(在材料不是氮化矽502的情況中)來取代。此外,可使用除了底切以外(例如蝕刻深度)之矽蝕刻的測量值。矽晶圓501也可由其他材料(諸如不限於Si-Ge或Ge而成為兩個實例)來取代。
實例:氮化矽選擇性、配置A、脈衝之間的沖洗
以配置A使用一介於純二氟化氙的脈衝之間的沖洗對於氮化矽選擇性的效果係顯示在下方表1中。在此例子中,擴增腔室103之體積(約0.6 L)係被填充以3 Torr之二氟化氙,並且蝕刻腔室107之體積(發生蝕刻之處)為約2 L。蝕刻時間為15秒,並且蝕刻進行20個循環。在各個蝕刻循環後,擴增腔室103經由蝕刻腔室被抽低壓力直到擴增腔室103達到0.8 Torr。測試組件307之溫度為約13℃。在各個蝕刻循環後,當蝕刻腔室107被沖洗以來自源130或131之沖洗氣體時,蝕刻腔室107被填充到約30 Torr。無論是否使用了沖洗氣體,各個循環具有10秒的沖洗時間,以致該些蝕刻循環之間具有10秒的延遲。如表1所示,氧沖洗的使用比不使用任何沖洗氣體時改善了選擇性比例約3倍,並且比使用He或N2時更好至少4倍。應注意,表1中多個列示之沖洗氣體係表示此蝕刻條件的重複。
在此,對於下表在氣體的欄中包括「無」,則沒有使用沖洗氣體,並且蝕刻腔室107僅被抽低壓力到約0.3 Torr的壓力以準備進行各個蝕刻循環。
實例:氮化矽選擇性、配置A、稀釋之二氟化氙脈衝
以配置A使用二氟化氙混合了來自源112之混合氣體的脈衝對於氮化矽選擇性的效果係顯示在表2中。在此例子中,擴增腔室103之體積(約0.6 L)係被填充以3 Torr之二氟化氙與額外的10 Torr來自源112之混合氣體,並且蝕刻腔室107之體積(發生蝕刻之處)為約2 L。蝕刻時間為15秒,並且蝕刻進行20個循環。在各個蝕刻循環後,擴增腔室103經由蝕刻腔室被抽低壓力直到擴增腔室103達到1.2 Torr。測試配置之溫度為約13℃。在各個蝕刻循環後,該些蝕刻循環之間具有10秒的延遲。如表2所示,氧作為混合氣體的使用係顯示了可比使用N2時改善選擇性比例約30倍,並且可比沒有使用混合氣體時改善選擇性比例約26倍。
實例:氮化矽選擇性、配置A、稀釋之連續流
以配置A使用二氟化氙混合來自源130或131之其他氣體的連續流對於氮化矽選擇性的效果顯示在表3中。在此例子中,擴增腔室103之體積(約0.6 L)係被填充二氟化氙,並且蝕刻腔室107之體積為約2 L。蝕刻時間為8分鐘,並且6 sccm之二氟化氙與來自源130或131之稀釋氣體的連續流係被供應到蝕刻腔室107。蝕刻腔室107內的壓力被控制到0.7 Torr。測試組件307之溫度為約13℃。如表3所示,氧作為混合氣體的使用係顯示了可比沒有使用混合氣體時改善選擇性比例至少12倍,並且可比使用氬或氮作為混合氣體時選擇性比例更好至少3倍。應注意,多個列示之蝕刻條件係表示此蝕刻條件的重複。
實例:二氧化矽選擇性、配置A、脈衝之間的沖洗
以配置A使用一介於純二氟化氙的脈衝之間的沖洗對於二氧化矽選擇性的效果係顯示在表4中。對於此實驗,用在先前實例之塗覆有氮化矽之矽晶圓係被具有熱生長二氧化矽塗層之晶圓來取代。在此實例中,擴增腔室103之體積(約0.6 L)係被填充以3 Torr之二氟化氙,並且蝕刻腔室107之體積為約2 L。蝕刻時間為15秒,並且蝕刻進行20個循環。在各個蝕刻循環後,擴增腔室103經由蝕刻腔室107被抽低壓力直到擴增腔室103達到0.8 Torr。測試組件307之溫度為約13℃。在各個蝕刻循環後,當使用了來自源130或131之沖洗氣體時,蝕刻腔室107被填充以二氟化氙到約30 Torr。無論是否使用了沖洗氣體,沖洗時間為10秒,以致該些蝕刻循環之間具有10秒的延遲。如表4所示,氧沖洗氣體的使用比不使用任何沖洗氣體時改善了選擇性比例約5.6倍,並且比使用氮沖洗氣體時改善了選擇性比例約2.6倍。
實例:二氧化矽選擇性、配置B、稀釋之脈衝化流
以配置B使用二氟化氙混合了來自源112之混合氣體的脈衝對於二氧化矽選擇性的效果係顯示在表5中。在此例子中,擴增腔室103之體積(約0.6 L)係被填充以4 Torr之二氟化氙與13 Torr之混合氣體(除了顯示「無」以外之處),並且蝕刻腔室體積為約2 L。蝕刻時間為15秒,並且蝕刻進行15個循環。在各個蝕刻循環後,擴增腔室103經由蝕刻腔室107被抽低壓力直到擴增腔室103達到5 Torr。此測試配置(前述涉及第5-8圖所討論者)之溫度為約13℃。該些蝕刻循環之間具有10秒的延遲。在此實例中,選擇性係被定義成矽中之底切407除以二氧化矽之厚度變化的比值。數值「無限」係表示二氧化矽厚度的變化係小到無法測量。
如表5所示,氧的使用係顯示了可比沒有使用任何稀釋氣體改善選擇性比例至少23倍,並且可比使用下一個最佳氣體(即氦氣)時改善選擇性比例約21倍。應注意,多個列示之蝕刻條件係表示此蝕刻條件的重複。
實例:二氧化矽選擇性、配置B、稀釋之連續流
以配置B使用二氟化氙並以來自源112之混合氣體來稀釋的連續流對於二氧化矽選擇性的效果顯示在表6中。來自源112之稀釋氣體於進入蝕刻腔室107前在擴增腔室103中混合了10 sccm之純二氟化氙。蝕刻時間為6分鐘,並且製程壓力被控制在2 Torr。應注意,表6中多個列示之蝕刻條件係表示此蝕刻條件的重複。如表6所示,氧的添加可比下一個最佳例子(即氦)改善選擇性至少1.19倍,並且可比沒有使用稀釋氣體時改善選擇性至少1.73倍。用在此實例中之各個稀釋氣體的流速係顯示在表6中。
例:氮化矽選擇性、配置C、稀釋之脈衝化模式
以配置C在擴增腔室103中使用二氟化氙並以來自源112之混合氣體來稀釋的脈衝化流對於氮化矽選擇性的效果係顯示在第14(A)-15(C)圖。在此實例中,使用了具有每10 mm十字線108個狹縫的四分之一晶圓。此狹縫圖案係經設計以具有約34%之開放區域(暴露之矽)。使用了蝕刻腔室107中三種不同壓力的二氟化氙(2、4與6 Torr),其係結合蝕刻腔室107中三種不同壓力的氧(0、13與26 Torr)。各個樣品係被執行直到觀察出將開放區域往下清出到氮化矽層502之頂部,接著執行多個循環直到底切位在15-20 μm之範圍內。蝕刻速率係被定義成在開放區域已經清出後底切之距離或尺寸除以循環次數。循環時間位在27秒至31秒之反為內,取決於總壓力。蝕刻速率的結果係顯示在第14(A)-14(C)圖中,並且選擇性的結果係顯示在第15(A)15(C)圖中。如第14(A)-14(C)圖所示,蝕刻速率主要取決於二氟化氙的分壓。如第15(A)15(C)圖所示,選擇性主要取決於氧的分壓。因此,選擇性改善不是由蝕刻變得更慢所造成。如第15(C)圖所示,在6 Torr之二氟化氙壓力下,當氧之分壓從0 Torr增加到25 Torr時,選擇性係從約662改善到3778(5.7倍)。
以下表7是使用氧對純二氟化氙的選擇性比例改善的概要。數值顯示了所測量之最差情況。配置C之數值是針對6 Torr之二氟化氙和26 Torr之氧的情況。
以下表8是使用氧對氮的選擇性比例改善的概要。數值顯示了所測量之最差情況。
本發明已經藉由參照期望的實施例來描述。任何熟習此技藝之人士在閱讀且瞭解前述詳細說明後可進行潤飾與變化。舉例而言,吾等咸信添加氧到蝕刻製程也可改善NF3+Xe電漿製程下游的選擇性。吾等意圖將本發明解讀成包括所有能落入隨附申請專利範圍或其均等物之範疇內之這樣的潤飾和變化。
100...氣相蝕刻系統
101...氣相蝕刻氣體源
102...閥
102’...閥
103...擴增腔室
103’...選擇性擴增腔室
104...閥
105...閥
106...閥
107...蝕刻腔室
108...閥
109...真空泵
110...閥
110’...閥
111...閥
111’...選擇性閥
112...混合氣體源
113...閥
113’...閥
114...閥
120...閥
121...質流控制器(MFC)
122...閥
130...氧或氧混合物源
131...洩逸/淨化氣體源
132...質流控制器(MFC)
133...閥
140...自動壓力控制器
301...鋁基座
302...鋁支撐件
303...氮化矽層
305...矽塊
306...矽晶圓
307...測試組件
401...矽晶圓
402...二氧化矽層
403...開口
404...八氟環丁烷(RC318)的薄膜
405...鋁載體
406...半球形凹部
407...底切
408...樣品
501...矽晶圓
501’...樣品(方形)
502...氮化矽
503...非晶多晶矽層
504...光阻劑層
505...狹縫(孔洞)
506...八氟環丁烷(RC318)的薄膜
507...鋁載體
508...距離(尺寸)
第1圖是可用來實施本發明之一蝕刻系統的示意圖。
第2圖是一選擇性測試配置A的平面圖。
第3圖是沿著第2圖中線III-III的剖視圖。
第4圖是位在真空腔室內之第3圖之選擇性測試配置A。
第5圖是用在選擇性測試配置B中之一晶圓的剖視圖。
第6圖是用在選擇性測試配置B中之一樣品的剖視圖。
第7圖是一樣品的平面圖,該樣品位在選擇性測試配置B中之鋁載體上。
第8(A)圖是一經蝕刻之樣品的剖視圖,其中該樣品座落在選擇性測試配置B中之鋁載體上。
第8(B)圖為一開口的透視圖,其中該開口位在第8(A)圖之經蝕刻樣品之二氧化矽層中。
第9圖是用在選擇性測試配置C中之一晶圓的剖視圖。
第10(A)-10(C)圖是與第9圖晶圓併同用在選擇性測試配置C中之三個罩幕的平面圖。
第11圖是第9圖之晶圓之一部分(四分之一)的剖視圖。
第12圖是第9圖之晶圓之一部分(四分之一)的剖視圖,其中該晶圓之一部分(四分之一)位在鋁載體上。
第13圖是第9圖之晶圓之一部分(四分之一)的平面圖,其中該晶圓之一部分(四分之一)位在鋁載體上。
第14(A)-14(C)圖是圖表,其顯示對於不同的氧分壓下增加二氟化氙壓力對於蝕刻速率的效果。
第15(A)-15(C)圖是圖表,其顯示對於不同的二氟化氙壓力下增加氧分壓對於選擇性的效果。
100...氣相蝕刻系統
101...氣相蝕刻氣體源
102...閥
102’...閥
103...擴增腔室
103’...選擇性擴增腔室
104...閥
105...閥
106...閥
107...蝕刻腔室
108...閥
109...真空泵
110...閥
110’...閥
111...閥
111’...選擇性閥
112...混合氣體源
113...閥
114...閥
120...閥
121...質流控制器(MFC)
122...閥
130...氧或氧混合物源
131...洩逸/淨化氣體源
132...質流控制器(MFC)
133...閥
140...自動壓力控制器

Claims (15)

  1. 一種氣相蝕刻方法,包含以下步驟:(a)放置一待蝕刻之材料與一抗蝕刻材料到一蝕刻腔室內;(b)在步驟(a)後,調整該蝕刻腔室中之壓力到一期望之壓力;及(c)在步驟(b)後,將該蝕刻腔室中之該些材料暴露於一蝕刻氣體與於一含氧之氣體之量,其中該含氧之氣體係經選擇以獲得由該暴露造成之該待蝕刻之材料中之變化對於由該暴露造成之該抗蝕刻材料中之變化的一期望選擇性比例,其中步驟(c)包括依序地將該些材料暴露於(1)不存在有該含氧之氣體下的該蝕刻氣體與(2)不存在有該蝕刻氣體下之該含氧之氣體。
  2. 如申請專利範圍第1項所述之方法,其中:由該暴露造成之該待蝕刻之材料中之變化係為(1)由該暴露造成之該待蝕刻之材料的質量變化或(2)由該暴露造成之該待蝕刻之材料的尺寸變化;及由該暴露造成之該抗蝕刻材料中之變化係為由該暴露造成之該抗蝕刻材料的尺寸變化。
  3. 如申請專利範圍第1項所述之方法,其中該選擇性比 例不小於60-1。
  4. 如申請專利範圍第1項所述之方法,其中該選擇性比例介於60-1與125000-1之間。
  5. 如申請專利範圍第1項所述之方法,其中步驟(c)包括依序地將該基材暴露於該蝕刻氣體與該含氧之氣體長達多個循環。
  6. 如申請專利範圍第1項所述之方法,其中:該蝕刻氣體是二氟化氙;及該含氧之氣體是O2
  7. 如申請專利範圍第1項所述之方法,其中該待蝕刻之材料係包含下述之一或多者:矽、鍺、鎢、鈦、鋯、鉿、釩、鉭、鈮、硼、磷、砷、與鉬。
  8. 如申請專利範圍第1項所述之方法,其中該抗蝕刻材料係包含下述之一或多者:二氧化矽、氮化矽、氮碳化矽、氮氧化矽、鎳、鋁、光阻劑、磷矽玻璃、硼磷矽玻璃、聚醯亞胺、金、銅、鉑、鉻、氧化鋁、碳化矽、鈦、鉭、氮化鉭、氮化鈦、鎢與鈦鎢。
  9. 一種氣相蝕刻系統,包含: 一蝕刻腔室;一真空泵;複數個閥;及一控制器,其係可操作用以控制該些閥之開啟與關閉,而:在一抗蝕刻材料與一待蝕刻之材料定位在該蝕刻腔室中時,使得該真空泵能將該蝕刻腔室中之壓力減少到低於大氣壓力;將一蝕刻氣體供應到減少壓力之該蝕刻腔室;及以和該蝕刻氣體之供應分離的方式將一含氧之氣體之量供應到減少壓力之該蝕刻腔室,藉此產生該待蝕刻之材料之蝕刻對於該抗蝕刻材料之蝕刻的一期望比例。
  10. 如申請專利範圍第9項所述之系統,更包含一擴增腔室,其中該控制器係可操作用以控制該複數個閥而將該擴增腔室填充以該蝕刻氣體或該含氧之氣體,並且用以使該擴增腔室中之氣體從該擴增腔室被供應到減少壓力之該蝕刻腔室。
  11. 如申請專利範圍第9項所述之系統,其中該控制器係可操作用以:使得該蝕刻氣體之多個脈衝被供應到減少壓力 之該蝕刻腔室;及使得該含氧之氣體在該蝕刻氣體之至少一對暫時相鄰脈衝之間被供應到減少壓力之該蝕刻腔室。
  12. 一種氣相蝕刻方法,包含以下步驟:(a)提供一基材,該基材包含一待蝕刻之材料與至少一抗蝕刻材料;(b)在一低於大氣壓力之壓力的存在下,將該基材暴露於一蝕刻氣體;及(c)在一低於大氣壓力之壓力的存在下,將該基材暴露於一含氧之氣體的量,其係產生該待蝕刻之材料之蝕刻對於該抗蝕刻材料之蝕刻的一期望比例,其中以和步驟(b)中將該基材暴露於該蝕刻氣體分離的方式,將該基材暴露於該含氧之氣體。
  13. 如申請專利範圍第12項所述之方法,更包含重複步驟(b)與(c),直到該抗蝕刻材料已經被蝕刻到至少一預定程度。
  14. 如申請專利範圍第12項所述之方法,其中以和將該基材暴露於該蝕刻氣體分離的方式將該基材暴露於該含氧之氣體係包括:將該基材分別多次暴露於該蝕刻氣體;及至少在兩次將該基材暴露於該蝕刻氣體的期 間,將該基材暴露於該含氧之氣體。
  15. 如申請專利範圍第12項所述之方法,其中:該待蝕刻之材料係包含下述之一或多者:矽、鍺、鎢、鈦、鋯、鉿、釩、鉭、鈮、硼、磷、砷、與鉬;及該抗蝕刻材料係包含下述之一或多者:二氧化矽、氮化矽、氮碳化矽、氮氧化矽、鎳、鋁、光阻劑、磷矽玻璃、硼磷矽玻璃、聚醯亞胺、金、銅、鉑、鉻、氧化鋁、碳化矽、鈦、鉭、氮化鉭、氮化鈦、鎢與鈦鎢。
TW099141970A 2009-12-02 2010-12-02 高選擇性蝕刻系統與方法 TWI569322B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US26592709P 2009-12-02 2009-12-02
US38220710P 2010-09-13 2010-09-13

Publications (2)

Publication Number Publication Date
TW201131643A TW201131643A (en) 2011-09-16
TWI569322B true TWI569322B (zh) 2017-02-01

Family

ID=44115285

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099141970A TWI569322B (zh) 2009-12-02 2010-12-02 高選擇性蝕刻系統與方法

Country Status (3)

Country Link
US (1) US20120244715A1 (zh)
TW (1) TWI569322B (zh)
WO (1) WO2011068959A1 (zh)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9358370B2 (en) 2012-03-12 2016-06-07 Medtronic Vascular, Inc. Guidewire with integral radiopaque markers
US10115608B2 (en) * 2012-05-25 2018-10-30 Novellus Systems, Inc. Method and apparatus for rapid pump-down of a high-vacuum loadlock
JP6336719B2 (ja) * 2013-07-16 2018-06-06 株式会社ディスコ プラズマエッチング装置
US20180277387A1 (en) * 2014-08-06 2018-09-27 American Air Liquide, Inc. Gases for low damage selective silicon nitride etching
US10658222B2 (en) 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
SG11201706167QA (en) * 2015-02-15 2017-09-28 Acm Res (Shanghai) Inc Method for removing barrier layer for minimizing sidewall recess
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US9837286B2 (en) * 2015-09-04 2017-12-05 Lam Research Corporation Systems and methods for selectively etching tungsten in a downstream reactor
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US11581186B2 (en) * 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR102109884B1 (ko) 2018-05-17 2020-05-12 삼성전기주식회사 체적 음향 공진기 및 이의 제조방법

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080032439A1 (en) * 2006-08-02 2008-02-07 Xiaoming Yan Selective etching of MEMS using gaseous halides and reactive co-etchants
US7362494B2 (en) * 2006-04-13 2008-04-22 Texas Instruments Incorporated Micromirror devices and methods of making the same
US20090071932A1 (en) * 2007-09-14 2009-03-19 Qualcomm Mems Technologies, Inc. Etching processes used in mems production

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5716494A (en) * 1992-06-22 1998-02-10 Matsushita Electric Industrial Co., Ltd. Dry etching method, chemical vapor deposition method, and apparatus for processing semiconductor substrate
US7172296B2 (en) * 2000-08-30 2007-02-06 Reflectivity, Inc Projection display
ATE493368T1 (de) * 2001-03-29 2011-01-15 Toyota Chuo Kenkyusho Kk Ein verfahren zum erzeugen einer hohlen struktur aus einer silizium-struktur
US7132374B2 (en) * 2004-08-17 2006-11-07 Cecilia Y. Mak Method for depositing porous films
US20060065366A1 (en) * 2004-09-27 2006-03-30 Cummings William J Portable etch chamber
JP5695817B2 (ja) * 2005-12-01 2015-04-08 エスピーティーエス テクノロジーズ リミテッド パルス式連続エッチング
US7989262B2 (en) * 2008-02-22 2011-08-02 Cavendish Kinetics, Ltd. Method of sealing a cavity

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7362494B2 (en) * 2006-04-13 2008-04-22 Texas Instruments Incorporated Micromirror devices and methods of making the same
US20080032439A1 (en) * 2006-08-02 2008-02-07 Xiaoming Yan Selective etching of MEMS using gaseous halides and reactive co-etchants
US20090071932A1 (en) * 2007-09-14 2009-03-19 Qualcomm Mems Technologies, Inc. Etching processes used in mems production

Also Published As

Publication number Publication date
WO2011068959A1 (en) 2011-06-09
US20120244715A1 (en) 2012-09-27
TW201131643A (en) 2011-09-16

Similar Documents

Publication Publication Date Title
TWI569322B (zh) 高選擇性蝕刻系統與方法
JP2941943B2 (ja) 半導体基板の水存在下におけるハライドエッチング法
TWI453818B (zh) 電漿蝕刻方法
TWI558655B (zh) 微機電系統之製造方法
US7431853B2 (en) Selective etching of oxides from substrates
JP5009295B2 (ja) パルス式エッチング冷却
JP6837302B2 (ja) 構造物を気相化学的にドライエッチングするための方法及び装置
US20230395400A1 (en) Etching method and etching apparatus
JP2019125714A (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP2005150332A (ja) エッチング方法
TW202100805A (zh) 乾式蝕刻方法及半導體裝置之製造方法
US11322364B2 (en) Method of patterning a metal film with improved sidewall roughness
JPWO2023152941A5 (zh)
JP7394869B2 (ja) エッチング方法およびエッチング装置
US20230253212A1 (en) Method of running an etch process in higher selectivity to mask and polymer regime by using a cyclic etch process
KR100545174B1 (ko) 반도체 소자의 트렌치 제조 방법
JP2006351862A (ja) 半導体装置の製造方法
JPH11224875A (ja) シリコン膜のエッチング方法
JPH10214827A (ja) ケイ素含有被膜の除去方法
CN106328580A (zh) 铜互连结构的气相刻蚀方法
EP3075003A1 (en) Etching process
JP2016039219A (ja) 中空構造の形成方法