JP7454600B2 - 移動可能エッジリングおよびガス注入調節によるウエハ上cd均一性の制御 - Google Patents

移動可能エッジリングおよびガス注入調節によるウエハ上cd均一性の制御 Download PDF

Info

Publication number
JP7454600B2
JP7454600B2 JP2022066801A JP2022066801A JP7454600B2 JP 7454600 B2 JP7454600 B2 JP 7454600B2 JP 2022066801 A JP2022066801 A JP 2022066801A JP 2022066801 A JP2022066801 A JP 2022066801A JP 7454600 B2 JP7454600 B2 JP 7454600B2
Authority
JP
Japan
Prior art keywords
substrate
gas
edge ring
processing
flow rate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2022066801A
Other languages
English (en)
Other versions
JP2022095896A (ja
Inventor
イーティン・ジャーン
サラバナプリヤン・スリラマン
アレックス・パターソン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2022095896A publication Critical patent/JP2022095896A/ja
Application granted granted Critical
Publication of JP7454600B2 publication Critical patent/JP7454600B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/20Means for supporting or positioning the objects or the material; Means for adjusting diaphragms or lenses associated with the support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)

Description

本開示は、基板処理に関し、特に、基板処理におけるエッチング均一性を制御するためのシステムおよび方法に関する。
本明細書で提供されている背景技術の記載は、本開示の背景を概略的に提示するためのものである。ここに名を挙げられている発明者の業績は、この背景技術に記載された範囲において、出願時に従来技術として通常見なされえない記載の態様と共に、明示的にも黙示的にも本開示に対する従来技術として認められない。
半導体ウエハなどの基板を処理するために、基板処理システムが利用されうる。基板に実行されうる処理の例は、化学蒸着(CVD)、原子層蒸着(ALD)、導電体エッチング、および/または、その他のエッチング、蒸着、もしくは、洗浄処理を含むが、これらに限定されない。基板は、基板処理システムの処理チャンバ内の基板支持体(ペデスタル、静電チャック(ESC)など)上に配置されうる。エッチング中、1または複数の前駆体を含むガス混合物が、処理チャンバに導入されてよく、プラズマが、化学反応を開始するために利用されうる。
基板支持体は、ウエハを支持するように構成されたセラミック層を備えうる。例えば、ウエハは、処理中にセラミック層にクランプされうる。基板支持体は、基板支持体の外側部分の周りに(例えば、周囲の外側におよび/または周囲に隣接して)配置されたエッジリングを備えうる。エッジリングは、基板上方の空間にプラズマを閉じこめる、プラズマによって引き起こされる腐食から基板支持体を保護する、などのために提供されうる。
基板処理システム内の基板支持体が、内側部分および外側部分を備える。内側部分は、内側部分に向かって第1処理ガスを方向付けるように構成されたガス分配装置の下方に配置される。外側部分は、内側部分と内側部分上に配置された基板とを少なくとも部分的に囲むように内側部分の外周の周りに配置されたエッジリングを備える。エッジリングは、内側部分に対して上下され、内側部分に向かって第2処理ガスを方向付けるように構成される。コントローラが、処理中に基板上に蒸着された材料の分布を決定し、決定された分布に基づいて、エッジリングの位置を選択的に調節し、第1処理ガスおよび第2処理ガスの少なくとも一方の流量を選択的に調節する。
基板処理システム内で基板を処理するための方法が、内側部分および外側部分を有する基板支持体を準備する工程を備える。内側部分は、ガス分配装置の下方に配置されており、外側部分は、内側部分と内側部分上に配置された基板とを少なくとも部分的に囲むように内側部分の外周の周りに配置されたエッジリングを備える。方法は、さらに、ガス分配装置を用いて、内側部分に第1処理ガスを方向付ける工程と、エッジリングを用いて、内側部分に第2処理ガスを方向付ける工程と、処理中に基板上に蒸着された材料の分布を決定する工程と、エッジリングの位置を内側部分に対して上または下へ選択的に調節する工程と、第1処理ガスおよび第2処理ガスの少なくとも一方の流量を選択的に調節する工程と、を備える。
詳細な説明、特許請求の範囲、および、図面から、本開示を適用可能なさらなる領域が明らかになる。詳細な説明および具体的な例は、単に例示を目的としており、本開示の範囲を限定するものではない。
本開示は、詳細な説明および以下に説明する添付図面から、より十分に理解できる。
本開示に従って、処理チャンバの一例を示す機能ブロック図。
本開示に従って、中央注入処理ガスについて基板における副生成物分布の例を示す図。
本開示に従って、サイド注入処理ガスについて基板における副生成物分布の例を示す図。
本開示に従って、中央注入処理ガスおよびサイド注入処理ガスの副生成物分布の制御範囲および平均プロファイルの例を示す図。
本開示に従って、下げ位置にある可変深さエッジリングの一例を示す図。
本開示に従って、上げ位置にある可変深さエッジリングの一例を示す図。
本開示に従って、エッジリングガス注入ノズルを備えたエッジリング例を示す図。 本開示に従って、エッジリングガス注入ノズルを備えたエッジリング例を示す図。
本開示に従って、基板にわたって副生成物分布を制御するための方法例の工程を示す図。
図面において、同様および/または同一の要素を特定するために、同じ符号を用いる場合がある。
基板処理システム内の基板支持体が、エッジリングを備えうる。エッジリングの上面は、基板支持体の上面よりも上に伸びてよく、それにより、基板支持体の上面(および、一部の例では、基板支持体上に配置された基板の上面)がエッジリングに対して陥凹する。この陥凹部は、ポケットと呼ばれてもよい。エッジリングの上面と基板の上面との間の距離が、「ポケット深さ」と見なされてよい。一般に、ポケット深さは、基板の上面に対するエッジリングの高さに従って固定される。異なるポケット深さが求められた場合、エッジリングを手動で交換する必要があり、これは、ウエハハンドリングの制約、処理の制約、チャンバの制約などによって制限されうる。
エッチング処理のいくつかの態様は、基板処理システム、基板、ガス混合物などの特徴により、様々でありうる。例えば、フローパターンひいてはエッチング速度およびエッチング均一性が、エッジリングのポケット深さ、エッジリング形状(すなわち、形)などに従って様々でありうる。一部の処理の例では、エッチング速度全体が、基板の上面とガス分配装置の底面との間の距離が増すにつれて変化する。さらに、エッチング速度は、基板の中心から基板の外周までで変化しうる。例えば、基板の外周では、シースの屈曲およびイオンの傾斜が、シャロートレンチアイソレーション(STI)を引き起こす場合があり、反応種(例えば、エッチャントおよび/または蒸着前駆体)に関連する化学的負荷が、ハードマスククリティカルディメンションのロールオフを引き起こす場合がある。さらに、エッチング副生成物などの材料が、基板上に再蒸着されることがある。エッチング速度は、基板の上面におけるガス速度を含むがこれに限定されない他の処理パラメータに従って変化しうる。例えば、処理結果に影響しうる様々な処理ガスの注入(例えば、中心ノズル、サイド調整ノズルなどからの注入など)に関連するパラメータは、ガス流量、ガス種、注入角度、注入位置などを含むが、これらに限定されない。
したがって、エッジリングの構成(例えば、エッジリングの高さおよび/または形状など)を変化させることで、基板の表面にわたるガス速度プロファイルを変更できる。同様に、様々な処理ガスの注入に関連するパラメータを調節しても、処理結果に影響を与えうる。単に例として、ガス注入パラメータは、ガス流量、ガス種、注入角度、注入位置などを含みうるが、これらに限定されない。本開示の原理に従った可変深さエッジリングのシステムおよび方法は、基板処理中にエッジリング高さの調節および処理ガス注入のパラメータの調節を併用して、エッチング均一性を制御する。このように、ガス流再循環および関連する副生成物の蒸着が調節されうる。
例えば、エッジリングは、コントローラ、ユーザインターフェースなどに応答して、エッジリングを上下させるように構成されたアクチュエータに結合されてよい。一例では、基板処理システムのコントローラが、実行される特定のレシピおよび関連するガス注入パラメータに従って、処理中、処理工程の合間などに、エッジリングの高さを制御する。コントローラは、その結果、ガス注入パラメータを調節するように構成されうる。単に例として、コントローラは、処理ガス注入に関連する1または複数のパラメータに対してエッジリング高さなどをインデックス付けするデータ(例えば、ルックアップテーブル)を格納してよい。データは、さらに、エッジリング高さおよびガス注入パラメータを基板にわたるエッチング副生成物分布に関連付けてもよい。データは、所定の(例えば、較正またはプログラムされた)データ、インターフェースを介してユーザによって提供されたデータなどに対応しうる。このように、所望のエッチング均一性が、エッチング副生成物分布に従って、処理中にエッジリング高さおよびガス注入パラメータを動的に調節することによって達成されうる。一部の例において、エッジリングは、さらなるサイド調整ガスを注入するためのガス注入ノズルを備えてもよい。
ここで、図1を参照すると、基板処理システム100の一例が示されている。単に例として、基板処理システム100は、RFプラズマを用いたエッチングおよび/またはその他の適切な基板処理を実行するために用いられてよい。基板処理システム100は、基板処理システム100の他の構成要素を収容すると共にRFプラズマを閉じ込める処理チャンバ102を備える。基板処理チャンバ102は、上側電極104と、基板支持体106(静電チャック(ESC)など)とを備える。動作中、基板108が、基板支持体106上に配置される。具体的な基板処理システム100およびチャンバ102が一例として示されているが、本開示の原理は、その場でプラズマを生成する基板処理システム、(例えば、プラズマチューブ、マイクロ波チューブを用いて)遠隔プラズマの生成および供給を実施する基板処理システムなど、他のタイプの基板処理システムおよびチャンバに適用されてもよい。
単に例として、上側電極104は、処理ガスを導入して分散させるガス分配装置(シャワーヘッド109など)を備えてよい。シャワーヘッド109は、処理チャンバの上面に接続された一端を備えるステム部分を備えてよい。ベース部分は、略円筒形であり、処理チャンバの上面から離れた位置でステム部分の反対側の端部から半径方向外向きに広がる。シャワーヘッドのベース部分の基板対向面すなわちフェースプレートは、処理ガスまたはパージガスが流れる複数の穴を備える。あるいは、上側電極104は、導電性のプレートを備えてもよく、処理ガスは、別の方法で導入されてよい。
基板支持体106は、下側電極として機能する導電性のベースプレート110を備える。ベースプレート110は、セラミック層112を支持する。一部の例において、セラミック層112は、加熱層(セラミックマルチゾーン加熱プレートなど)を備えてよい。熱抵抗層114(例えば、ボンド層)が、セラミック層112とベースプレート110との間に配置されてよい。ベースプレート110は、ベースプレート110に冷却材を流すための1または複数の冷却材流路116を備えてよい。
RF発生システム120が、RF電圧を生成して、上側電極104および下側電極(例えば、基板支持体106のベースプレート110)の一方に出力する。上側電極104およびベースプレート110のもう一方は、DC接地、AC接地されるか、または、浮遊していてよい。単に例として、RF発生システム120は、整合/配電ネットワーク124によって上側電極104またはベースプレート110に供給されるRF電圧を生成するRF電圧発生器122を備えてよい。他の例において、プラズマは、誘導的にまたは遠隔で生成されてよい。例示の目的で示すように、RF発生システム120は、容量結合プラズマ(CCP)システムに対応するが、本開示の原理は、単に例として、トランス結合プラズマ(TCP)システム、CCPカソードシステム、遠隔マイクロ波プラズマ生成/供給システムなど、他の適切なシステムで実施されてもよい。
ガス供給システム130は、1または複数のガス源132-1、132-2、・・・、および、132-N(集合的に、ガス源132)を備えており、ここで、Nはゼロより大きい整数である。ガス源は、1または複数の前駆体およびそれらの混合物を供給する。ガス源は、パージガスを供給してもよい。気化した前駆体が用いられてもよい。ガス源132は、バルブ134-1、134-2、・・・、および、134-N(集合的に、バルブ134)ならびにマスフローコントローラ136-1、136-2、・・・、および、136-N(集合的に、マスフローコントローラ136)によってマニホルド140に接続されている。マニホルド140の出力は、処理チャンバ102に供給される。単に例として、マニホルド140の出力は、シャワーヘッド109に供給される。
温度コントローラ142が、加熱プレート112上に配置された複数の加熱素子(熱制御素子(TCE:thermal control element)144など)に接続されてよい。例えば、加熱素子144は、マルチゾーン加熱プレートにおけるそれぞれの区画に対応するマクロ加熱素子、および/または、マルチゾーン加熱プレートの複数の区画にわたって配置されたマイクロ加熱素子のアレイを含みうるが、これらに限定されない。温度コントローラ142は、複数の加熱素子144を制御して基板支持体106および基板108の温度を制御するために用いられる
温度コントローラ142は、流路116を通る冷却材の流れを制御するための冷却材アセンブリ146と連通してよい。例えば、冷却材アセンブリ146は、冷却材ポンプおよび冷却材リザーバを備えてよい。温度コントローラ142は、基板支持体106を冷却するために流路116を通して冷却材を選択的に流すように、冷却材アセンブリ146を作動させる。
バルブ150およびポンプ152が、処理チャンバ102から反応物質を排出するために用いられてよい。システムコントローラ160が、基板処理システム100の構成要素を制御するために用いられてよい。ロボット170が、基板支持体106上へ基板を供給すると共に、基板支持体106から基板を除去するために用いられてよい。例えば、ロボット170は、基板支持体106およびロードロック172の間で基板を移送してよい。別個のコントローラとして示しているが、温度コントローラ142は、システムコントローラ160内に実装されてもよい。一部の例において、保護シール176が、セラミック層112とベースプレート110との間のボンド層114の周囲に提供されてもよい。
基板支持体106は、エッジリング180を備える。本開示の原理に従ったエッジリング180は、基板108に対して移動可能(例えば、垂直方向に上下に移動可能)である。例えば、エッジリング180は、後に詳述するように、コントローラ160に応答して、アクチュエータを介して制御されてよい。エッジリング180は、ガス注入パラメータに従って基板処理中に調節されてよい。一部の例において、エッジリング180は、さらなるサイド調整ガスを注入するためのガス注入ノズルを備えてもよい。
ここで、図2Aおよび2Bを参照すると、上げ(高い)エッジリング位置および下げ(低い)エッジリング位置について基板にわたる副生成物分布が示されている。図2Aは、中央注入の処理ガス(すなわち、シャワーヘッドの中央または内側部分から注入されたガス)についての副生成物分布を示す。副生成物分布200は、エッジリングが下げ位置にある状態での副生成物分布(例えば、基板の中心から0~160mmの半径で測定した基板/ウエハの対応する位置の上方でSiClのモル分率で測定したもの)を示す。逆に、副生成物分布204は、エッジリングが上げ位置にある状態での副生成物分布を示す。図に示すように、中央注入の処理ガスについては、下げエッジリング位置および上げエッジリング位置の両方で、基板の中央領域よりも基板のエッジ領域の近くで多くの量の副生成物が蒸着されるが、エッジリングを下げると、エッジ領域付近の副生成物分布が比較的少なくなる。
図2Bは、サイド注入の処理ガス(すなわち、シャワーヘッドの外側のサイド調整部分から注入されたガス、および/または、一部の例では、後に詳述するようにエッジリングノズルから注入されたガス)についての副生成物分布を示す。副生成物分布208は、エッジリングが下げ位置にある状態での副生成物分布を示す。逆に、副生成物分布212は、エッジリングが上げ位置にある状態での副生成物分布を示す。図に示すように、サイド注入の処理ガスについては、下げエッジリング位置および上げエッジリング位置の両方で、基板のエッジ領域よりも基板の中央領域の近くで多くの量の副生成物が蒸着されるが、エッジリングを下げると、エッジ領域付近の副生成物分布が比較的少なくなる。
図3は、基板の半径にわたって、中央注入ガスおよびサイド注入処理ガスにそれぞれ関連する副生成物分布304および308の平均副生成物分布例300を示す。平均副生成物分布300は、エッジリングの所定の位置についての所定の期間にわたる(例えば、所与の処理工程に対応する所定の期間にわたる)平均副生成物分布に対応しうる。副生成物分布304および308は、中央注入ガスおよびサイド注入ガスに対応するそれぞれの所定のガス流量、ガス種などにも関連しうる。
したがって、上限316と下限320との間の領域312は、エッジリングの位置を調節すること(すなわち、上下させること)によって達成可能な副生成物分布の調整可能な範囲に対応しうる。例えば、上限316は、達成可能な副生成物分布の最大量の一例に対応し、下限320は、達成可能な副生成物分布の最小量の一例に対応しうる。副生成物分布は、さらに、中央注入ガス流量およびサイド注入ガス流量を選択的に調節することによって調節されてもよい。エッジリング高さおよびガス流量は、所定の期間中に所望の副生成物分布324を達成するために、処理中に動的に調節されうる。
例えば、システムコントローラ160は、基板の各領域の平均副生成物分布を、エッジリング位置、サイド注入ガス流量、中央注入ガス流量、ガス種、エッジリング形状などを含むがこれらに限定されない様々なパラメータと関連付けるデータ(モデルなど)を格納してよい。データは、複数の異なるエッジリング位置、処理ガス注入流量、ガス種などに対する副生成物分布304および308を示すデータを含みうる。単に例として、平均副生成物分布などのデータは、以前の基板の評価、モデル、処理後の分析などに基づいて決定されてよい。したがって、処理中に調節できない所与のパラメータセット(例えば、エッジリング形状、所望の副生成物分布など)について、コントローラ160は、所望の副生成物分布を達成するために処理中に調節できる関連パラメータ(例えば、エッジリング高さ、ならびに、中央注入ガス流およびサイド注入ガス流のそれぞれの量)を計算するように構成される。一部の例において、コントローラ160は、処理中に副生成物分布を動的に計算してて、それに従って調節を行ってよい。例えば、図2Aに示したように、所与のエッジリング高さについて、中央注入ガスによると、基板のエッジでの副生成物分布がより大きいが、サイド注入ガスによると、基板のエッジでの副生成物分布がより小さい。
したがって、処理は、エッジリングが第1位置にある状態で、それぞれの中央注入ガス流量およびサイド注入ガス流量で開始してよく、その結果、基板のエッジ領域での比較的大きい副生成物分布、および、基板の中央領域での比較的小さい副生成物分布が実現される。次いで、システムコントローラ160は、第2位置へエッジリングを上げさせる(または下げさせる)と共に、中央注入およびサイド注入ガスのそれぞれの流量を調節してよい。例えば、エッジリングは、中央注入ガスの流量を減少させて(または完全に遮断して)サイド注入ガスの流量を増加させると同時に下げられてよく、その結果、基板のエッジ領域での比較的小さい副生成物分布、および、基板の中心領域での比較的大きい副生成物が実現される。それぞれの流量を調節することは、中央注入ガス流またはサイド注入ガス流を完全にオフにすること、中央注入ガス流またはサイド注入ガス流がオフになった状態で処理を開始した後に中央注入ガス流またはサイド注入ガス流をオンにすること、などを含んでよい。
一部の例において、コントローラ160は、特定の処理のために一連の所定の調節を実行するように構成されてもよい。例えば、第1所定期間中に、コントローラ160は、エッジリングを第1高さに調節しつつ、それぞれの第1中央注入ガス流量および第1サイド注入ガス流量を選択してよい。第2所定期間中に、コントローラ160は、エッジリングを第2高さに調節しつつ、それぞれの第2中央注入ガス流量および第2サイド注入ガス流量を選択してよい。このように、処理または処理工程が、それぞれのエッジリング位置およびガス流量を有する2以上の所定の期間に分割されてよい。
ここで、図4A、図4B、および、図4Cを参照すると、本開示の原理に従って基板404を上に配置された基板支持体400が示されている。基板支持体400は、(例えば、ESCに対応する)内側部分408および外側部分412を有するベースまたはペデスタルを備えてよい。例において、外側部分412は、内側部分408から独立しており、内側部分408に対して移動可能であってよい。基板404は、処理中に内側部分408上に配置される。コントローラ416が、1または複数のアクチュエータ420と通信して、支持体400のポケット深さを調節するためにエッジリング424を選択的に上下させる。単に例として、エッジリング424は、図4Aでは完全下げ位置、そして、図4Bでは完全上げ位置の一例に示されている。図に示すように、アクチュエータ420は、垂直方向にピン428を選択的に伸び縮みさせるように構成されたピンアクチュエータに対応する。その他の適切なタイプのアクチュエータが、別の例で用いられてもよい。単に例として、エッジリング424は、セラミックまたは石英のエッジリングに対応する。図4Aにおいて、コントローラ416は、アクチュエータ420と通信して、ピン428を介してエッジリング424を直接的に上下させる。一部の例において、内側部分408は、エッジリング424に対して移動可能である。
ここで、図5Aおよび図5Bを参照すると、基板504を上に配置された基板支持体の一例500が示されている。基板支持体500は、内側部分508および外側部分512を有するベースまたはペデスタルを備える。外側部分は、図1~図4に関して上述したように、選択的に移動可能である(すなわち、上下される)エッジリング516を備える。ただし、エッジリング516の移動の制御に関連する基板支持体500の部分は、簡単のために図5では省略されている。
基板支持体500は、シャワーヘッド520などのガス分配装置の下方に配置される。シャワーヘッド520は、中央部分524を備えており、任意選択的に、外側部分528を備えてもよい。中央部分524は、基板504の真上で処理ガスを下向きに方向付けるように配置された中央ガスノズル532を備える。外側部分520は、基板504の外周エッジに向かって処理ガスを方向付けるように配置されたサイド調整ガスノズル536を備えてよい。
一部の例において、エッジリング516は、エッジリングノズル540を備える。エッジリングノズル540は、シャワーヘッド520の外側部分528におけるサイド調整ガスノズル536の代わりにまたはそれに加えて提供されてよい。エッジリングノズル540は、図1~図3において上述したように副生成物分布をさらに制御するために、さらなるサイド調整ガスを提供するように構成される。例えば、エッジリング516は、1または複数の導管548を介して1または複数のガス源552からのガスを受け入れるように構成されたプレナム544を規定してよい。例えば、1または複数のガス源552は、上述のようにコントローラ(例えば、図1のシステムコントローラ160)によって生成された制御信号に従って、処理ガスを供給する。
エッジリングノズル540の特徴は、異なる処理、処理チャンバなどに対して異なってもよい。変更されうるエッジリングノズル540の特徴の例は、数、サイズ、形状、および、注入角度を含むが、これらに限定されない。したがって、エッジリング位置、ガス流量などを調節することに加えて、所望の特徴を有するエッジリングノズル540を備えたエッジリングを選択することによって、副生成物分布をさらに制御できる。一部の例において、エッジリング516の形状は、副生成物分布をさらに制御するために、様々であってよい。例えば、長方形の内径556で示されているが、内径556は、その他の例において、ベベル形状、曲線状などであってもよい。
ここで、図6を参照すると、基板にわたる副生成物分布を制御するための方法の例600が、工程604で始まる。工程608で、方法600(例えば、システムコントローラ160)は、選択された処理、処理工程などに基づいて、処理チャンバの調節可能なパラメータを設定する。例えば、方法600は、選択された処理の特徴(例えば、処理タイプ、ガス種、エッジリングの特徴など)を、様々な調節可能なパラメータと関連付ける格納済みのデータに従って、調節可能なパラメータを設定してよい。パラメータは、エッジリング位置、ならびに、(例えば、シャワーヘッドのサイド調整位置および/またはエッジリングのエッジリングノズルから注入される)中央注入処理ガスおよびサイド注入処理ガスのそれぞれのガス流量を含むが、これらに限定されない。
工程612で、方法600は、処理または処理工程を開始する。工程616で、方法600は、処理工程が完了したか否かを判定する。完了している場合、方法600は、工程620で終了する。完了していない場合、方法600は、工程624に進む。工程624で、方法600は、図1~図5に関して上述したように副生成物分布の制御に関連するパラメータを調節するか否かを決定する。例えば、方法600は、処理中の副生成物分布の計算または推定などに基づいて、所定の期間後にエッジリング位置および/または処理ガス流量を調節してよい。調節する場合、方法600は、工程628に進む。調節しない場合、方法600は、工程616に進む。
工程628で、方法600(例えば、システムコントローラ160)は、エッジリング位置および/またはガス流量を調節する。一部の例において、方法600は、(例えば、処理/処理工程が始まってから経過した時間に基づいて)、エッジリング位置およびガス流量を所定の値に調節する。別の例において、方法600は、(例えば、現在および以前のエッジリング位置、ガス流量などに基づいて)、基板の様々な領域における副生成物分布を計算または推定し、それに従ってエッジリング位置および/またはガス流量を調節する。次いで、方法600は、工程616へ続く。
上述の記載は、本質的に例示に過ぎず、本開示、応用例、または、利用法を限定する意図はない。本開示の広範な教示は、様々な形態で実施されうる。したがって、本開示には特定の例が含まれるが、図面、明細書、および、以下の特許請求の範囲を研究すれば他の変形例が明らかになるため、本開示の真の範囲は、それらの例には限定されない。方法に含まれる1または複数の工程が、本開示の原理を改変することなく、異なる順序で(または同時に)実行されてもよいことを理解されたい。さらに、実施形態の各々は、特定の特徴を有するものとして記載されているが、本開示の任意の実施形態に関して記載された特徴の内の任意の1または複数の特徴を、他の実施形態のいずれかに実装することができる、および/または、組み合わせが明確に記載されていないとしても、他の実施形態のいずれかの特徴と組み合わせることができる。換言すると、上述の実施形態は互いに排他的ではなく、1または複数の実施形態を互いに置き換えることは本開示の範囲内にある。
要素の間(例えば、モジュールの間、回路要素の間、半導体層の間)の空間的関係および機能的関係性が、「接続される」、「係合される」、「結合される」、「隣接する」、「近接する」、「の上部に」、「上方に」、「下方に」、および、「配置される」など、様々な用語を用いて記載されている。第1および第2要素の間の関係性を本開示で記載する時に、「直接」であると明確に記載されていない限り、その関係性は、他に介在する要素が第1および第2の要素の間に存在しない直接的な関係性でありうるが、1または複数の介在する要素が第1および第2の要素の間に(空間的または機能的に)存在する間接的な関係性でもありうる。本明細書で用いられているように、「A、B、および、Cの少なくとも1つ」という表現は、非排他的な論理和ORを用いて、論理(AまたはBまたはC)を意味すると解釈されるべきであり、「Aの少なくとも1つ、Bの少なくとも1つ、および、Cの少なくとも1つ」という意味であると解釈されるべきではない。
いくつかの実施例において、コントローラは、システムの一部であり、システムは、上述の例の一部であってよい。かかるシステムは、1または複数の処理ツール、1または複数のチャンバ、処理のための1または複数のプラットフォーム、および/または、特定の処理構成要素(ウエハペデスタル、ガスフローシステムなど)など、半導体処理装置を備えうる。これらのシステムは、半導体ウエハまたは基板の処理前、処理中、および、処理後に、システムの動作を制御するための電子機器と一体化されてよい。電子機器は、「コントローラ」と呼ばれてもよく、システムの様々な構成要素または副部品を制御しうる。コントローラは、処理要件および/またはシステムのタイプに応じて、処理ガスの供給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、高周波(RF)発生器設定、RF整合回路設定、周波数設定、流量設定、流体供給設定、位置および動作設定、ならびに、ツールおよび他の移動ツールおよび/または特定のシステムと接続または結合されたロードロックの内外へのウエハ移動など、本明細書に開示の処理のいずれを制御するようプログラムされてもよい。
概して、コントローラは、命令を受信する、命令を発行する、動作を制御する、洗浄動作を可能にする、エンドポイント測定を可能にすることなどを行う様々な集積回路、ロジック、メモリ、および/または、ソフトウェアを有する電子機器として定義されてよい。集積回路は、プログラム命令を格納するファームウェアの形態のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されるチップ、および/または、プログラム命令(例えば、ソフトウェア)を実行する1または複数のマイクロプロセッサまたはマイクロコントローラを含みうる。プログラム命令は、様々な個々の設定(またはプログラムファイル)の形態でコントローラに伝えられて、半導体ウエハに対するまたは半導体ウエハのための特定の処理を実行するための動作パラメータ、もしくは、システムへの動作パラメータを定義する命令であってよい。動作パラメータは、いくつかの実施形態において、ウエハの1または複数の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、および/または、ダイの加工中に1または複数の処理工程を達成するために処理エンジニアによって定義されるレシピの一部であってよい。
コントローラは、いくつかの実施例において、システムと一体化されるか、システムに接続されるか、その他の方法でシステムとネットワーク化されるか、もしくは、それらの組み合わせでシステムに結合されたコンピュータの一部であってもよいし、かかるコンピュータに接続されてもよい。例えば、コントローラは、「クラウド」内にあってもよいし、ウエハ処理のリモートアクセスを可能にできるファブホストコンピュータシステムの全部または一部であってもよい。コンピュータは、現在の処理のパラメータを変更する、現在の処理に従って処理工程を設定する、または、新たな処理を開始するために、システムへのリモートアクセスを可能にして、製造動作の現在の進捗を監視する、過去の製造動作の履歴を調べる、もしくは、複数の製造動作からの傾向または性能指標を調べうる。いくつかの例では、リモートコンピュータ(例えば、サーバ)が、ネットワーク(ローカルネットワークまたはインターネットを含みうる)を介してシステムに処理レシピを提供してよい。リモートコンピュータは、パラメータおよび/または設定の入力またはプログラミングを可能にするユーザインターフェースを備えてよく、パラメータおよび/または設定は、リモートコンピュータからシステムに通信される。いくつかの例において、コントローラは、データの形式で命令を受信し、命令は、1または複数の動作中に実行される処理工程の各々のためのパラメータを指定する。パラメータは、実行される処理のタイプならびにコントローラがインターフェース接続するまたは制御するよう構成されたツールのタイプに固有であってよいことを理解されたい。したがって、上述のように、コントローラは、ネットワーク化されて共通の目的(本明細書に記載の処理および制御など)に向けて動作する1または複数の別個のコントローラを備えることなどによって分散されてよい。かかる目的のための分散コントローラの一例は、チャンバでの処理を制御するために協働するリモートに配置された(プラットフォームレベルにある、または、リモートコンピュータの一部として配置されるなど)1または複数の集積回路と通信するチャンバ上の1または複数の集積回路である。
限定はしないが、システムの例は、プラズマエッチングチャンバまたはモジュール、蒸着チャンバまたはモジュール、スピンリンスチャンバまたはモジュール、金属メッキチャンバまたはモジュール、洗浄チャンバまたはモジュール、ベベルエッジエッチングチャンバまたはモジュール、物理蒸着(PVD)チャンバまたはモジュール、化学蒸着(CVD)チャンバまたはモジュール、原子層蒸着(ALD)チャンバまたはモジュール、原子層エッチング(ALE)チャンバまたはモジュール、イオン注入チャンバまたはモジュール、トラックチャンバまたはモジュール、ならびに、半導体ウエハの加工および/または製造に関連するかまたは利用されうる任意のその他の半導体処理システムを含みうる。
上述のように、ツールによって実行される1または複数の処理工程に応じて、コントローラは、他のツール回路またはモジュール、他のツール構成要素、クラスタツール、他のツールインターフェース、隣接するツール、近くのツール、工場の至る所に配置されるツール、メインコンピュータ、別のコントローラ、もしくは、半導体製造工場内のツール位置および/またはロードポートに向かってまたはそこからウエハのコンテナを運ぶ材料輸送に用いられるツール、の内の1または複数と通信してもよい。
本開示は、以下の適用例としても実現可能である。
<適用例1>
基板処理システム内の基板支持体であって、
ガス分配装置の下方に配置された内側部分であって、前記ガス分配装置は、前記内側部分に向かって第1処理ガスを方向付けるように構成されている、内側部分と、
エッジリングを含む外側部分であって、前記エッジリングは、前記内側部分と前記内側部分上に配置された基板とを少なくとも部分的に囲むように前記内側部分の外周の周りに配置され、前記エッジリングは、前記内側部分に対して上下されるように構成され、前記エッジリングは、前記内側部分に向かって第2処理ガスを方向付けるように構成されている、外側部分と、
処理中に前記基板上に蒸着された材料の分布を決定し、前記決定された分布に基づいて、(i)前記エッジリングの位置を選択的に調節し、(ii)前記第1処理ガスおよび前記第2処理ガスの少なくとも一方の流量を選択的に調節するコントローラと、
を備える、基板支持体。
<適用例2>
適用例1に記載の基板支持体であって、前記材料は、エッチング副生成物を含む、基板支持体。
<適用例3>
適用例1に記載の基板支持体であって、前記コントローラは、前記基板上に蒸着された前記材料の分布を、エッジリング位置、前記ガス分配装置によって方向付けられる前記第1処理ガス、および、前記エッジリングによって方向付けられる前記第2処理ガスの内の少なくとも1つと関連付けるデータに基づいて、前記材料の分布を決定する、基板支持体。
<適用例4>
適用例3に記載の基板支持体であって、前記データは、(i)前記第1処理ガスによって引き起こされた前記基板上の蒸着材料および(ii)前記第2処理ガスによって引き起こされた前記基板上の蒸着材料の平均を含む、基板支持体。
<適用例5>
適用例3に記載の基板支持体であって、前記コントローラは、前記データに基づいて、(i)第1所定期間中に、前記エッジリングの位置を第1位置に調節し、前記第1処理ガスの流量を第1流量に調節し、前記第2処理ガスの流量を第2流量に調節し、(ii)前記第1所定期間の後、第2所定期間中に、前記エッジリングの位置を第2位置に調節し、前記第1処理ガスの流量を第3流量に調節し、前記第2処理ガスの流量を第4流量に調節する、基板支持体。
<適用例6>
適用例5に記載の基板支持体であって、前記流量を前記第1流量、前記第2流量、前記第3流量、および、前記第4流量の内の少なくとも1つに調節することは、前記第1処理ガスおよび前記第2処理ガスの内の対応する処理ガスをオフにすることを含む、基板支持体。
<適用例7>
適用例1に記載の基板支持体であって、前記エッジリングは、複数のガス注入ノズルを備える、基板支持体。
<適用例8>
適用例7に記載の基板支持体であって、前記複数のガス注入ノズルは、前記エッジリングによって規定されたプレナムと、前記第2処理ガスの供給源に接続された少なくとも1つの導管とを介して、ガス源と流体連通している、基板支持体。
<適用例9>
基板処理システム内で基板を処理するための方法であって、
内側部分および外側部分を有する基板支持体を準備する工程であって、前記内側部分は、ガス分配装置の下方に配置され、前記外側部分は、前記内側部分と前記内側部分上に配置された基板とを少なくとも部分的に囲むように前記内側部分の外周の周りに配置されたエッジリングを備える、工程と、
前記ガス分配装置を用いて、前記内側部分に第1処理ガスを方向付ける工程と、
前記エッジリングを用いて、前記内側部分に第2処理ガスを方向付ける工程と、
処理中に前記基板上に蒸着された材料の分布を決定する工程と、
前記エッジリングの位置を前記内側部分に対して上または下へ選択的に調節する工程と、
前記第1処理ガスおよび前記第2処理ガスの少なくとも一方の流量を選択的に調節する工程と、
を備える、方法。
<適用例10>
適用例9に記載の方法であって、前記材料は、エッチング副生成物を含む、方法。
<適用例11>
適用例9に記載の方法であって、前記材料の分布を決定する工程は、前記基板上に蒸
着された前記材料の分布を、エッジリング位置、前記ガス分配装置によって方向付けられる前記第1処理ガス、および、前記エッジリングによって方向付けられる前記第2処理ガスの内の少なくとも1つと関連付けるデータに基づいて、前記材料の分布を決定する工程を含む、方法。
<適用例12>
適用例11に記載の方法であって、前記データは、(i)前記第1処理ガスによって引き起こされた前記基板上の蒸着材料および(ii)前記第2処理ガスによって引き起こされた前記基板上の蒸着材料の平均を含む、方法。
<適用例13>
適用例11に記載の方法であって、さらに、前記データに基づいて、(i)第1所定期間中に、前記エッジリングの位置を第1位置に調節し、前記第1処理ガスの流量を第1流量に調節し、前記第2処理ガスの流量を第2流量に調節する工程と、(ii)前記第1所定期間の後、第2所定期間中に、前記エッジリングの位置を第2位置に調節し、前記第1処理ガスの流量を第3流量に調節し、前記第2処理ガスの流量を第4流量に調節する工程と、を備える、方法。
<適用例14>
適用例13に記載の方法であって、前記流量を前記第1流量、前記第2流量、前記第3流量、および、前記第4流量の内の少なくとも1つに調節する工程は、前記第1処理ガスおよび前記第2処理ガスの内の対応する処理ガスをオフにする工程を含む、方法。
<適用例15>
適用例9に記載の方法であって、前記エッジリングは、複数のガス注入ノズルを備える、方法。
<適用例16>
適用例15に記載の方法であって、前記複数のガス注入ノズルは、前記エッジリングによって規定されたプレナムと、前記第2処理ガスの供給源に接続された少なくとも1つの導管とを介して、ガス源と流体連通している、方法。

Claims (20)

  1. 基板処理チャンバのための基板処理システムであって、
    前記基板処理チャンバ内の基板支持体に向かって処理ガスを方向付けるように構成されたガス供給システムと、
    コントローラであって、前記基板支持体の上に配置された基板の処理中に、
    前記基板支持体のエッジリングの位置と、前記基板支持体に向かって方向付けられた前記処理ガスの特性と、の少なくとも一方に基づいて、処理中に前記基板上に再蒸着されたエッチング副生成物材料の前記基板にわたる分布を計算し、
    前記計算された分布に応答して、(i)前記基板に対する前記エッジリングの位置を選択的に調節することをアクチュエータに行わせ、(ii)前記処理ガスの流量を選択的に調節することを前記ガス供給システムに行わせるために、制御信号を生成するように構成されたコントローラと、
    を備える、基板処理システム。
  2. 請求項1に記載の基板処理システムであって、
    前記コントローラは、前記エッチング副生成物材料の時間平均化分布を示すデータに基づいて、前記分布を計算するように構成されている、基板処理システム。
  3. 請求項1に記載の基板処理システムであって、
    前記コントローラは、前記基板上に再蒸着した前記エッチング副生成物材料の分布を前記エッジリングの位置と前記処理ガスの流量の少なくとも一方と関連付けるデータに基づいて、前記分布を計算するように構成されている、基板処理システム。
  4. 請求項1に記載の基板処理システムであって、
    前記処理ガスは、第1処理ガスおよび第2処理ガスを含み、前記ガス供給システムは、(i)前記基板支持体の内側部分に向かって前記第1処理ガスを方向付け、(ii)前記エッジリングを通して前記基板支持体に向かって前記第2処理ガスを方向付けるように構成されている、基板処理システム。
  5. 請求項4に記載の基板処理システムであって、
    前記コントローラは、(i)前記第1処理ガスによって引き起こされた前記基板上に蒸着されたエッチング副生成物材料と、(ii)前記第2処理ガスによって引き起こされた前記基板上に蒸着されたエッチング副生成物材料と、の平均を用いて、前記分布を計算するように構成されている、基板処理システム。
  6. 請求項5に記載の基板処理システムであって、
    前記コントローラは、前記計算された分布に基づいて、(i)第1所定期間中に、前記エッジリングの位置を第1位置に調節し、前記第1処理ガスの流量を第1流量に調節し、前記第2処理ガスの流量を第2流量に調節し、(ii)前記第1所定期間の後、第2所定期間中に、前記エッジリングの位置を第2位置に調節し、前記第1処理ガスの流量を第3流量に調節し、前記第2処理ガスの流量を第4流量に調節するように構成されている、基板処理システム。
  7. 請求項1に記載の基板処理システムであって、さらに、
    前記アクチュエータを備える、基板処理システム。
  8. 請求項1に記載の基板処理システムであって、さらに、
    前記基板支持体および前記エッジリングを備える、基板処理システム。
  9. 請求項8に記載の基板処理システムであって、
    前記処理ガスは、第1処理ガスおよび第2処理ガスを含み、
    前記基板支持体は、
    ガス分配装置の下方に配置された内側部分であって、前記ガス分配装置は、前記内側部分に向かって第1処理ガスを方向付けるように構成されている、内側部分と、
    前記エッジリングを含む外側部分と、
    を備え、
    前記エッジリングは、前記内側部分と前記内側部分の上に配置された基板とを少なくとも部分的に囲むように前記内側部分の外周の周りに配置され、前記エッジリングは、前記コントローラによって生成された前記制御信号に応答して、前記内側部分に対して上下されるように構成され、前記エッジリングは、前記内側部分に向かって前記第2処理ガスを方向付けるように構成されている、基板処理システム。
  10. 請求項9に記載の基板処理システムであって、
    前記エッジリングは、複数のガス注入ノズルを備える、基板処理システム。
  11. 請求項10に記載の基板処理システムであって、
    前記複数のガス注入ノズルは、前記エッジリングによって規定されたプレナムと、前記第2処理ガスの供給源に接続された少なくとも1つの導管とを介して、前記供給源と流体連通している、基板処理システム。
  12. 方法であって、
    基板処理チャンバ内の基板支持体の上に配置された基板の処理中に、
    前記基板支持体のエッジリングの位置と、前記基板支持体に向かって方向付けられた処理ガスの特性と、の少なくとも一方に基づいて、処理中に前記基板上に再蒸着されたエッチング副生成物材料の前記基板にわたる分布を計算する工程と、
    前記計算された分布に応答して、(i)前記基板に対する前記エッジリングの位置を選択的に調節し、(ii)前記処理ガスの流量を選択的に調節するために、制御信号を生成する工程と、
    を含む、方法。
  13. 請求項12に記載の方法であって、さらに、
    前記エッチング副生成物材料の時間平均化分布を示すデータに基づいて、前記分布を計算する工程を含む、方法。
  14. 請求項12に記載の方法であって、さらに、
    前記基板上に再蒸着された前記エッチング副生成物材料の分布を前記エッジリングの位置と前記処理ガスの流量の少なくとも一方と関連付けるデータに基づいて、前記分布を計算する工程を含む、方法。
  15. 請求項12に記載の方法であって、
    前記処理ガスは、第1処理ガスおよび第2処理ガスを含み、
    前記方法は、さらに、
    (i)前記基板支持体の内側部分に向かって前記第1処理ガスを方向付ける工程と、
    (ii)前記エッジリングを通して前記基板支持体に向かって前記第2処理ガスを方向付ける工程と、
    を含む、方法。
  16. 請求項15に記載の方法であって、さらに、
    (i)前記第1処理ガスによって引き起こされた前記基板上に蒸着されたエッチング副生成物材料と、(ii)前記第2処理ガスによって引き起こされた前記基板上に蒸着されたエッチング副生成物材料と、の平均を用いて、前記分布を計算する工程を含む、方法。
  17. 請求項16に記載の方法であって、さらに、
    前記計算された分布に基づいて、
    (i)第1所定期間中に、前記エッジリングの位置を第1位置に調節し、前記第1処理ガスの流量を第1流量に調節し、前記第2処理ガスの流量を第2流量に調節する工程と、
    (ii)前記第1所定期間の後、第2所定期間中に、前記エッジリングの位置を第2位置に調節し、前記第1処理ガスの流量を第3流量に調節し、前記第2処理ガスの流量を第4流量に調節する工程と、
    を含む、方法。
  18. 請求項12に記載の方法であって、
    前記処理ガスは、第1処理ガスおよび第2処理ガスを含み、
    前記方法は、さらに、
    ガス分配装置を用いて基板支持体の内側部分に向かって前記第1処理ガスを方向付ける工程と、
    前記エッジリングを通して前記内側部分に向かって前記第2処理ガスを方向付ける工程と、
    を含む、方法。
  19. 基板処理システムのためのコントローラであって、
    基板の処理中に前記基板上に再蒸着されたエッチング副生成物材料の分布を、(i)基板支持体のエッジリングの位置と、(ii)前記基板支持体に向かって方向付けられた処理ガスの特性と、の少なくとも一方に関連付けるデータを格納するメモリと、
    プロセッサであって、前記基板の処理中に、
    前記格納されたデータを用いて、処理中に前記基板上に再蒸着された前記エッチング副生成物材料の前記基板にわたる分布を計算し、
    前記計算された分布に応答して、(i)前記基板に対する前記エッジリングの位置を選択的に調節することをアクチュエータに行わせ、(ii)前記処理ガスの流量を選択的に調節することをガス供給システムに行わせるために、制御信号を生成するように構成されたプロセッサと、
    を備える、コントローラ。
  20. 請求項19に記載のコントローラであって、
    前記データは、前記エッチング副生成物材料の時間平均化分布を含む、コントローラ。
JP2022066801A 2016-08-19 2022-04-14 移動可能エッジリングおよびガス注入調節によるウエハ上cd均一性の制御 Active JP7454600B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/241,393 2016-08-19
US15/241,393 US10410832B2 (en) 2016-08-19 2016-08-19 Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
JP2017154893A JP7060344B2 (ja) 2016-08-19 2017-08-10 移動可能エッジリングおよびガス注入調節によるウエハ上cd均一性の制御

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2017154893A Division JP7060344B2 (ja) 2016-08-19 2017-08-10 移動可能エッジリングおよびガス注入調節によるウエハ上cd均一性の制御

Publications (2)

Publication Number Publication Date
JP2022095896A JP2022095896A (ja) 2022-06-28
JP7454600B2 true JP7454600B2 (ja) 2024-03-22

Family

ID=61192073

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2017154893A Active JP7060344B2 (ja) 2016-08-19 2017-08-10 移動可能エッジリングおよびガス注入調節によるウエハ上cd均一性の制御
JP2022066801A Active JP7454600B2 (ja) 2016-08-19 2022-04-14 移動可能エッジリングおよびガス注入調節によるウエハ上cd均一性の制御

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2017154893A Active JP7060344B2 (ja) 2016-08-19 2017-08-10 移動可能エッジリングおよびガス注入調節によるウエハ上cd均一性の制御

Country Status (5)

Country Link
US (2) US10410832B2 (ja)
JP (2) JP7060344B2 (ja)
KR (2) KR102383779B1 (ja)
CN (1) CN107768275B (ja)
TW (1) TW201817899A (ja)

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10658222B2 (en) 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10304668B2 (en) * 2016-05-24 2019-05-28 Tokyo Electron Limited Localized process control using a plasma system
US10410832B2 (en) * 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
KR102443036B1 (ko) * 2018-01-15 2022-09-14 삼성전자주식회사 플라즈마 처리 장치
CN110246737B (zh) * 2018-03-08 2021-07-06 长鑫存储技术有限公司 一种半导体晶圆结构的刻蚀方法
US11078570B2 (en) * 2018-06-29 2021-08-03 Lam Research Corporation Azimuthal critical dimension non-uniformity for double patterning process
KR102433436B1 (ko) 2018-07-04 2022-08-17 삼성전자주식회사 기판 처리 시스템, 기판 처리 시스템에서의 에지 링 정렬 검사 방법 및 이를 수행하기 위한 원반형 비젼 센서
CN110767568B (zh) * 2018-07-26 2022-05-27 北京北方华创微电子装备有限公司 压力调节组件、下电极装置、工艺腔室和半导体处理设备
KR20210111872A (ko) * 2018-08-13 2021-09-13 램 리써치 코포레이션 에지 링 포지셔닝 및 센터링 피처들을 포함하는 플라즈마 시스 튜닝을 위한 교체가능한 에지 링 어셈블리 및/또는 접을 수 있는 에지 링 어셈블리
US11488808B2 (en) * 2018-11-30 2022-11-01 Tokyo Electron Limited Plasma processing apparatus, calculation method, and calculation program
KR102335472B1 (ko) * 2019-09-04 2021-12-07 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
CN112701027A (zh) * 2019-10-22 2021-04-23 夏泰鑫半导体(青岛)有限公司 等离子体处理装置及边缘环的更换方法
CN110867365B (zh) * 2019-11-04 2022-05-27 北京北方华创微电子装备有限公司 等离子体***
WO2021202080A1 (en) * 2020-04-02 2021-10-07 Lam Research Corporation Edge ring for localized delivery of tuning gas
JP2023550357A (ja) * 2020-11-23 2023-12-01 ラム リサーチ コーポレーション パージリングを介した局所的なプラズマアークの防止
CN114551204A (zh) * 2020-11-25 2022-05-27 中国科学院微电子研究所 一种用于控制晶片边缘关键尺寸的***及方法
US20220328342A1 (en) * 2021-04-08 2022-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma control method in semiconductor wafer fabrication
US11823939B2 (en) 2021-09-21 2023-11-21 Applied Materials, Inc. Apparatus and methods for processing chamber lid concentricity alignment
US11769648B2 (en) 2021-10-28 2023-09-26 Applied Materials, Inc. Ion source gas injection beam shaping

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001230239A (ja) 2000-02-15 2001-08-24 Tokyo Electron Ltd 処理装置及び処理方法
JP2001257098A (ja) 2000-03-13 2001-09-21 Mitsubishi Heavy Ind Ltd 放電電極への給電方法、高周波プラズマ生成方法および半導体製造方法
JP2002217171A (ja) 2001-01-17 2002-08-02 Sony Corp エッチング装置
JP2008141147A (ja) 2006-11-09 2008-06-19 Hitachi High-Technologies Corp プラズマエッチング装置およびプラズマエッチング方法
JP2009065153A (ja) 2007-09-05 2009-03-26 Applied Materials Inc プラズマリアクタチャンバにおいてウェハ縁端部でガスを注入するカソードライナ
JP2009152269A (ja) 2007-12-19 2009-07-09 Sony Corp ドライエッチング装置および半導体装置の製造方法
JP2016146472A (ja) 2015-01-16 2016-08-12 ラム リサーチ コーポレーションLam Research Corporation 半導体ウエハ処理中におけるエッジ処理制御のための可動式エッジ連結リング

Family Cites Families (230)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL8004005A (nl) 1980-07-11 1982-02-01 Philips Nv Werkwijze voor het vervaardigen van een halfgeleiderinrichting.
US4431477A (en) 1983-07-05 1984-02-14 Matheson Gas Products, Inc. Plasma etching with nitrous oxide and fluoro compound gas mixture
US4793897A (en) 1987-03-20 1988-12-27 Applied Materials, Inc. Selective thin film etch process
EP0424299A3 (en) 1989-10-20 1991-08-28 International Business Machines Corporation Selective silicon nitride plasma etching
US6165311A (en) 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6024826A (en) 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US5329965A (en) 1993-07-30 1994-07-19 The Perkin-Elmer Corporation Hybrid valving system for varying fluid flow rate
JP2638443B2 (ja) * 1993-08-31 1997-08-06 日本電気株式会社 ドライエッチング方法およびドライエッチング装置
US5762714A (en) 1994-10-18 1998-06-09 Applied Materials, Inc. Plasma guard for chamber equipped with electrostatic chuck
US5605179A (en) 1995-03-17 1997-02-25 Insync Systems, Inc. Integrated gas panel
US5907221A (en) 1995-08-16 1999-05-25 Applied Materials, Inc. Inductively coupled plasma reactor with an inductive coil antenna having independent loops
JP2713276B2 (ja) 1995-12-07 1998-02-16 日本電気株式会社 半導体装置の製造装置およびこれを用いた半導体装置の製造方法
US5662143A (en) 1996-05-16 1997-09-02 Gasonics International Modular gas box system
US5744695A (en) 1997-01-10 1998-04-28 Sony Corporation Apparatus to check calibration of mass flow controllers
US6210593B1 (en) * 1997-02-06 2001-04-03 Matsushita Electric Industrial Co., Ltd. Etching method and etching apparatus
JP3247079B2 (ja) * 1997-02-06 2002-01-15 松下電器産業株式会社 エッチング方法及びエッチング装置
US6376386B1 (en) 1997-02-25 2002-04-23 Fujitsu Limited Method of etching silicon nitride by a mixture of CH2 F2, CH3F or CHF3 and an inert gas
US6388226B1 (en) 1997-06-26 2002-05-14 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6042687A (en) 1997-06-30 2000-03-28 Lam Research Corporation Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
JP3586075B2 (ja) 1997-08-15 2004-11-10 忠弘 大見 圧力式流量制御装置
US6074959A (en) 1997-09-19 2000-06-13 Applied Materials, Inc. Method manifesting a wide process window and using hexafluoropropane or other hydrofluoropropanes to selectively etch oxide
US6060400A (en) 1998-03-26 2000-05-09 The Research Foundation Of State University Of New York Highly selective chemical dry etching of silicon nitride over silicon and silicon dioxide
JP2000058512A (ja) 1998-08-03 2000-02-25 Matsushita Electric Ind Co Ltd プラズマ処理装置および処理方法
JP3830670B2 (ja) 1998-09-03 2006-10-04 三菱電機株式会社 半導体製造装置
US6022809A (en) 1998-12-03 2000-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Composite shadow ring for an etch chamber and method of using
US6579805B1 (en) 1999-01-05 2003-06-17 Ronal Systems Corp. In situ chemical generator and method
US7150994B2 (en) 1999-03-03 2006-12-19 Symyx Technologies, Inc. Parallel flow process optimization reactor
US6797189B2 (en) 1999-03-25 2004-09-28 Hoiman (Raymond) Hung Enhancement of silicon oxide etch rate and nitride selectivity using hexafluorobutadiene or other heavy perfluorocarbon
EP2028577A2 (en) 1999-04-16 2009-02-25 Fujikin Incorporated Parallel bypass type fluid feeding device, and method and device for controlling fluid variable type pressure system flow rate used for the device
US6210482B1 (en) 1999-04-22 2001-04-03 Fujikin Incorporated Apparatus for feeding gases for use in semiconductor manufacturing
US6709547B1 (en) * 1999-06-30 2004-03-23 Lam Research Corporation Moveable barrier for multiple etch processes
JP2001023955A (ja) 1999-07-07 2001-01-26 Mitsubishi Electric Corp プラズマ処理装置
WO2001012873A1 (en) 1999-08-17 2001-02-22 Tokyo Electron Limited Pulsed plasma processing method and apparatus
US6206976B1 (en) 1999-08-27 2001-03-27 Lucent Technologies Inc. Deposition apparatus and related method with controllable edge exclusion
JP4394778B2 (ja) 1999-09-22 2010-01-06 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US6589352B1 (en) 1999-12-10 2003-07-08 Applied Materials, Inc. Self aligning non contact shadow ring process kit
WO2001066245A2 (en) 2000-03-07 2001-09-13 Symyx Technologies, Inc. Parallel flow process optimization reactor
TW506234B (en) 2000-09-18 2002-10-11 Tokyo Electron Ltd Tunable focus ring for plasma processing
US6492774B1 (en) 2000-10-04 2002-12-10 Lam Research Corporation Wafer area pressure control for plasma confinement
JP2002110570A (ja) 2000-10-04 2002-04-12 Asm Japan Kk 半導体製造装置用ガスラインシステム
US6333272B1 (en) 2000-10-06 2001-12-25 Lam Research Corporation Gas distribution apparatus for semiconductor processing
JP3388228B2 (ja) 2000-12-07 2003-03-17 株式会社半導体先端テクノロジーズ プラズマエッチング装置、及びプラズマエッチング方法
US6962879B2 (en) 2001-03-30 2005-11-08 Lam Research Corporation Method of plasma etching silicon nitride
DE10216703A1 (de) 2001-04-20 2002-11-28 Festo Corp Hauppauge Stapelbare Ventilverteileranordnung
CN100403198C (zh) 2001-05-24 2008-07-16 迅捷公司 流体流量控制器和定比率控制流体流量的方法和装置
US20030070620A1 (en) 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
AU2002366921A1 (en) * 2001-12-13 2003-07-09 Tokyo Electron Limited Ring mechanism, and plasma processing device using the ring mechanism
US6744212B2 (en) 2002-02-14 2004-06-01 Lam Research Corporation Plasma processing apparatus and method for confining an RF plasma under very high gas flow and RF power density conditions
US6814813B2 (en) 2002-04-24 2004-11-09 Micron Technology, Inc. Chemical vapor deposition apparatus
JP3856730B2 (ja) 2002-06-03 2006-12-13 東京エレクトロン株式会社 流量制御装置を備えたガス供給設備からのチャンバーへのガス分流供給方法。
US20040040664A1 (en) 2002-06-03 2004-03-04 Yang Jang Gyoo Cathode pedestal for a plasma etch reactor
US6810308B2 (en) 2002-06-24 2004-10-26 Mks Instruments, Inc. Apparatus and method for mass flow controller with network access to diagnostics
US7136767B2 (en) 2002-06-24 2006-11-14 Mks Instruments, Inc. Apparatus and method for calibration of mass flow controller
US7552015B2 (en) 2002-06-24 2009-06-23 Mks Instruments, Inc. Apparatus and method for displaying mass flow controller pressure
US6841943B2 (en) 2002-06-27 2005-01-11 Lam Research Corp. Plasma processor with electrode simultaneously responsive to plural frequencies
US6896765B2 (en) 2002-09-18 2005-05-24 Lam Research Corporation Method and apparatus for the compensation of edge ring wear in a plasma processing chamber
JP4502590B2 (ja) 2002-11-15 2010-07-14 株式会社ルネサステクノロジ 半導体製造装置
US7311784B2 (en) 2002-11-26 2007-12-25 Tokyo Electron Limited Plasma processing device
KR20040050080A (ko) 2002-12-09 2004-06-16 주식회사 하이닉스반도체 플라즈마 식각 챔버용 포커스 링 구동 장치
US20040112540A1 (en) 2002-12-13 2004-06-17 Lam Research Corporation Uniform etch system
US7169231B2 (en) 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
US6898558B2 (en) 2002-12-31 2005-05-24 Tokyo Electron Limited Method and apparatus for monitoring a material processing system
US20040163601A1 (en) * 2003-02-26 2004-08-26 Masanori Kadotani Plasma processing apparatus
US20040168719A1 (en) 2003-02-28 2004-09-02 Masahiro Nambu System for dividing gas flow
US6907904B2 (en) 2003-03-03 2005-06-21 Redwood Microsystems, Inc. Fluid delivery system and mounting panel therefor
KR100739890B1 (ko) 2003-05-02 2007-07-13 동경 엘렉트론 주식회사 처리가스도입기구 및 플라즈마 처리장치
JP4500510B2 (ja) * 2003-06-05 2010-07-14 東京エレクトロン株式会社 エッチング量検出方法,エッチング方法,およびエッチング装置
CN100454200C (zh) 2003-06-09 2009-01-21 喜开理株式会社 相对压力控制***和相对流量控制***
JP4195837B2 (ja) 2003-06-20 2008-12-17 東京エレクトロン株式会社 ガス分流供給装置及びガス分流供給方法
US7137400B2 (en) 2003-09-30 2006-11-21 Agere Systems Inc. Bypass loop gas flow calibration
JP4399227B2 (ja) 2003-10-06 2010-01-13 株式会社フジキン チャンバの内圧制御装置及び内圧被制御式チャンバ
US7129171B2 (en) 2003-10-14 2006-10-31 Lam Research Corporation Selective oxygen-free etching process for barrier materials
US7128806B2 (en) 2003-10-21 2006-10-31 Applied Materials, Inc. Mask etch processing apparatus
JP2006128559A (ja) * 2004-11-01 2006-05-18 Tokyo Electron Ltd 基板処理システム
US20050155625A1 (en) 2004-01-20 2005-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Chamber cleaning method
US7095179B2 (en) 2004-02-22 2006-08-22 Zond, Inc. Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
US7072743B2 (en) 2004-03-09 2006-07-04 Mks Instruments, Inc. Semiconductor manufacturing gas flow divider system and method
US7708859B2 (en) 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US20070066038A1 (en) 2004-04-30 2007-03-22 Lam Research Corporation Fast gas switching plasma processing apparatus
JP4407384B2 (ja) * 2004-05-28 2010-02-03 株式会社Sumco Soi基板の製造方法
US7412986B2 (en) 2004-07-09 2008-08-19 Celerity, Inc. Method and system for flow measurement and validation of a mass flow controller
US7338907B2 (en) 2004-10-04 2008-03-04 Sharp Laboratories Of America, Inc. Selective etching processes of silicon nitride and indium oxide thin films for FeRAM device applications
US20060124169A1 (en) 2004-12-09 2006-06-15 Tokyo Electron Limited Gas supply unit, substrate processing apparatus, and supply gas setting method
US7621290B2 (en) 2005-04-21 2009-11-24 Mks Instruments, Inc. Gas delivery method and system including a flow ratio controller using antisymmetric optimal control
US7288482B2 (en) 2005-05-04 2007-10-30 International Business Machines Corporation Silicon nitride etching methods
JP2006344701A (ja) 2005-06-08 2006-12-21 Matsushita Electric Ind Co Ltd エッチング装置およびエッチング方法
US20070021935A1 (en) 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
US7291560B2 (en) 2005-08-01 2007-11-06 Infineon Technologies Ag Method of production pitch fractionizations in semiconductor technology
US8088248B2 (en) 2006-01-11 2012-01-03 Lam Research Corporation Gas switching section including valves having different flow coefficients for gas distribution system
KR20070078346A (ko) * 2006-01-26 2007-07-31 삼성전자주식회사 나이프 에지 링을 갖는 웨이퍼 지지장치
JP4895167B2 (ja) 2006-01-31 2012-03-14 東京エレクトロン株式会社 ガス供給装置,基板処理装置,ガス供給方法
US8097120B2 (en) 2006-02-21 2012-01-17 Lam Research Corporation Process tuning gas injection from the substrate edge
JP4909609B2 (ja) 2006-03-01 2012-04-04 株式会社東芝 加工形状シミュレーション方法、半導体装置の製造方法及び加工形状シミュレーションシステム
US7578258B2 (en) 2006-03-03 2009-08-25 Lam Research Corporation Methods and apparatus for selective pre-coating of a plasma processing chamber
JP4788920B2 (ja) 2006-03-20 2011-10-05 日立金属株式会社 質量流量制御装置、その検定方法及び半導体製造装置
US7398693B2 (en) * 2006-03-30 2008-07-15 Applied Materials, Inc. Adaptive control method for rapid thermal processing of a substrate
US7674337B2 (en) 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US8997791B2 (en) 2006-04-14 2015-04-07 Mks Instruments, Inc. Multiple-channel flow ratio controller
JP4814706B2 (ja) 2006-06-27 2011-11-16 株式会社フジキン 流量比可変型流体供給装置
JP5037510B2 (ja) 2006-08-23 2012-09-26 株式会社堀場エステック 集積型ガスパネル装置
KR20080023569A (ko) 2006-09-11 2008-03-14 주식회사 하이닉스반도체 식각프로파일 변형을 방지하는 플라즈마식각장치
US7757541B1 (en) 2006-09-13 2010-07-20 Pivotal Systems Corporation Techniques for calibration of gas flows
US7309646B1 (en) 2006-10-10 2007-12-18 Lam Research Corporation De-fluoridation process
WO2008052168A2 (en) 2006-10-26 2008-05-02 Symyx Technologies, Inc. High pressure parallel fixed bed reactor and method
US9405298B2 (en) 2006-11-20 2016-08-02 Applied Materials, Inc. System and method to divide fluid flow in a predetermined ratio
US7758698B2 (en) 2006-11-28 2010-07-20 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
JP5002602B2 (ja) 2006-12-05 2012-08-15 株式会社堀場エステック 流量制御装置の検定方法
JP4642115B2 (ja) 2006-12-12 2011-03-02 株式会社堀場エステック 流量比率制御装置
SE530902C2 (sv) 2006-12-19 2008-10-14 Alfa Laval Corp Ab Sektionerad flödesanordning och förfarande för att reglera temperaturen i denna
US7968469B2 (en) 2007-01-30 2011-06-28 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with variable height ground return path to control plasma ion density uniformity
US7846497B2 (en) 2007-02-26 2010-12-07 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US8074677B2 (en) 2007-02-26 2011-12-13 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US7775236B2 (en) 2007-02-26 2010-08-17 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US7988813B2 (en) * 2007-03-12 2011-08-02 Tokyo Electron Limited Dynamic control of process chemistry for improved within-substrate process uniformity
JP5317424B2 (ja) 2007-03-28 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置
US8202393B2 (en) 2007-08-29 2012-06-19 Lam Research Corporation Alternate gas delivery and evacuation system for plasma processing apparatuses
US7832354B2 (en) * 2007-09-05 2010-11-16 Applied Materials, Inc. Cathode liner with wafer edge gas injection in a plasma reactor chamber
US8440259B2 (en) 2007-09-05 2013-05-14 Intermolecular, Inc. Vapor based combinatorial processing
US7824146B2 (en) 2007-09-07 2010-11-02 Advanced Technology Development Facility Automated systems and methods for adapting semiconductor fabrication tools to process wafers of different diameters
JP5459895B2 (ja) 2007-10-15 2014-04-02 Ckd株式会社 ガス分流供給ユニット
US20100264117A1 (en) 2007-10-31 2010-10-21 Tohoku University Plasma processing system and plasma processing method
US8999106B2 (en) 2007-12-19 2015-04-07 Applied Materials, Inc. Apparatus and method for controlling edge performance in an inductively coupled plasma chamber
US8137463B2 (en) 2007-12-19 2012-03-20 Applied Materials, Inc. Dual zone gas injection nozzle
US8037894B1 (en) 2007-12-27 2011-10-18 Intermolecular, Inc. Maintaining flow rate of a fluid
JP4585035B2 (ja) 2007-12-27 2010-11-24 株式会社堀場エステック 流量比率制御装置
CN101978132B (zh) 2008-01-18 2015-04-29 关键***公司 对气体流动控制器进行现场测试的方法和设备
JP2009188173A (ja) 2008-02-06 2009-08-20 Tokyo Electron Ltd 基板処理方法及び基板処理装置
JP5759177B2 (ja) 2008-02-08 2015-08-05 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理装置、半導体基板を処理する方法、および軸直角変位ベローズユニット
US8969151B2 (en) 2008-02-29 2015-03-03 Globalfoundries Singapore Pte. Ltd. Integrated circuit system employing resistance altering techniques
US8110068B2 (en) 2008-03-20 2012-02-07 Novellus Systems, Inc. Gas flow distribution receptacles, plasma generator systems, and methods for performing plasma stripping processes
CN102446739B (zh) 2008-03-21 2016-01-20 应用材料公司 基材蚀刻***与制程的方法及设备
JP2010034416A (ja) 2008-07-30 2010-02-12 Hitachi High-Technologies Corp プラズマ処理装置およびプラズマ処理方法
AU2009281170B2 (en) 2008-08-13 2013-01-31 Shell Internationale Research Maatschappij B.V. Method for controlling a gas flow between a plurality of gas streams
US8089046B2 (en) 2008-09-19 2012-01-03 Applied Materials, Inc. Method and apparatus for calibrating mass flow controllers
CN101754565B (zh) * 2008-12-03 2012-07-25 北京北方微电子基地设备工艺研究中心有限责任公司 一种电极组件及应用该电极组件的等离子体处理设备
US8809196B2 (en) 2009-01-14 2014-08-19 Tokyo Electron Limited Method of etching a thin film using pressure modulation
JP5216632B2 (ja) 2009-03-03 2013-06-19 東京エレクトロン株式会社 流体制御装置
US8409995B2 (en) 2009-08-07 2013-04-02 Tokyo Electron Limited Substrate processing apparatus, positioning method and focus ring installation method
WO2011021539A1 (ja) 2009-08-20 2011-02-24 東京エレクトロン株式会社 プラズマ処理装置とプラズマ処理方法
TW201123293A (en) 2009-10-26 2011-07-01 Solvay Fluor Gmbh Etching process for producing a TFT matrix
TWI569322B (zh) 2009-12-02 2017-02-01 史畢茲科技公司 高選擇性蝕刻系統與方法
US9127361B2 (en) 2009-12-07 2015-09-08 Mks Instruments, Inc. Methods of and apparatus for controlling pressure in multiple zones of a process tool
EP2518581B1 (en) 2009-12-25 2019-03-06 Horiba Stec, Co., Ltd. Mass flow controller system
US9324572B2 (en) 2010-03-04 2016-04-26 Tokyo Electron Limited Plasma etching method, method for producing semiconductor device, and plasma etching device
US20110265883A1 (en) 2010-04-30 2011-11-03 Applied Materials, Inc. Methods and apparatus for reducing flow splitting errors using orifice ratio conductance control
JP5584517B2 (ja) 2010-05-12 2014-09-03 東京エレクトロン株式会社 プラズマ処理装置及び半導体装置の製造方法
US8485128B2 (en) 2010-06-30 2013-07-16 Lam Research Corporation Movable ground ring for a plasma processing chamber
KR20130093597A (ko) 2010-08-02 2013-08-22 바젤 폴리올레핀 게엠베하 유체 흐름들을 혼합하고 분할하는 방법 및 장치
US8869742B2 (en) 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
JP2012049376A (ja) 2010-08-27 2012-03-08 Hitachi High-Technologies Corp プラズマ処理装置およびプラズマ処理方法
US8905074B2 (en) 2010-10-22 2014-12-09 Applied Materials, Inc. Apparatus for controlling gas distribution using orifice ratio conductance control
GB2486941C (en) 2010-12-08 2014-07-30 Oc Oerlikon Balters Ag Apparatus and method for depositing a layer onto asubstrate
US20120149213A1 (en) 2010-12-09 2012-06-14 Lakshminarayana Nittala Bottom up fill in high aspect ratio trenches
JP5855921B2 (ja) 2010-12-17 2016-02-09 株式会社堀場エステック ガス濃度調整装置
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
JP2012222235A (ja) 2011-04-12 2012-11-12 Hitachi High-Technologies Corp プラズマ処理装置
US9059678B2 (en) 2011-04-28 2015-06-16 Lam Research Corporation TCCT match circuit for plasma etch chambers
US8746284B2 (en) 2011-05-11 2014-06-10 Intermolecular, Inc. Apparatus and method for multiple symmetrical divisional gas distribution
WO2012166264A2 (en) 2011-05-31 2012-12-06 Applied Materials, Inc. Dynamic ion radical sieve and ion radical aperture for an inductively coupled plasma (icp) reactor
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
JP5377587B2 (ja) 2011-07-06 2013-12-25 東京エレクトロン株式会社 アンテナ、プラズマ処理装置及びプラズマ処理方法
JP5739261B2 (ja) 2011-07-28 2015-06-24 株式会社堀場エステック ガス供給システム
US8728239B2 (en) 2011-07-29 2014-05-20 Asm America, Inc. Methods and apparatus for a gas panel with constant gas flow
JP5948026B2 (ja) 2011-08-17 2016-07-06 東京エレクトロン株式会社 半導体製造装置及び処理方法
US20130045605A1 (en) 2011-08-18 2013-02-21 Applied Materials, Inc. Dry-etch for silicon-and-nitrogen-containing films
US8849466B2 (en) 2011-10-04 2014-09-30 Mks Instruments, Inc. Method of and apparatus for multiple channel flow ratio controller system
US20130104996A1 (en) 2011-10-26 2013-05-02 Applied Materials, Inc. Method for balancing gas flow supplying multiple cvd reactors
US8933628B2 (en) 2011-10-28 2015-01-13 Applied Materials, Inc. Inductively coupled plasma source with phase control
JP5932599B2 (ja) 2011-10-31 2016-06-08 株式会社日立ハイテクノロジーズ プラズマエッチング方法
US8671733B2 (en) 2011-12-13 2014-03-18 Intermolecular, Inc. Calibration procedure considering gas solubility
US8900469B2 (en) 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
WO2013123617A1 (en) 2012-02-22 2013-08-29 Agilent Technologies, Inc. Mass flow controllers and methods for auto-zeroing flow sensor without shutting off a mass flow controller
JP5881467B2 (ja) 2012-02-29 2016-03-09 株式会社フジキン ガス分流供給装置及びこれを用いたガス分流供給方法
US9301383B2 (en) 2012-03-30 2016-03-29 Tokyo Electron Limited Low electron temperature, edge-density enhanced, surface wave plasma (SWP) processing method and apparatus
KR101974420B1 (ko) 2012-06-08 2019-05-02 세메스 주식회사 기판처리장치 및 방법
KR101974422B1 (ko) 2012-06-27 2019-05-02 세메스 주식회사 기판처리장치 및 방법
US20140027060A1 (en) * 2012-07-27 2014-01-30 Applied Matericals, Inc Gas distribution apparatus for substrate processing systems
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
JP5616416B2 (ja) 2012-11-02 2014-10-29 株式会社フジキン 集積型ガス供給装置
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US20140144471A1 (en) 2012-11-28 2014-05-29 Intermolecular, Inc. Contamination Control, Rinsing, and Purging Methods to Extend the Life of Components within Combinatorial Processing Systems
US9090972B2 (en) 2012-12-31 2015-07-28 Lam Research Corporation Gas supply systems for substrate processing chambers and methods therefor
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
KR102152858B1 (ko) 2013-03-12 2020-09-07 어플라이드 머티어리얼스, 인코포레이티드 방위각 및 방사상 분배 제어되는 다중-구역 가스 주입 조립체
US20140273460A1 (en) 2013-03-13 2014-09-18 Applied Materials, Inc. Passive control for through silicon via tilt in icp chamber
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9425077B2 (en) 2013-03-15 2016-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor apparatus with transportable edge ring for substrate transport
KR20140132542A (ko) 2013-05-08 2014-11-18 주식회사 미코 세라믹 히터 및 이의 제조 방법
US20150010381A1 (en) 2013-07-08 2015-01-08 United Microelectronics Corp. Wafer processing chamber and method for transferring wafer in the same
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
CN104299929A (zh) 2013-07-19 2015-01-21 朗姆研究公司 用于原位晶片边缘和背侧等离子体清洁的***和方法
JP6193679B2 (ja) 2013-08-30 2017-09-06 株式会社フジキン ガス分流供給装置及びガス分流供給方法
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
CN103730318B (zh) 2013-11-15 2016-04-06 中微半导体设备(上海)有限公司 一种晶圆边缘保护环及减少晶圆边缘颗粒的方法
JP5950892B2 (ja) * 2013-11-29 2016-07-13 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
WO2015099892A1 (en) 2013-12-23 2015-07-02 Applied Materials, Inc. Extreme edge and skew control in icp plasma reactor
US20150184287A1 (en) 2013-12-26 2015-07-02 Intermolecular, Inc. Systems and Methods for Parallel Combinatorial Vapor Deposition Processing
CN104752141B (zh) 2013-12-31 2017-02-08 中微半导体设备(上海)有限公司 一种等离子体处理装置及其运行方法
CN104851832B (zh) 2014-02-18 2018-01-19 北京北方华创微电子装备有限公司 一种固定装置、反应腔室及等离子体加工设备
US9580360B2 (en) 2014-04-07 2017-02-28 Lam Research Corporation Monolithic ceramic component of gas delivery system and method of making and use thereof
JP6204869B2 (ja) 2014-04-09 2017-09-27 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US20150340209A1 (en) 2014-05-20 2015-11-26 Micron Technology, Inc. Focus ring replacement method for a plasma reactor, and associated systems and methods
US9318343B2 (en) 2014-06-11 2016-04-19 Tokyo Electron Limited Method to improve etch selectivity during silicon nitride spacer etch
CN105336561B (zh) 2014-07-18 2017-07-21 中微半导体设备(上海)有限公司 等离子体刻蚀装置
KR101598465B1 (ko) 2014-09-30 2016-03-02 세메스 주식회사 기판 처리 장치 및 방법
SG11201703129YA (en) 2014-10-17 2017-05-30 Lam Res Corp Gas supply delivery arrangement including a gas splitter for tunable gas flow control
US20160181116A1 (en) 2014-12-18 2016-06-23 Lam Research Corporation Selective nitride etch
US11605546B2 (en) * 2015-01-16 2023-03-14 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
TW201634738A (zh) 2015-01-22 2016-10-01 應用材料股份有限公司 用於在空間上分離之原子層沉積腔室的經改良注射器
US9911620B2 (en) 2015-02-23 2018-03-06 Lam Research Corporation Method for achieving ultra-high selectivity while etching silicon nitride
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US9837286B2 (en) 2015-09-04 2017-12-05 Lam Research Corporation Systems and methods for selectively etching tungsten in a downstream reactor
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
CN108369922B (zh) 2016-01-26 2023-03-21 应用材料公司 晶片边缘环升降解决方案
US9640409B1 (en) 2016-02-02 2017-05-02 Lam Research Corporation Self-limited planarization of hardmask
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
CN107093569B (zh) 2016-02-18 2019-07-05 北京北方华创微电子装备有限公司 一种晶片定位装置及反应腔室
US20170278679A1 (en) 2016-03-24 2017-09-28 Lam Research Corporation Method and apparatus for controlling process within wafer uniformity
US11011353B2 (en) 2016-03-29 2021-05-18 Lam Research Corporation Systems and methods for performing edge ring characterization
US10312121B2 (en) 2016-03-29 2019-06-04 Lam Research Corporation Systems and methods for aligning measurement device in substrate processing systems
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US10510516B2 (en) * 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Moving focus ring for plasma etcher
US10504738B2 (en) * 2017-05-31 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Focus ring for plasma etcher

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001230239A (ja) 2000-02-15 2001-08-24 Tokyo Electron Ltd 処理装置及び処理方法
JP2001257098A (ja) 2000-03-13 2001-09-21 Mitsubishi Heavy Ind Ltd 放電電極への給電方法、高周波プラズマ生成方法および半導体製造方法
JP2002217171A (ja) 2001-01-17 2002-08-02 Sony Corp エッチング装置
JP2008141147A (ja) 2006-11-09 2008-06-19 Hitachi High-Technologies Corp プラズマエッチング装置およびプラズマエッチング方法
JP2009065153A (ja) 2007-09-05 2009-03-26 Applied Materials Inc プラズマリアクタチャンバにおいてウェハ縁端部でガスを注入するカソードライナ
JP2009152269A (ja) 2007-12-19 2009-07-09 Sony Corp ドライエッチング装置および半導体装置の製造方法
JP2016146472A (ja) 2015-01-16 2016-08-12 ラム リサーチ コーポレーションLam Research Corporation 半導体ウエハ処理中におけるエッジ処理制御のための可動式エッジ連結リング

Also Published As

Publication number Publication date
KR102488729B1 (ko) 2023-01-13
KR20180020876A (ko) 2018-02-28
US11424103B2 (en) 2022-08-23
KR102383779B1 (ko) 2022-04-05
CN107768275B (zh) 2023-07-25
CN107768275A (zh) 2018-03-06
US20180053629A1 (en) 2018-02-22
JP2018032854A (ja) 2018-03-01
TW201817899A (zh) 2018-05-16
JP7060344B2 (ja) 2022-04-26
KR20220044474A (ko) 2022-04-08
US20190362940A1 (en) 2019-11-28
JP2022095896A (ja) 2022-06-28
US10410832B2 (en) 2019-09-10

Similar Documents

Publication Publication Date Title
JP7454600B2 (ja) 移動可能エッジリングおよびガス注入調節によるウエハ上cd均一性の制御
KR102088594B1 (ko) 정전 척들의 전극들의 파라미터들을 설정하고 조정하기 위한 임피던스들을 갖는 튜닝 회로들을 포함하는 rf 튜닝 시스템들
CN107452590B (zh) 用于在下游反应器中边缘蚀刻速率控制的可调侧气室
US10651015B2 (en) Variable depth edge ring for etch uniformity control
US11605546B2 (en) Moveable edge coupling ring for edge process control during semiconductor wafer processing
TWI783960B (zh) 具有改良的處理均勻性之基板支撐件
US20190244793A1 (en) Tapered upper electrode for uniformity control in plasma processing
US10381248B2 (en) Auto-correction of electrostatic chuck temperature non-uniformity
JP7323525B2 (ja) エッジリング摩耗補償のためのシステムおよび方法
KR20200142587A (ko) 프로세싱 챔버의 세정 프로세스 동안 에지 링 포커싱된 증착
JP7440488B2 (ja) 半導体基板処理におけるペデスタルへの蒸着の防止
US10725485B2 (en) System and method for calculating substrate support temperature
JP7454504B2 (ja) 基板処理中の基板温度の決定および制御
JP2023519306A (ja) レーザ透過型センサを用いたウエハの厚さおよびギャップのその場モニタリング
US20230369025A1 (en) High precision edge ring centering for substrate processing systems
EP4373992A1 (en) Showerhead temperature based deposition time compensation for thickness trending in pecvd deposition system
CN114008738A (zh) 用于衬底处理***的缩小直径承载环硬件

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220512

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220512

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230531

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230620

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20230913

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20231117

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20240213

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240311

R150 Certificate of patent or registration of utility model

Ref document number: 7454600

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150