KR20120117872A - 스위쳐블 중성빔 소스 - Google Patents

스위쳐블 중성빔 소스 Download PDF

Info

Publication number
KR20120117872A
KR20120117872A KR1020127021404A KR20127021404A KR20120117872A KR 20120117872 A KR20120117872 A KR 20120117872A KR 1020127021404 A KR1020127021404 A KR 1020127021404A KR 20127021404 A KR20127021404 A KR 20127021404A KR 20120117872 A KR20120117872 A KR 20120117872A
Authority
KR
South Korea
Prior art keywords
sqnb
plasma
switchable
procedure
during
Prior art date
Application number
KR1020127021404A
Other languages
English (en)
Other versions
KR101989629B1 (ko
Inventor
리 첸
메리트 펑크
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20120117872A publication Critical patent/KR20120117872A/ko
Application granted granted Critical
Publication of KR101989629B1 publication Critical patent/KR101989629B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2051Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source
    • G03F7/2059Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source using a scanning corpuscular radiation beam, e.g. an electron beam
    • G03F7/2065Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source using a scanning corpuscular radiation beam, e.g. an electron beam using corpuscular radiation other than electron beams
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Electromagnetism (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Particle Accelerators (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

본 발명은, 포토레지스트층의 에칭 내성을 향상시키기 위해 스위쳐블 준중성빔 시스템을 이용하여 실시간으로 기판을 처리하는 장치 및 방법을 제공할 수 있다. 또한, 게이트 및/또는 스페이서 임계 치수(CDs)를 더 정밀하게 제어하고, 게이트 및/또는 스페이서 CD 균일성을 제어하며, 선 에지 조도(LER) 및 선폭 조도(LWR)를 제거하기 위해, 개선된 포토레지스트층이 에칭 절차에서 이용될 수 있다.

Description

스위쳐블 중성빔 소스{SWITCHABLE NEUTRAL BEAM SOURCE}
본 발명은 기판 처리, 보다 자세히는 스위쳐블 중성빔 소스를 이용하는 기판 처리의 개선에 관한 것이다.
반도체 처리 중에, 반도체 기판 상에 패터닝된 미세 라인을 따라서 또는 비아(via)(또는 콘택트) 내에서 물질의 이방성 제거를 용이하게 함으로써 에칭 처리를 돕기 위해 플라즈마가 자주 이용된다. 또한, 반도체 기판 상의 흡착 원자(adatom)의 향상된 이동성을 제공함으로써 박막의 증착을 향상시키기 위해 플라즈마가 이용된다.
일단 플라즈마가 형성되면, 기판의 선택면이 플라즈마에 의해 에칭된다. 기판의 선택 영역에서 여러 가지 피쳐(feature)(예컨대, 트렌치(trench), 비아, 콘택트 등)를 에칭하기 위해 바람직한 반응물의 적정 농도 및 이온 밀도를 포함하는 적절한 조건을 성취하기 위해 이 처리가 조절된다. 에칭이 요구되는 이러한 기판 재료는 이산화규소(SiO2), 저유전율(low-k) 유전체, 폴리실리콘 및 질화 규소를 포함한다.
그러나, 플라즈마(즉, 대전된 입자들) 자체의 이용은 반도체 장치의 제조에서 문제를 발생시킨다. 장치가 더 작아지고 집적도가 증가될수록, 절연 및 격리 구조의 그 안에 가지는 항복 전압이, 많은 예에서, 보통 10V보다 훨씬 작게 현저히 감소되었다. 예컨대, 일부 집적 회로(IC) 장치 설계는 서브미크론 두께의 절연체를 필요로 한다.
동시에, 구조의 크기의 감소는 절연 또는 격리 구조의 커패시턴스 값을 감소시키고, 절연 또는 격리 구조를 파괴하기 위한 충분한 힘의 전계를 발생시키기 위해 비교적 더 적은 하전 입자가 필요하다. 따라서, 건식 플라즈마 에칭 처리와 같은 제조 처리 중에 그것에 영향을 미치는 입자에 의해 운반되는 전하에 대한 반도체 구조의 허용 오차(tolerance)가 상당히 한정되었고, 제조 중에 이러한 전하를 소멸시키는 구조가 때때로 필요하여, 보통 반도체 장치의 설계를 복잡하게 한다.
이 문제는 중성 하전 입자로 처리를 수행함으로써 방지될 수 있지만, 이온 또는 전자의 전하가, 이들 입자의 운동이 효과적으로 조종되고 안내(guide)될 수 있는 유일한 특성이다. 따라서, 이온은 그 궤적이 성립될 수 있을 때까지 하전 상태에 남아 있어야 하고, 이온의 에너지는 전자에 의해 중화될 때에 그 궤적이 변화되지 않을 정도로 충분해야 한다. 그 후에도, 궤적이 변경될 수도 있고, 중성빔의 플럭스는, 중화되었거나 되지 않았을 수도 있고, 정확히 평행이 아닌 궤적을 가질 수도 있는 다른 입자와의 충돌에 의해 심하게 감소될 수 있다.
이러한 필요 때문에, 몇 전자 볼트만큼 낮거나 수만 전자 볼트 이상으로 클 수도 있는 임의의 에너지의 중성 하전 입자의 빔을 생성하도록 중성빔 소스가 개발되어 있다.
본 발명은, 마스킹층 경화(curing), 건조, 수축, 보정, 및/또는 하드닝 절차(hardening procedure), 에칭 절차, 애싱 절차, 세정 절차, 증착 절차를 포함할 수 있는 기판 처리 절차에 이용될 수 있는 스위쳐블 준중성빔(Switchable Quasi-Neutral Beam; SQNB) 소스에 관한 것이다. 일부 실시예에서, SQNB 소스는, 패터닝된 기판 상의 마스킹층을 경화 및/또는 하드닝하기 위해서나, 패터닝된 기판에 대한 이후의 에칭 절차에서 경화 및/또는 하드닝된 마스킹층을 이용하기 위해 이용될 수 있다.
본 발명은, 경화, 건조, 수축, 보정, 및/또는 공간 전하 중화 중성빔 활성 처리로 기판 상의 패터닝된 마스킹층을 하드닝하고, 경화, 건조, 수축, 보정, 및/또는 하드닝된 패터닝된 마스킹층을 이용하여 기판을 에칭하기 위한 SQNB 시스템 및 방법에 관한 것이다. SQNB 시스템은, 1개 이상의 상이한 상부 플라즈마 전위에서 1개 이상의 상이한 상부 플라즈마를 형성하는 상부 플라즈마 챔버, 및 상부 플라즈마 전위보다 클 수 있는 1개 이상의 상이한 SQNB 플라즈마 전위에서 1개 이상의 상이한 SQNB 처리 플라즈마를 형성하는 스위쳐블 준중성빔(SQNB) 처리 챔버를 포함할 수 있고, 상부 플라즈마로부터의 전자속을 이용하여 SQNB 처리 플라즈마가 형성된다. 또한, SQNB 시스템은, 기판을 SQNB 처리 챔버에 위치시키고, 제1 SQNB 절차 중에 제1 기판 바이어싱 구성을 제공하며, 제2 SQNB 절차 중에 제2 기판 바이어싱 구성을 제공하도록 구성된 스위쳐블 기판 홀더를 포함한다.
SQNB 시스템은, 제1 SQNB 절차 중에 제1 준중성빔을 발생시키고, 제2 SQNB 절차 중에 제2 준중성빔을 발생시키도록 구성될 수 있다. SQNB 시스템은, 중성빔의 제1 세트와 제1 처리 가스를 이용하여 제1 SQNB 절차 중에 SQNB 처리 챔버에서 제1 SQNB 플라즈마를 생성하고, 중성빔의 제2 세트와 제2 처리 가스를 이용하여 제2 SQNB 절차 중에 SQNB 처리 챔버에서 제2 SQNB 플라즈마를 생성하도록 구성될 수 있다.
본 발명은, 제1 유속으로 제1 처리 가스를 수용하도록 구성되는 상부 플라즈마 영역을 포함하는 플라즈마 생성 챔버; 플라즈마 생성 챔버에 연결되고, 제1 처리 가스를 상부 플라즈마 영역으로 도입하도록 구성되는 제1 가스 주입 시스템; 플라즈마 생성 챔버에 연결되고, 제1 처리 가스로부터 상부 플라즈마 영역에서 상부 플라즈마 전위로 상부 플라즈마를 생성하도록 구성되는 플라즈마 생성 시스템; 상부 플라즈마 영역의 하류에 배치되는 스위쳐블 플라즈마 영역을 포함하고, 제2 유속으로 상부 플라즈마 영역으로부터 1개 이상의 상부 플라즈마 종(species)을 수용하도록 구성되는 스위쳐블 준중성빔(SQNB) 처리 챔버; 상부 플라즈마 영역과 스위쳐블 플라즈마 영역 사이에 배치되는 분리 부재로서, 상부 플라즈마 영역으로부터 스위쳐블 플라즈마 영역으로의 전자속이, SQNB 처리 챔버에서 스위쳐블 플라즈마 전위로, 스위쳐블 플라즈마를 형성하게 하도록 구성되는 1개 이상의 개구부를 포함하는 분리 부재; SQNB 처리 챔버에 연결되고, 전자속을 제어하기 위해 스위쳐블 플라즈마 전위를 상부 플라즈마 전위 위로 상승시키도록 구성되는 하부 바이어스 전극; SQNB 처리 챔버에 연결되고, 스위쳐블 플라즈마 영역에 인접한 기판을 지지하도록 구성되는 스위쳐블 기판 홀더; 제1 SQNB 절차 중에 제1 위치에 있고, 제2 SQNB 절차 중에 제2 위치에 있도록 구성되는 다위치 스위치에 연결되는 스위쳐블 기판 홀더; 및 SQNB 처리 챔버에 연결되는 진공 펌핑 시스템을 포함하는 SQNB 시스템을 포함할 수 있다. 예컨대, 진공 펌핑 시스템은, 제1 SQNB 절차 중에 SQNB 처리 챔버 내의 스위쳐블 플라즈마 영역을 제1 압력으로 펌핑하도록 구성될 수 있고, 제2 SQNB 절차 중에 SQNB 처리 챔버 내의 스위쳐블 플라즈마 영역을 제2 압력으로 펌핑하도록 구성될 수 있다.
본 발명은, 제1 SQNB 절차 중에 플라즈마 생성 챔버 내의 상부 플라즈마 영역에서 제1 상부 플라즈마 전위로 제1 상부 플라즈마를 생성하도록 구성되고, 또한 제2 SQNB 절차 중에 플라즈마 생성 챔버 내의 상부 플라즈마 영역에서 제2 상부 플라즈마 전위로 제2 상부 플라즈마를 생성하도록 구성되는 플라즈마 생성 챔버 및 플라즈마 생성 시스템; 플라즈마 생성 챔버는, 제1 SQNB 절차 중에 제1 유속으로 제1 플라즈마 생성 가스를 수용하도록 구성되고, 또한 제2 SQNB 절차 중에 제2 유속으로 제2 플라즈마 생성 가스를 수용하도록 구성되며; 상부 플라즈마 영역의 하류에 배치되는 스위쳐블 플라즈마 영역을 포함하고, 제1 SQNB 절차 중에 상부 플라즈마 영역으로부터 1개 이상의 상부 플라즈마 종을 수용하도록 구성되며, 또한 제2 SQNB 절차 중에 상부 플라즈마 영역으로부터 1개 이상의 제2 플라즈마 종을 수용하도록 구성되는 SQNB 처리 챔버; 제1 SQNB 절차 중에 제1 플라즈마 생성 가스를 상부 플라즈마 영역으로 도입하도록 구성되고, 또한 제2 SQNB 절차 중에 제2 플라즈마 생성 가스를 상부 플라즈마 영역으로 도입하도록 구성되는 플라즈마 생성 챔버에 연결되는 제1 가스 주입 시스템; 상부 플라즈마 영역과 스위쳐블 플라즈마 영역 사이에 배치되는 분리 부재로서, 상부 플라즈마 영역으로부터 스위쳐블 플라즈마 영역으로의 제1 전자속이, 제1 스위쳐블 플라즈마 전위로 제1 스위쳐블 플라즈마를 형성하게 하도록 구성되고, 상부 플라즈마 영역으로부터 스위쳐블 플라즈마 영역으로의 제2 전자속이, 제2 스위쳐블 플라즈마 전위로 제2 스위쳐블 플라즈마를 형성하게 하도록 구성되는 1개 이상의 "빔 생성" 개구부를 포함하는 분리 부재; 제1 SQNB 절차 중에 복수의 빔에서의 제1 전자속을 제어하기 위해 제1 스위쳐블 플라즈마 전위를 제1 상부 플라즈마 전위 위로 상승시키도록 구성되고, 또한 제2 SQNB 절차 중에 복수의 빔에서의 제2 전자속을 제어하기 위해 제2 스위쳐블 플라즈마 전위를 제2 상부 플라즈마 전위 위로 상승시키도록 구성되는 SQNB 처리 챔버에 연결되는 하부 바이어스 전극; SQNB 처리 챔버에 연결되고, 스위쳐블 플라즈마 영역에 인접한 기판을 지지하도록 구성되는 스위쳐블 기판 홀더; 제1 SQNB 절차 중에 제1 위치에 있고, 제2 SQNB 절차 중에 제2 위치에 있도록 구성되는 다위치 스위치에 연결되는 스위쳐블 기판 홀더; 및 SQNB 처리 챔버에 연결되고, SQNB 처리 챔버 내의 스위쳐블 플라즈마 영역을 펌핑하도록 구성되는 진공 펌핑 시스템을 포함하는 SQNB 시스템을 포함할 수 있다. 예컨대, 마스킹층 경화, 건조, 수축, 보정, 및/또는 하드닝 절차, 에칭 절차, 애싱 절차, 세정 절차 또는 증착 절차, 또는 이들의 임의의 조합 중에 제1 및/또는 제2 스위쳐블 플라즈마가 생성될 수 있다.
다른 실시예에 따르면, 패터닝된 기판을 처리하는 방법은, 패터닝된 기판 상의 마스킹층을 개질하도록 구성되는 스위쳐블 처리 챔버에 패터닝된 기판을 배치하는 단계; 제1 상부 플라즈마 전위로 제1 상부 플라즈마를 상부 플라즈마 영역에 형성하는 단계; 상부 플라즈마 영역으로부터의 복수의 빔에서의 제1 전자속을 이용하여 제1 스위쳐블 플라즈마 전위로 제1 스위쳐블(개질) 플라즈마를 스위쳐블 플라즈마 영역에 형성하는 단계; 제1 전자속을 제어하기 위해 제1 스위쳐블 플라즈마 전위를 제1 상부 플라즈마 전위 위로 상승시키는 단계; 스위쳐블 처리 챔버 내의 제1 압력을 제어하는 단계; 및 기판을 제1 스위쳐블(개질) 플라즈마에 노출시키는 단계; 제2 상부 플라즈마 전위로 제2 상부 플라즈마를 상부 플라즈마 영역에서 형성하는 단계; 상부 플라즈마 영역으로부터의 복수의 빔에서의 제2 전자속을 이용하여 제2 스위쳐블 플라즈마 전위로 제2 스위쳐블(피쳐 형성) 플라즈마를 스위쳐블 플라즈마 영역에서 형성하는 단계; 제2 전자속을 제어하기 위해 제2 스위쳐블 플라즈마 전위를 제2 상부 플라즈마 전위 위로 상승시키는 단계; 스위쳐블 처리 챔버 내의 제2 압력을 제어하는 단계; 및 기판을 제2 스위쳐블(피쳐 형성) 플라즈마에 노출시키는 단계를 포함하는 것으로 설명된다.
본 발명은, 방사선 감광(radiation-sensitive) 재료를 개질하기 위해 만들어진 서브시스템 및 처리 시퀀스를 이용하여 실시간으로 기판을 처리하는 장치 및 방법을 제공할 수 있다. 또한, 게이트 및/또는 스페이서 임계 치수(CDs)를 더 정밀하게 제어하고, 게이트 및/또는 스페이서 CD 균일성을 제어하며, 선 에지 조도(line edge roughness; LER) 및 선폭 조도(line width roughness; LWR)를 제거하기 위해, 개질된 방사선 감광층이 제2 SQNB 절차에서 이용될 수 있다.
본 발명의 다른 태양은, 다음의 설명과 여기에 첨부된 도면으로부터 명백해질 것이다.
이제 본 발명의 실시예에 대해, 대응 참조 부호는 대응 부분을 나타내는 첨부된 개략도를 참조하여 예만으로 설명한다.
도 1은 본 발명의 실시예에 따른 처리 시스템의 예시적인 블록도를 도시한다.
도 2a는 본 발명의 실시예에 따른 스위쳐블 준중성빔(SQNB) 서브시스템의 단순화된 도면을 도시한다.
도 2b는 본 발명의 실시예에 따른 도 2a에 도시된 스위쳐블 준중성빔(SQNB) 서브시스템에서 수행될 제1 및/또는 제2 SQNB 절차에 대한 예시적인 조건을 도시한다.
도 3은 본 발명의 실시예에 따른 다른 스위쳐블 준중성빔(SQNB) 처리 시스템의 예시적인 블록도를 도시한다.
도 4는 본 발명의 실시예에 따른 스위쳐블 준중성빔(SQNB) 시스템을 이용하여 기판을 처리하는 방법의 예시적인 흐름도를 도시한다.
도 5a 및 도 5b는 본 발명의 실시예에 따라 스위쳐블 준중성빔(SQNB) 시스템을 이용하여 금속 게이트 구조를 처리하는 절차의 예시적인 도면을 도시한다.
본 발명은, 방사선 감광 재료를 개질하기 위해 만들어진 SQNB 처리 시퀀스 및 스위쳐블 준중성빔(SQNB) 서브시스템을 이용하여 실시간으로 기판을 처리하는 장치 및 방법을 제공한다. 또한, 게이트 및/또는 스페이서 임계 치수(CDs)를 더 정밀하게 제어하고, 게이트 및/또는 스페이서 CD 균일성을 제어하며, 선 에지 조도(LER) 및 선폭 조도(LWR)를 제거하기 위해, 개질된 방사선 감광층이 이용될 수 있다. 예컨대, SQNB 서브시스템 및 SQNB 처리 시퀀스는, 마스킹층 재료의 기계적 성질을 변화시키기 위해 이용될 수 있고, 마스킹층 재료의 화학적 및/또는 기계적 성질을 개질하기 위해 이용될 수 있으며, 마스킹층 재료의 에칭 내성을 변화시키기 위해 이용될 수 있다.
일부 실시예에서, 제1 SQNB 절차 중에 생성되는 주기 구조 및 개질 포토레지스트 피쳐에 대한 프로파일 데이터 및 회절 신호 데이터를 포함하는 계측 라이브러리를 생성 및/또는 이용하기 위해 장치 및 방법이 제공된다. 또한, 계측 라이브러리는, 추가 SQNB 절차에서의 주기 구조 및 개질 포토레지스트 피쳐를 이용하여 생성되는 새로운 피쳐에 대한 프로파일 데이터 및 회절 신호 데이터를 포함할 수 있다.
1개 이상의 평가 피쳐는, 기판 상의 여러 위치에 제공될 수 있고, SQNB 절차 및 관련 모델을 평가 및/또는 확인하기 위해 이용될 수 있다. 기판은 그와 관련된 실시간 및 이력 데이터를 가질 수 있고, 기판 데이터는 SQNB 데이터를 포함할 수 있다. 또한, 기판은 그와 관련된 다른 데이터를 가질 수 있고, 이 다른 데이터는, 게이트 구조 데이터, 소요 위치의 개수, 방문 위치의 개수, 1개 이상의 위치에 대한 신뢰도 데이터 및/또는 위험도 데이터, 위치 순위 데이터, 전송 시퀀스 데이터, 또는 처리 관련 데이터, 또는 평가/확인 관련 데이터, 또는 이들의 임의의 조합을 포함할 수 있다. 기판과 관련된 데이터는, 기판을 이동시킬 때와 장소를 확립하기 위해 이용될 수 있는 전송 시퀀스 데이터를 포함할 수 있고, 전송 시퀀스는 동작 상태 데이터를 이용하여 변경될 수 있다.
피쳐 크기가 45nm 기술 노드 미만으로 감소됨에 따라, 정밀 처리 및/또는 측정 데이터가 더 중요해지고 더 획득하기 어려워진다. SQNB 절차는, 이들 극소 장치 및 피쳐를 더 정밀하게 처리 및/또는 측정하기 위해 이용될 수 있다. SQNB 절차로부터의 데이터는 경고 및/또는 제어 한계와 비교될 수 있다. 작동 규칙이 위반되면, 처리 문제를 나타내는 경보가 발생되어 보정 절차가 실시간으로 수행될 수 있다.
도 1은 본 발명의 실시예에 따른 처리 시스템의 예시적인 블록도를 나타낸다. 도시된 실시예에서, 처리 시스템(100)은, 리소그래피 서브시스템(110), 노출 서브시스템(120), 에칭 서브시스템(130), 증착 서브시스템(140), SQNB 서브시스템(150), 평가 서브시스템(160), 전송 서브시스템(170), 제조 실행 시스템(MES)(180), 시스템 컨트롤러(190) 및 메모리/데이터베이스(195)를 포함한다. 단일 서브시스템(110, 120, 130, 140, 150, 160 및 170)이 도시된 실시예에서 나타나지만, 이는 본 발명에 필요하지 않다. 일부 실시예에서는, 다수의 서브시스템(110, 120, 130, 140, 150, 160 및 170)이 처리 시스템(100)에서 이용될 수 있다. 또한, 1개 이상의 서브시스템(110, 120, 130, 140, 150, 160 및 170)은, SQNB 처리 시퀀스 및 관련 모델에서 이용될 수 있는 1개 이상의 처리 소자를 포함할 수 있다. 대안적으로, 스위쳐블 중성빔(SNB) 서브시스템 및/또는 SNB 처리 시퀀스가 이용될 수도 있다.
시스템 컨트롤러(190)는, 리소그래피 서브시스템(110), 노출 서브시스템(120), 에칭 서브시스템(130), 증착 서브시스템(140), SQNB 서브시스템(150), 평가 서브시스템(160) 및 데이터 전송 서브시스템(191)을 이용하는 전송 서브시스템(170)에 연결될 수 있다. 시스템 컨트롤러(190)는 제1 데이터 전송 서브시스템(181)을 이용하여 MES(180)에 연결될 수 있다. 대안적으로, 다른 구성이 이용될 수도 있다. 예컨대, 에칭 서브시스템(130), 증착 서브시스템(140), SQNB 서브시스템(150), 평가 서브시스템(160) 및 전송 서브시스템(170)의 일부는 도쿄 일렉트론 리미티드로부터 이용할 수 있는 서브시스템일 수 있다.
리소그래피 서브시스템(110)은, 1개 이상의 전송/기억 소자(112), 1개 이상의 처리 소자(113), 1개 이상의 컨트롤러(114) 및 1개 이상의 평가 소자(115)를 포함할 수 있다. 1개 이상의 전송/기억 소자(112)는 1개 이상의 처리 소자(113) 및/또는 1개 이상의 평가 소자(115)에 연결될 수 있고, 1개 이상의 전송 장치(111)를 이용하여 전송 서브시스템(170)에 연결될 수 있다. 1개 이상의 기판(105)은, 1개 이상의 전송 장치(111)를 이용하여 실시간으로 전송 서브시스템(170)과 리소그래피 서브시스템(110) 간에 전송될 수 있다. 예컨대, 전송 서브시스템(170)은, 1개 이상의 전송/기억 소자(112), 1개 이상의 처리 소자(113), 및/또는 1개 이상의 평가 소자(115)에 연결될 수 있다. 1개 이상의 컨트롤러(114)는, 1개 이상의 전송/기억 소자(112), 1개 이상의 처리 소자(113), 및/또는 1개 이상의 평가 소자(115)에 연결될 수 있다.
일부 실시예에서, 리소그래피 서브시스템(110)은, 1개 이상의 기판에 코팅 절차, 열 절차, 측정 절차, 검사 절차, 정렬 절차, 및/또는 기억 절차를 수행할 수 있다. 예컨대, 1개 이상의 리소그래피 관련 처리는, 포토레지스트 재료, 및/또는 반사 방지 코팅(anti-reflective coating; ARC) 재료를 포함할 수 있는 1개 이상의 마스킹층을 증착하기 위해 이용될 수 있고, 1개 이상의 마스킹층을 열처리(베이크)하기 위해 이용될 수 있다. 또한, 리소그래피 서브시스템(110)은, 1개 이상의 기판 상의 1개 이상의 패터닝된 마스킹층을 생성, 측정, 및/또는 검사하기 위해 이용될 수 있다.
노출 서브시스템(120)은, 1개 이상의 전송/기억 소자(122), 1개 이상의 처리 소자(123), 1개 이상의 컨트롤러(124) 및 1개 이상의 평가 소자(125)를 포함할 수 있다. 1개 이상의 전송/기억 소자(122)는 1개 이상의 처리 소자(123) 및/또는 1개 이상의 평가 소자(125)에 연결될 수 있고, 1개 이상의 전송 장치(121)를 이용하여 전송 서브시스템(170)에 연결될 수 있다. 1개 이상의 기판(105)은, 1개 이상의 전송 장치(121)를 이용하여 실시간으로 전송 서브시스템(170)과 노출 서브시스템(120) 간에 전송될 수 있다. 예컨대, 전송 서브시스템(170)은, 1개 이상의 전송/기억 소자(122), 1개 이상의 처리 소자(123), 및/또는 1개 이상의 평가 소자(125)에 연결될 수 있다. 1개 이상의 컨트롤러(124)는, 1개 이상의 전송/기억 소자(122), 1개 이상의 처리 소자(123), 및/또는 1개 이상의 평가 소자(125)에 연결될 수 있다.
일부 실시예에서는, 습식 및/또는 건식 노출 절차를 수행하기 위해 노출 서브시스템(120)이 이용될 수 있고, 다른 경우에는, 극자외선(extreme ultraviolet; EUV) 노출 절차를 수행하기 위해 노출 서브시스템(120)이 이용될 수 있다.
에칭 서브시스템(130)은, 1개 이상의 전송/기억 소자(132), 1개 이상의 처리 소자(133), 1개 이상의 컨트롤러(134) 및 1개 이상의 평가 소자(135)를 포함할 수 있다. 1개 이상의 전송/기억 소자(132)는 1개 이상의 처리 소자(133) 및/또는 1개 이상의 평가 소자(135)에 연결될 수 있고, 1개 이상의 전송 장치(131)를 이용하여 전송 서브시스템(170)에 연결될 수 있다. 1개 이상의 기판(105)은, 1개 이상의 전송 장치(131)를 이용하여 실시간으로 전송 서브시스템(170)과 에칭 서브시스템(130) 간에 전송될 수 있다. 예컨대, 전송 서브시스템(170)은, 1개 이상의 전송/기억 소자(132), 1개 이상의 처리 소자(133), 및/또는 1개 이상의 평가 소자(135)에 연결될 수 있다. 1개 이상의 컨트롤러(134)는, 1개 이상의 전송/기억 소자(132), 1개 이상의 처리 소자(133), 및/또는 1개 이상의 평가 소자(135)에 연결될 수 있다. 예컨대, 1개 이상의 처리 소자(133)는, 플라즈마 또는 비플라즈마 에칭, 애싱, 및 세정 절차, 또는 플라즈마 또는 비플라즈마 에칭 절차를 수행하기 위해 이용될 수 있다. 평가 절차 및/또는 검사 절차는, 기판의 1개 이상의 표면 및/또는 층을 측정 및/또는 검사하기 위해 이용될 수 있다.
증착 서브시스템(140)은, 1개 이상의 전송/기억 소자(142), 1개 이상의 처리 소자(143), 1개 이상의 컨트롤러(144) 및 1개 이상의 평가 소자(145)를 포함할 수 있다. 1개 이상의 전송/기억 소자(142)는 1개 이상의 처리 소자(143) 및/또는 1개 이상의 평가 소자(145)에 연결될 수 있고, 1개 이상의 전송 장치(141)를 이용하여 전송 서브시스템(170)에 연결될 수 있다. 1개 이상의 기판(105)은, 1개 이상의 전송 장치(141)를 이용하여 실시간으로 전송 서브시스템(170)과 증착 서브시스템(140) 간에 전송될 수 있다. 예컨대, 전송 서브시스템(170)은, 1개 이상의 전송/기억 소자(142), 1개 이상의 처리 소자(143), 및/또는 1개 이상의 평가 소자(145)에 연결될 수 있다. 1개 이상의 컨트롤러(144)는, 1개 이상의 전송/기억 소자(142), 1개 이상의 처리 소자(143), 및/또는 1개 이상의 평가 소자(145)에 연결될 수 있다. 예컨대, 1개 이상의 처리 소자(143)는, 물리적 기상 증착(physical vapor deposition; PVD) 절차, 화학적 기상 증착(chemical vapor deposition; CVD), 이온화 물리적 기상 증착(ionized physical vapor deposition; iPVD) 절차, 원자층 증착(atomic layer deposition; ALD) 절차, 플라즈마 강화 원자층 증착(plasma enhanced atomic layer deposition; PEALD) 절차, 및/또는 플라즈마 강화 화학적 기상 증착(plasma enhanced chemical vapor deposition; PECVD) 절차를 수행하기 위해 이용될 수 있다. 기판의 1개 이상의 표면을 측정 및/또는 검사하기 위해 평가 절차 및/또는 검사 절차가 이용될 수 있다.
SQNB 서브시스템(150)은, 1개 이상의 전송/기억 소자(152), 1개 이상의 스위쳐블 처리 소자(153), 1개 이상의 컨트롤러(154), 및 1개 이상의 스위쳐블 평가 소자(155)를 포함할 수 있다. 예컨대, 1개 이상의 스위쳐블 평가 소자(155)는, SQNB 처리 시퀀스 중에 실시간 측정, 검사 및/또는 확인 절차를 수행할 수 있다. 1개 이상의 전송/기억 소자(152)는 1개 이상의 스위쳐블 처리 소자(153) 및/또는 1개 이상의 스위쳐블 평가 소자(155)에 연결될 수 있고, 1개 이상의 전송 장치(151)를 이용하여 전송 서브시스템(170)에 연결될 수 있다. 1개 이상의 기판(105)이, 1개 이상의 전송 장치(111)를 이용하여 실시간으로 전송 서브시스템(170)과 SQNB 서브시스템(150) 간에 전송될 수 있다. 예컨대, 전송 서브시스템(170)은, 1개 이상의 전송/기억 소자(152), 1개 이상의 스위쳐블 처리 소자(153), 및/또는 1개 이상의 스위쳐블 평가 소자(155)에 연결될 수 있다. 1개 이상의 컨트롤러(154)는, 1개 이상의 전송/기억 소자(152), 1개 이상의 스위쳐블 처리 소자(153), 및/또는 1개 이상의 스위쳐블 평가 소자(155)에 연결될 수 있다.
평가 서브시스템(160)은, 1개 이상의 전송/기억 소자(162), 1개 이상의 측정 소자(163), 1개 이상의 컨트롤러(164), 및 1개 이상의 검사 소자(165)를 포함할 수 있다. 1개 이상의 전송/기억 소자(162)는 1개 이상의 측정 소자(163) 및/또는 1개 이상의 검사 소자(165)에 연결될 수 있고, 1개 이상의 전송 장치(161)를 이용하여 전송 서브시스템(170)에 연결될 수 있다. 1개 이상의 기판(105)이, 1개 이상의 전송 장치(161)를 이용하여 실시간으로 전송 서브시스템(170)과 평가 서브시스템(160) 간에 전송될 수 있다. 예컨대, 전송 서브시스템(170)은, 1개 이상의 전송/기억 소자(162), 1개 이상의 측정 소자(163), 및/또는 1개 이상의 검사 소자(165)에 연결될 수 있다. 1개 이상의 컨트롤러(164)는, 1개 이상의 전송/기억 소자(162), 1개 이상의 측정 소자(163), 및/또는 1개 이상의 검사 소자(165)에 연결될 수 있다. 평가 서브시스템(160)은, 실시간 광학 평가 절차를 수행하기 위해 이용될 수 있고, 라이브러리 기반 또는 회귀 기반 기법을 이용하여 기판 상의 1개 이상의 위치에서 목표 구조를 측정하기 위해 이용될 수 있는 1개 이상의 측정 소자(163)를 포함할 수 있다. 예컨대, 기판 상의 위치는, SQNB 관련 위치, 목표 위치, 오버레이(overlay) 위치, 정렬 위치, 측정 위치, 확인 위치, 검사 위치, 또는 손상 평가 위치, 또는 이들의 임의의 조합을 포함할 수 있다. 예컨대, 1개 이상의 측정 소자(163), 및/또는 1개 이상의 검사 소자(165)의 성능을 확인하기 위해 1개 이상의 "특별(golden) 기판" 또는 레퍼런스 칩이 저장되고, 주기적으로 이용될 수 있다.
일부 실시예에서, 평가 서브시스템(160)은 집적 광학 디지털 프로필로메트리(integrated Optical Digital Profilometry; iODP) 소자(도시되지 않음)를 포함할 수 있고, iODP 소자/시스템은 Timbre Technologies Inc.(TEL 컴퍼니)로부터 이용할 수 있다. 대안적으로, 다른 계측 시스템 및/또는 검사 시스템이 이용될 수도 있다. 예컨대, 임계 치수(CD) 데이터, 게이트 구조 데이터 및 두께 데이터를 포함할 수 있는 실시간 데이터를 획득하기 위해 iODP 기법이 이용될 수 있고, iODP 데이터에 대한 파장 범위는 약 200nm 미만부터 약 900nm 초과까지일 수 있다. 예시적인 iODP 소자는, ODP 프로파일러 라이브러리 소자, 프로파일러 응용 서버(Profiler Application Server; PAS) 및 ODP 프로파일러 소프트웨어 소자를 포함할 수 있다. ODP 프로파일러 라이브러리 소자는, 광학 스펙트럼의 주문형 데이터베이스 소자 및 그것의 대응 반도체 프로파일, CDs, 및 막 두께를 포함할 수 있다. PAS 소자는, 광학 하드웨어 및 컴퓨터 네트워크와 연결되는 1개 이상의 컴퓨터를 포함할 수 있다. PAS 소자는, 데이터 통신, ODP 라이브러리 동작, 측정 처리, 결과 생성, 결과 분석 및 결과 출력을 제공하도록 구성될 수 있다. ODP 프로파일러 소프트웨어 소자는, 측정 레시피를 관리하기 위해 PAS 소자에 설치되는 소프트웨어, ODP 프로파일러 라이브러리 소자, ODP 프로파일러 데이터, ODP 프로파일러 탐색/일치 결과, ODP 프로파일러 계산/분석 결과, 데이터 통신, 및 여러 가지 계측 소자 및 컴퓨터 네트워크에 대한 PAS 인터페이스를 포함할 수 있다.
평가 서브시스템(160)은, 편광 반사 측정법, 분광 타원 해석법, 반사 측정법, 또는 정밀 장치 프로파일, 정밀 CDs, 및 기판의 다층막 두께를 측정하기 위한 다른 광학 측정 기법을 이용할 수 있다. 집적 계측 처리(iODP)는, 서브시스템의 집적된 그룹에서 집적 처리로서 실행될 수 있다. 또한, 집적 처리는, 외부 시스템으로부터의 데이터에 대해 분석을 수행하거나 긴 기간동안 대기하기 위해 기판을 절단할 필요를 제거한다. iODP 기법은, 인라인(inline) 프로파일 및 CD 측정을 위한 기존의 박막 계측 시스템으로 이용될 수 있고, 실시간 처리 모니터링 및 제어를 제공하기 위해 TEL 처리 시스템 및/또는 리소그래피 시스템과 함께 집적될 수 있다. 맥스웰 방정식을 적용하고, 맥스웰 방정식을 풀기 위한 수치 해석 기법을 이용함으로써 모의 계측 데이터가 생성될 수 있다.
전송 서브시스템(170)은, 기판을 수취, 기판을 전송, 기판을 정렬, 기판을 저장, 및/또는 기판을 지연하기 위해 이용될 수 있는 전송 트랙(175 및 176)에 연결되는 전송 소자(174)를 포함할 수 있다. 예컨대, 전송 소자(174)는 2개 이상의 기판을 지지할 수 있다. 대안적으로, 다른 전송 수단이 이용될 수도 있다. 전송 서브시스템(170)은, SQNB 절차, SQNB 처리 시퀀스, 전송 시퀀스, 동작 상태, 기판 및/또는 처리 상태, 처리 시간, 현재 시간, 기판 데이터, 기판 상의 위치의 개수, 기판 상의 위치의 유형, 소요 위치의 개수, 완료 위치의 개수, 잔존 위치의 개수, 또는 신뢰도 데이터, 또는 이들의 임의의 조합에 기초하여 기판을 로드(load), 전송, 저장, 및/또는 언로드(unload)할 수 있다.
일부 예에서, 전송 서브시스템(170)은, 기판을 전송할 위치 및 시간을 결정하기 위해 로딩(loading) 데이터를 이용할 수 있다. 다른 예에서, 전송 시스템은, 기판을 전송할 위치 및 시간을 결정하기 위해 SQNB 처리 데이터를 이용할 수 있다. 대안적으로, 다른 절차가 이용될 수도 있다. 예컨대, 제1호 기판이 제1호 가용 처리 소자보다 작거나 같은 경우, 제1호 기판은, 전송 서브시스템(170)을 이용하여 1개 이상의 서브시스템에서 제1호 가용 처리 소자로 전송될 수 있다. 제1호 기판이 제1호 가용 처리 소자보다 큰 경우, 1개 이상의 전송/기억 소자(112, 122, 132, 142, 152 및 162) 및/또는 전송 서브시스템(170)을 이용하여 일부 기판이 저장 및/또는 지연될 수 있다.
또한, 리소그래피 관련 절차, 노출 관련 절차, 검사 관련 절차, 측정 관련 절차, 평가 관련 절차, 에칭 관련 절차, 증착 관련 절차, 열 처리 절차, 코팅 관련 절차, 정렬 관련 절차, 연마 관련 절차, 저장 관련 절차, 전송 절차, 세정 관련 절차, 재작업 관련 절차, 산화 관련 절차, 질화 관련 절차, 또는 외부 처리 소자, 또는 이들의 임의의 조합을 수행할 때에 1개 이상의 서브시스템(110, 120, 130, 140, 150, 160 및 170)이 이용될 수 있다.
동작 상태 데이터는, 서브시스템(110, 120, 130, 140, 150, 160 및 170)을 위해 생성될 수 있고, SQNB 절차에 의해 이용 및/또는 갱신될 수 있다. 또한, 동작 상태 데이터는, 전송/기억 소자(112, 122, 132, 142, 152 및 162), 소자(113, 123, 133, 143, 153 및 163), 및 평가 소자(115, 125, 135, 145, 155 및 165)를 위해 생성될 수 있고, SQNB 절차에 의해 갱신될 수 있다. 예컨대, 처리 소자에 대한 동작 상태 데이터는, 가용성 데이터, 처리 소자에 대한 매칭 데이터, 일부 처리 단계 및/또는 위치에 대한 예상 처리 시간, 수율 데이터, 처리 소자에 대한 신뢰도 데이터 및/또는 위험도 데이터, 1개 이상의 제1 SQNB 및/또는 제2 SQNB 절차에 대한 신뢰도 데이터 및/또는 위험도 데이터를 포함할 수 있다. 갱신 동작 상태는, 1개 이상의 처리 소자, 및/또는 1개 이상의 서브시스템을 실시간으로 질의함으로써 획득될 수 있다. 갱신 로딩 데이터는, 1개 이상의 전송 소자, 및/또는 1개 이상의 전송 서브시스템을 실시간으로 질의함으로써 획득될 수 있다.
1개 이상의 컨트롤러(114, 124, 134, 144, 154 및 164)는, 데이터 전송 서브시스템(191)을 이용하여 시스템 컨트롤러(190)에, 및/또는 서로 연결될 수 있다. 대안적으로, 다른 연결 구성이 이용될 수도 있다. 컨트롤러는 직렬 및/또는 병렬로 연결될 수 있고, 1개 이상의 입력 포트 및/또는 1개 이상의 출력 포트를 가질 수 있다. 예컨대, 컨트롤러는, 1개 이상의 코어 처리 소자를 가지는 마이크로프로세서를 포함할 수도 있다.
또한, 서브시스템(110, 120, 130, 140, 150, 160 및 170)은, 인트라넷, 인터넷, 유선, 및/또는 무선 연결을 이용하여 서로 및 다른 장치에 연결될 수 있다. 컨트롤러(114, 124, 134, 144 및 190)는 필요에 따라 외부 장치에 연결될 수 있다.
실시간 SQNB 절차를 수행할 때에 1개 이상의 컨트롤러(114, 124, 134, 144, 154, 164 및 190)가 이용될 수 있다. 컨트롤러는, 서브시스템 갱신을 위한 SQNB 모델로부터의 실시간 데이터, 처리 소자, 처리, 레시피, 프로파일, 화상, 패턴, 시뮬레이션, 시퀀스 데이터, 및/또는 모델 데이터를 수신할 수 있다. 제조 실행 시스템(Manufacturing Execution System; MES)(180) 또는 다른 시스템(도시되지 않음)과 통신하는 1개 이상의 반도체 장비 통신 규격(Semiconductor Equipment Communications Standards; SECS)을 교환하고, 정보를 판독 및/또는 제거하며, 정보를 피드포워드 및/또는 피드백하고, 및/또는 SECS 메시지로서 정보를 송신하기 위해 1개 이상의 컨트롤러(114, 124, 134, 144, 154, 164 및 190)가 이용될 수 있다. 1개 이상의 포맷 메시지가 컨트롤러들 사이에 교환될 수 있고, 컨트롤러는 메시지를 처리하고 실시간으로 새로운 데이터를 추출할 수 있다. 새로운 데이터가 이용 가능할 때, 기판 및/또는 로트(lot)를 위해 현재 이용되고 있는 모델 및/또는 절차를 갱신하기 위해 실시간으로 새로운 데이터가 이용될 수 있다. 예컨대, 현재 레이아웃이 검사되기 전에 모델 및/또는 절차가 갱신될 수 있는 경우, 갱신된 모델 및/또는 절차를 이용하여 현재 레이아웃이 검사될 수 있다. 현재 레이아웃이 처리되기 전에 갱신이 수행될 수 없는 경우, 갱신되지 않은 모델 및/또는 절차를 이용하여 현재 레이아웃이 검사될 수 있다. 또한, 레지스트가 변할 때, 레지스트 모델이 변할 때, 처리 시퀀스가 변할 때, 설계 규칙이 변할 때, 또는 레이아웃이 변할 때, 포맷 메시지가 이용될 수 있다.
일부 예에서, MES(180)는 일부 서브시스템 및/또는 시스템 처리를 실시간으로 감시하도록 구성될 수도 있고, 어느 처리가 감시되고 어느 데이터가 이용될 수 있을지를 결정하기 위해 공장 수준 조정 및/또는 판정 규칙이 이용될 수 있다. 예컨대, SQNB 절차에서 오류 조건이 발생하는 경우의 데이터 관리 방법을 결정하기 위해 공장 수준 조정 및/또는 판정 규칙이 이용될 수 있다. 또한, MES(180)는 모델링 데이터, 처리 시퀀스 데이터, 및/또는 기판 데이터를 제공할 수 있다.
또한, 컨트롤러(114, 124, 134, 144, 154, 164 및 190)는 필요에 따라 메모리(도시되지 않음)를 포함할 수 있다. 예컨대, 메모리(도시되지 않음)는, 컨트롤러에 의해 실행될 명령과 정보를 기억하기 위해 이용될 수 있고, 처리 시스템(100)에서 여러 가지 컴퓨터/프로세서에 의한 명령의 실행 중에 임시 변수 또는 다른 중간 정보를 기억하기 위해 이용될 수도 있다. 1개 이상의 컨트롤러(114, 124, 134, 144, 154, 164 및 190), 또는 다른 시스템 부품은, 컴퓨터 판독 가능한 매체로부터의 명령 및/또는 판독 데이터를 위한 수단을 포함할 수 있고, 컴퓨터 판독 가능한 매체로의 명령 및/또는 기록 데이터를 위한 수단을 포함할 수 있다.
처리 시스템(100)은, 메모리에 저장되는, 및/또는 메시지로 수신되는 1개 이상의 명령의 1개 이상의 시퀀스를 실행하는 처리 시스템에서의 컴퓨터/프로세서에 대응하여 본 발명의 처리 단계의 일부 또는 전부를 수행할 수 있다. 이러한 명령은, 다른 컴퓨터, 컴퓨터 판독 가능한 매체, 또는 네트워크 연결로부터 수신될 수도 있다.
일부 실시예에서, 도쿄 일렉트론 리미티드(TEL)로부터의 시스템 부품을 이용하여 집적 시스템이 구성될 수 있고, 외부 서브시스템 및/또는 툴이 포함될 수도 있다. 예컨대, CD 주사 전자 현미경(CD Scanning Electron Microscopy; CDSEM) 시스템, 투과 전자 현미경(Transmission Electron Microscopy) 시스템, 집속 이온 빔(Focused Ion Beam; FIB) 시스템, 광학 디지털 프로필로메트리(Optical Digital Profilometry; ODP) 시스템, 원자간력 현미경(Atomic Force Microscope; AFM) 시스템, 또는 다른 검사 시스템을 포함할 수 있는 측정 소자가 제공될 수 있다. 서브시스템 및/또는 처리 소자는 상이한 인터페이스 요건을 가질 수 있고, 이들 상이한 인터페이스 요건을 만족시키기 위해 컨트롤러가 구성될 수 있다.
1개 이상의 서브시스템(110, 120, 130, 140, 150, 160 및 170)은, 제어 어플리케이션, 그래픽 사용자 인터페이스(Graphic User Interface; GUI) 어플리케이션, 및/또는 데이터베이스 어플리케이션을 수행할 수 있다. 또한, 1개 이상의 서브시스템(110, 120, 130, 140, 150, 160 및 170) 및/또는 컨트롤러(114, 124, 134, 144, 154, 164 및 190)는, 실험 계획법(Design of Experiment; DOE) 어플리케이션, 고급 공정 제어(Advanced Process Control; APC) 어플리케이션, 결함 검출 및 분류(Fault Detection and Classification; FDC) 어플리케이션, 및/또는 런투런(Run-to-Run; R2R) 어플리케이션을 포함할 수 있다.
SQNB 절차로부터의 출력 데이터 및/또는 메시지가, 처리 정밀도 및 정확도를 최적화하기 위해 이후 절차에서 이용될 수 있다. 실시간 변수 파라미터, 최우선 현재 모델값, 및 축소 DOE 테이블로서 실시간으로 데이터가 SQNB 절차로 통과될 수 있다. P-H 절차를 최적화하기 위해 실시간 데이터가, 라이브러리 기반 시스템, 또는 회귀 기반 시스템, 또는 이들의 임의의 조합과 함께 이용될 수 있다.
라이브러리 기반 처리가 이용될 때, SQNB 절차, 레시피, 프로파일, 및/또는 모델을 이용하여 라이브러리에서의 일부 데이터가 생성 및/또는 향상될 수 있다. 예컨대, 라이브러리는, SQNB 절차에 대한 모의 및/또는 측정 데이터 및 프로파일 데이터의 대응 세트를 포함할 수 있다. 라이브러리 기반 처리가 실시간으로 수행될 수 있다. 라이브러리에 대한 SQNB 데이터를 생성하는 대안의 절차는 기계 학습 시스템(Machine Learning System; MLS)을 이용하는 단계를 포함할 수 있다. 예컨대, 라이브러리 데이터를 생성하기 전에, 알려진 입력 및 출력 데이터를 이용하여 MLS가 조작될 수 있고, SQNB 데이터의 서브세트로 MLS가 조작될 수도 있다.
SQNB 절차는, 매칭 콘텍스트(matching context)가 발생될 때면 실행될 수 있는 조정 및/또는 판정 규칙을 포함할 수 있다. 조정 및/또는 판정 규칙 및/또는 한계는, 이력 절차, 고객의 경험, 또는 처리 지식에 기초하여 생성되거나, 호스트 컴퓨터로부터 획득될 수 있다. 경보 조건, 오류 조건, 결함 조건, 및/또는 경고 조건에 대응하는 방법을 결정하기 위해 결함 검출 및 분류(FDC) 절차에서 규칙이 이용될 수 있다. 규칙 기반 FDC 절차는, 결함의 우선 순위를 매기고, 및/또는 분류하며, 시스템 성능을 예측하고, 예방 유지 일정을 예측하며, 유지 비가동 시간을 감소시키고, 시스템 내의 소모품의 유효 수명을 연장할 수 있다. 여러 가지 동작이 경보/결함에 대응하여 일어날 수 있고, 경보/결함을 채용하는 동작은 콘텍스트 기반일 수 있으며, 콘텍스트 데이터는, 규칙, 시스템/처리 레시피, 챔버 유형, 식별 번호, 로드 포트 번호, 카세트 번호, 로트 번호, 제어 작업 ID, 처리 작업 ID, 슬롯 번호 및/또는 데이터의 유형에 의해 지정될 수 있다.
한계가 초과되면 실패한 SQNB 절차는 실패를 보고할 수 있고, 한계에 접근하고 있으면 성공한 절차는 경고 메시지를 생성할 수 있다. 절차 오류에 대한 미리 지정된 실패 동작이 데이터베이스에 기억될 수 있고, 오류가 발생하면 데이터베이스로부터 검색될 수 있다. 예컨대, SQNB 절차는, 측정 절차가 실패하면 기판에 대한 1개 이상의 위치에서 데이터를 거부할 수 있다.
상이한 시간 및/또는 위치에서 고립 및/또는 중첩 구조를 생성, 변경, 및/또는 평가하기 위해 SQNB 절차가 이용될 수 있다. 예컨대, 게이트 스택 치수 및 기판 두께 데이터는 근접한 고립 및/또는 중첩 구조와 상이할 수 있고, 게이트 스택 치수 및 기판 두께 데이터는 근접한 개방 영역 및/또는 트렌치 어레이 영역과 상이할 수 있다. SQNB 절차에 의해 생성되는 개질 포토레지스트 피쳐는, 에칭된 고립 및/또는 중첩 구조에 대한 최적 피쳐 및/또는 구조를 생성하기 위해 이후에 이용될 수 있다.
포토레지스트막을 강화하고, 최적 중합체를 공급하며, 여러 가지 SQNB 절차 중 일부 중에 이용되는 가스의 분리를 억제하기 위해 SQNB 절차가 이용될 수 있다. 따라서, 포토레지스트의 표면 조도가 감소될 수 있다. 또한, 포토레지스트막에서 형성되는 개구부의 CD가 확장으로부터 방지될 수 있어, 고정밀도로 패턴 형성을 실현한다. 특히, 이들 효과는, 여기에 설명된 3가지 기능, 즉, 에칭 기능, 플라즈마 최적화 기능, 전자 공급 기능을 적절히 행하기 위해 DC 전압을 제어함으로써 더 향상될 수 있다.
SQNB 절차 중에 증착되는 부산물의 양은, 플라즈마와 DC 전극, 챔버 벽 등 사이의 전위차에 의존한다. 따라서, 부산물의 증착은 플라즈마 전위를 제어함으로써 억제될 수 있고, 다출력 공급 시스템으로부터 DC 전극으로 인가되는 전압이, 플라즈마 전위를 낮추기 위해 제어될 수 있다. 플라즈마 전위 Vp는, -100 내지 -3000V의 범위 내의 값으로 바람직하게 설정된다.
도 2a는 본 발명의 실시예에 따른 SQNB 서브시스템의 단순화된 도면을 도시한다. 도 2a에 도시된 실시예에서, SQNB 서브시스템(200)은, 제1 SQNB 절차 및/또는 제2 SQNB 절차 중에 구동될 수 있는 공간 전하 중성빔으로 기판 상의 비패터닝 및/또는 패터닝된 포토레지스트층을 이용하여 제1 SQNB 절차 및/또는 제2 SQNB 절차를 수행하도록 구성되는 것으로 설명된다.
도 2b는, 도 2a에 도시된 SQNB 서브시스템에서 제1 SQNB 및/또는 제2 SQNB 절차가 수행될 때의 예시적인 조건을 도시한다. 빔 전자 충격 하에 있지 않은 절연 표면이 있는 플라즈마 내의 어느 곳 대신에, 이들 표면이 맥스웰 열 전자속 하에 있기 때문에 존재하는 빔 전자 플로팅 전위(Vfe)가 도시된다. 이들 표면의 플로팅 전위가 "열 맥스웰 플로팅 전위"이다.
도 2a 및 도2b에 도시된 바와 같이, SQNB 서브시스템(200)은, 상부 플라즈마 전위(Vp1)에서 상부 플라즈마(212)를 형성하기 위한 상부 플라즈마 챔버(210), 및 상부 플라즈마 전위(Vp1)보다 큰 스위쳐블 플라즈마 전위(Vp2)에서 스위쳐블 플라즈마(222)를 형성하기 위한 스위쳐블 플라즈마 챔버(220)를 포함할 수 있다. 전력은 약 10W부터 약 700W까지의 범위를 가진다. 또한, 스위쳐블 플라즈마 챔버(220)는, 스위쳐블 플라즈마 전위에서 스위쳐블 플라즈마(222)로 노출될 스위쳐블 플라즈마 챔버(220) 내의 직류 전류(DC) 접지 또는 플로팅 접지에서 기판(225)을 위치시키도록 구성되는 스위쳐블 기판 홀더를 포함한다.
상부 플라즈마 챔버(210)는, 상부 플라즈마(212)를 점화 및 가열하도록 구성되는 플라즈마 생성 시스템(216)을 포함한다. 상부 플라즈마(212)는, 유도 결합 플라즈마(inductively coupled plasma; ICP) 소스, 트랜스 결합 플라즈마(transformer coupled plasma; TCP) 소스, 용량 결합 플라즈마(capacitively coupled plasma; CCP) 소스, 전자 사이클로트론 공진(electron cyclotron resonance; ECR) 소스, 헬리콘파 소스, 표면파 플라즈마 소스, 슬롯 평면 안테나를 가지는 표면파 플라즈마 소스 등을 포함하는, 그러나 이에 한정되지 않는 임의의 종래 플라즈마 생성 시스템에 의해 가열될 수도 있다. 상부 플라즈마(212)가 임의의 플라즈마 소스에 의해 가열될 수도 있지만, 그 플라즈마 전위(Vp1)에서 감소된 또는 최소의 변동을 발생시키는 방법에 의해 상부 플라즈마(212)가 가열되는 것이 바람직하다. 예컨대, ICP 소스는, 감소된 또는 최소의(Vp1) 변동을 발생시키는 실용적인 기법이다.
또한, 상부 플라즈마 챔버(210)는, 상부 플라즈마(212)와 접촉하는 경계로서 기능하는 전도성 표면을 가지는 직류 전류(DC) 전도성 접지 전극(214)을 포함한다. DC 전도성 접지 전극(214)은 DC 접지에 연결된다. DC 전도성 접지 전극(214)은, 상부 플라즈마 전위(Vp1)에서 상부 플라즈마(212)에 의해 구동되는 이온 싱크로서 기능한다. 1개의 DC 전도성 접지 전극(214)이 도 2a에 도시되었지만, SQNB 서브시스템(200)은 1개 이상의 DC 전도성 접지 전극을 포함할 수도 있다.
불필요하더라도, DC 전도성 접지 전극(214)은 상부 플라즈마(212)와 접촉하는 상대적으로 큰 영역을 포함하는 것이 바람직하다. DC 접지에서의 영역이 커질수록, 상부 플라즈마 전위가 낮아진다. 예컨대, 상부 플라즈마(212)와 접촉하는 DC 전도성 접지 전극(214)에 대한 전도성 표면의 표면 영역은, 상부 플라즈마(212)와 접촉하는 임의의 다른 표면 영역보다 클 수도 있다. 또한, 예컨대, 상부 플라즈마(212)와 접촉하는 DC 전도성 접지 전극(214)에 대한 전도성 표면의 표면 영역은, 상부 플라즈마(212)와 접촉하는 다른 전도성 표면 모두의 총합보다 클 수도 있다. 대안적으로, 예로서, 상부 플라즈마(212)와 접촉하는 DC 전도성 접지 전극(214)에 대한 전도성 표면은, 상부 플라즈마(212)와 접촉하는 전도성 표면만일 수도 있다. DC 전도성 접지 전극(214)은 접지까지의 최저 임피던스 경로를 제공할 수도 있다.
상술된 바와 같이, (고에너지) 전자속(또는 상부 플라즈마(212)로부터의 전자 전류(jee))은 스위쳐블 플라즈마 챔버(220)에서 스위쳐블 플라즈마(222)를 개시하고 지속한다. 전자속을 제어하고, 단일 고에너지 공간 전하 중화 중성빔을 생성하기 위해, 상술된 바와 같이, 상부 플라즈마 전위(Vp1) 및 스위쳐블 플라즈마 전위(Vp2)는, 어느 변동이라도 실질적으로 감소된 또는 최소의 변동으로 안정되어야 한다. 스위쳐블 플라즈마(222)에서의 이 안정성을 성취하기 위해, 스위쳐블 플라즈마 챔버(220)는, 스위쳐블 플라즈마(222)와 접촉하는 전도성 표면을 가지는 DC 전도성 바이어스 전극(224)을 포함하고, 여기서 DC 전도성 바이어스 전극(224)은 DC 전압원(226)에 연결된다. DC 전압원(226)는, 양의 DC 전압(+VDC)에서 DC 전도성 바이어스 전극(224)을 바이어스하도록 구성된다. 결과적으로, 스위쳐블 플라즈마 전위(Vp2)는 (+VDC) 전압원에 의해 구동되는 경계 구동 플라즈마 전위이고, 따라서 (Vp2)를 약 (+VDC)로 상승시키고 실질적으로 안정화시킨다. 1개의 DC 전도성 바이어스 전극(224)이 도 2a에 도시되지만, SQNB 서브시스템(200)은 1개 이상의 DC 전도성 바이어스 전극을 포함할 수도 있다.
또한, SQNB 서브시스템(200)은, 상부 플라즈마 챔버(210)와 스위쳐블 플라즈마 챔버(220) 사이에 배치되는 분리 부재(230)를 포함한다. 분리 부재(230)는 전자 확산기로서 기능할 수도 있다. 전자 확산은, 전위차 ΔV={(Vp2)-(Vp1)}에 의해 생성되는 전자 가속층을 통한 전계에 의해 구동된다. 분리 부재(230)는, 석영 또는 알루미나와 같은 유전체를 포함할 수도 있고, 또는 분리 부재(230)는, 전기적으로 플로팅되고 접지까지 높은 RF 임피던스를 가지는 유전체 코팅 전도성 물질을 포함할 수도 있다. 전자 가속층 ∇z={(Vp2)-(Vp1)}을 가로지르는 큰 전계로 인해, 스위쳐블 플라즈마(222)에서 이온화를 유지하도록 전자속이 충분히 고에너지이다. 그러나, SQNB 서브시스템(200)은, 스위쳐블 플라즈마(222)를 더 가열하도록 구성되는 플라즈마 가열 시스템을 선택적으로 포함할 수도 있다.
분리 부재(230)는, 상부 플라즈마 챔버(210)로부터 스위쳐블 플라즈마 챔버(220)로 고에너지 전자속을 통과시키기 위해 1개 이상의 개구부를 포함할 수도 있다. 스위쳐블 플라즈마(222)로부터 상부 플라즈마(212)로의 역 이온 전류를 최소화하는 동안 상대적으로 큰 전위차 ΔV={(Vp2)-(Vp1)}를 보장하기 위해 DC 전도성 접지 전극(214)의 표면 영역에 관해 1개 이상의 개구부의 총 면적이 조정될 수 있어, 기판(255)에 부딪히는 이온을 위해 충분한 이온 에너지를 보장한다.
도 2a에 도시된 바와 같이, 상부 플라즈마(212) 내의 이온의 제1 집단으로부터의 제1 이온 플럭스(flux)(예컨대, 이온 전류, ji1)는, 분리 부재(230)에서의 전자 가속층을 통한 상부 플라즈마(212)로부터 스위쳐블 플라즈마(222) 내로의 고에너지 전자속(또는 전자 전류 jee)과 거의 동일한 양, 즉, |ji1|~|jee|으로 상부 플라즈마 챔버(210) 내의 DC 전도성 접지 전극(214)으로 흐른다.
상술된 바와 같이, 고에너지 전자속은, 스위쳐블 플라즈마(222)를 형성하도록 충분히 고에너지이다. 여기서, 열 전자의 집단 및 이온의 제2 집단이 형성된다. 열 전자는, 주로 유입 고에너지 전자속(또는 전자 전류 jee)에 의해 스위쳐블 플라즈마(222)의 이온화에서 방출된 전자의 결과이다. 그러나, 고에너지 전자속으로부터의 일부 고에너지 전자는 충분한 양의 에너지를 잃을 수도 있고, 따라서 열 전자 집단의 일부가 된다.
디바이(Debye) 차폐로 인해, 스위쳐블 플라즈마(222)의 열 전자만이, 고에너지 전자속과 거의 동일한 양, 즉, |jte|~|jee|으로 DC 전도성 바이어스 전극(224)(예컨대, 열 전자 전류 jte)으로 흐른다. 열 전자 전류(jte)는 DC 전도성 바이어스 전극(224)으로 유도되지만, 이온의 제2 집단으로부터의 제2 이온 플럭스는, 기판(225)으로의 고에너지 전류, jee와 고에너지 전자 발생 2차 전자 전류(jese)의 합과 거의 동일한 (Vp2)(이온 전류, ji2로서;)로 기판에 유도된다.
유입 고에너지 전자 에너지가 충분히 높으면, 고에너지 전자속(jee)의 상당한 부분이 스위쳐블 플라즈마(222)를 통한 통과에서 살아남아 기판(웨이퍼)(225)에 부딪힐 것이다. 그러나, 그것들의 기원에 관계없이(즉, 고에너지 전자속(jee)으로부터의 고에너지 전자 또는 열 전자 집단으로부터의 고에너지 전자), 기판 시스(sheath)를 통해 통과(즉, 전위 "언덕", 또는 {(Vfe)-(Vp1)}을 오르는 것, 여기서 (Vfe)는 고에너지 전자 플로팅 전위)할 수 있는 고에너지 전자만이 기판(225)에 도달할 것이다. 기판(225)이 플로팅 DC 접지에 있기 때문에, 스위쳐블 플라즈마(222) 내의 제2 이온 집단에 의해 공급되는 이온 전류(ji2)({(Vp2)-(Vfe)}에 의해 특징지어지는 이온 에너지를 가짐)는 전자 전류(je2)와 동일할 것이다(즉, 네트 전류가 없음, 또는 |ji2|~|je2| 또는 (ji2+je2)~(ji2+jee+jese)~0). 대안적으로, 플로팅 접지 표면 전위가 DC 접지 약간 위에 있을 것으로 예상되기 때문에, 기판(225)은 거의 DC 접지에 있을 수도 있다.
SQNB 서브시스템(200)에 대한 이러한 구성에서, 상부 플라즈마 전위 위의 스위쳐블 플라즈마 전위의 상승은, 스위쳐블 플라즈마(222)를 형성하기 위해 고에너지 전자빔(전자 전류 jee를 가짐)을 구동하지만, SQNB 서브시스템(200)을 통한 입자 밸런스는 기판(225)에 부딪히는 이온(예컨대, 이온 전류, ji2)과 전자(예컨대, 전자 전류, je2)의 동일한 개수를 강제한다(즉, |ji2|~|je2|). 이 전하 밸런스는, 기판(225)에서 제1 SQNB 절차 및/또는 제2 SQNB 절차를 구동할 수 있는, 기판(225)으로 유도되는 공간 전하 중화 중성빔으로서 나타난다.
도 3은 본 발명의 실시예에 따른 스위쳐블 중성빔 서브시스템의 예시적인 블록도를 도시한다. 도시된 실시예에서는, 예시적인 스위쳐블 준중성빔(SQNB) 시스템이 도시되고, 예시적인 SQNB 시스템(300)은, 1개 이상의 플라즈마 생성 챔버(310) 및 1개 이상의 SQNB 처리 챔버(315)를 포함할 수 있는 스위쳐블 준중성빔(SQNB) 서브시스템(305)을 포함할 수 있다. 1개 이상의 플라즈마 생성 챔버(310)는, 상부 플라즈마 전위에서 상부 플라즈마(313)를 생성하도록 구성될 수 있고, 1개 이상의 SQNB 처리 챔버(315)는, 패터닝된 기판(325)을 이용하여 제1 SQNB 시간 동안 제1 SQNB 절차를 수행하고, 제2 SQNB 시간 동안 제2 SQNB 절차를 수행하기 위한 오염 물질이 없는 진공 환경을 제공하도록 구성될 수 있다. 예컨대, 제1 및/또는 제2 SQNB 절차는, 마스킹층 경화, 건조, 수축, 보정, 및/또는 하드닝 절차, 에칭 절차, 애싱 절차, 세정 절차, 또는 증착 절차, 또는 이들의 임의의 조합을 포함할 수 있다. 대안적으로, 중성빔(NB) 서브시스템 또는 스위쳐블 중성빔(SNB) 시스템이 이용될 수도 있다.
플라즈마 생성 챔버(310)는, 제1 유속으로 제1 플라즈마 생성 가스를 수용하도록 구성될 수 있고, 상부 플라즈마(313)를 형성하도록 구성될 수 있는 상부 플라즈마 영역(312)을 포함할 수 있다. SQNB 처리 챔버(315)는, 상부 플라즈마 영역(312)의 하류에 배치되는 스위쳐블 플라즈마 영역(352)을 포함할 수 있다. SQNB 처리 챔버(315)는, 상부 플라즈마 영역(312)으로부터 전자속 및 1개 이상의 플라즈마 종을 수용하고, 스위쳐블 플라즈마 전위 및 제2 압력으로 그 안에 스위쳐블 플라즈마(353)를 형성하도록 구성될 수 있다. 일부 예에서, 1개 이상의 분리 부재(370)는, 상부 플라즈마 영역(312)과 스위쳐블 플라즈마 영역(352) 사이에 구성될 수 있다.
SQNB 시스템(300)은, 1개 이상의 제1 공급 라인(346)을 이용하여 플라즈마 생성 챔버(310)에서 1개 이상의 제1 가스 분포 소자(347)에 연결될 수 있는 상부 가스 공급 시스템(345)을 포함할 수 있다. 제1 가스 분포 소자(347)는, 플라즈마 생성 챔버(310) 내에 구성될 수 있고, 상부 플라즈마 영역(312) 내의 1개 이상의 구역에 제1 플라즈마 생성 가스를 도입하기 위해 이용될 수 있다. 1개 이상의 컨트롤러(395)가 상부 가스 공급 시스템(345)에 연결될 수 있고, 1개 이상의 컨트롤러(395)가 상부 가스 공급 시스템(345)을 제어 및/또는 감시하도록 구성될 수 있다. 또한, 제1 가스 분포 소자(347)는, 상부 플라즈마 영역(312) 내의 1개 이상의 구역에 상이한 유속들로 상이한 가스들을 제공하도록 구성될 수 있다. 대안적으로, 상이한 도입 방법이 이용될 수도 있다. 제1 플라즈마 생성 가스는, 양전기의 가스, 또는 음전기의 가스, 또는 이들의 혼합물을 포함할 수 있다. 예컨대, 제1 플라즈마 생성 가스는, 비활성 가스, 산소 함유 가스, 질소 함유 가스, 불소 함유 가스, 또는 탄소 함유 가스, 또는 이들의 임의의 조합을 포함할 수도 있다. 추가 예에서, 제1 플라즈마 생성 가스는, 패터닝된 기판(325)을 이용하여 SQNB 절차를 수행하기 위해 적절한 임의의 가스를 포함할 수 있고, 제1 플라즈마 생성 가스는, 패터닝된 기판(325)을 이용하여 SQNB 절차를 수행하기 위해 적절하고, 화학 성분, 원자 또는 분자를 가지는 임의의 가스를 포함할 수 있다. 이들 화학 성분은, 부식제, 막 형성 가스, 희석제, 세정 가스 등을 포함할 수도 있다. 상부 가스 공급 시스템(345)은, 1개 이상의 가스 공급기 또는 가스 소스, 1개 이상의 제어 밸브, 1개 이상의 필터, 1개 이상의 질량 흐름 컨트롤러, 1개 이상의 측정 장치 등을 포함할 수 있다. 제1 공급 라인(346) 및/또는 제1 가스 분포 소자(347)는, 1개 이상의 제어 밸브, 1개 이상의 필터, 1개 이상의 질량 흐름 컨트롤러 등을 포함할 수 있다.
또한, 예시적인 SQNB 시스템(300)은, 다권선 유도 코일(362)에 연결될 수 있는 플라즈마 생성 소스(360)를 포함할 수 있고, 플라즈마 생성 소스(360)는, RF 전원을 다권선 유도 코일(362)에 매치 네트워크(361)를 통해 연결하는 무선 주파수(radio frequency; RF) 발생기를 포함할 수 있다. 1개 이상의 컨트롤러(395)가 플라즈마 생성 소스(360) 및 매치 네트워크(361)에 연결될 수 있고, 1개 이상의 컨트롤러(395)는, 플라즈마 생성 소스(360) 및 매치 네트워크(361)를 제어 및/또는 감시하도록 구성될 수 있다. 예컨대, 플라즈마 생성 소스(360)로부터의 RF 전력은 범위가 약 10W부터 약 700W까지일 수 있다. RF 전원은, 다권선 유도 코일(362)로부터 유전체 창(363)을 통해 상부 플라즈마 영역(312) 내의 상부 플라즈마(313)로 유도 결합된다. 매치 네트워크(361)는, 반사 전력을 감소시킴으로써 플라즈마로의 RF 전력의 전송을 향상시키기 위해 이용될 수 있고, 송신 및/또는 반사 전력을 측정하기 위해 이용될 수 있다. 매치 네트워크 토폴로지(topology)(예컨대, L형, π형, T형 등) 및 자동 제어 방법은 당업자에게 잘 알려져 있다.
다권선 유도 코일(362)로 RF 전력을 적용하기 위한 통상의 주파수는 범위가 약 2MHz부터 약 100MHz까지 일 수 있다. 또한, 슬롯 패러데이 실드(shield)(364)는, 다권선 유도 코일(362)과 플라즈마 간의 용량 결합을 감소시키기 위해 이용될 수 있다. 상부 플라즈마(313)는 임의의 플라즈마 소스에 의해 가열될 수도 있지만, 그 플라즈마 전위 Vup에서 최소 변동을 일으키는, 도 2에 도시된 방법에 의해 상부 플라즈마가 가열되는 것이 바람직하다.
대안 실시예에서는, 상이한 플라즈마 생성 시스템(도시되지 않음)이 플라즈마 생성 챔버(310)에 연결될 수 있고, 상부 플라즈마 영역(312)에서 상부 플라즈마(313)를 생성하도록 구성된다. 이 상이한 플라즈마 생성 시스템은, 용량 결합 플라즈마(CCP), 유도 결합 플라즈마(ICP), 트랜스 결합 플라즈마(TCP), 표면파 플라즈마, 헬리콘파 플라즈마, 또는 전자 사이클로트론 공진(ECR) 가열 플라즈마, 또는 플라즈마 형성의 당업자에 의해 이해되는 다른 유형의 플라즈마를 생성하도록 구성되는 시스템을 포함할 수도 있다. 또한, 감소된 또는 최소의(Vp1) 변동을 일으키는 임의의 ICP 소스가 이용될 수 있다.
일부 실시예에서, SQNB 시스템(300)은, 상부 전원 공급기(340), 상부 전원 공급기(340)에 연결될 수 있는 상부 다위치 스위치(342), 및 상부 피드스루 소자(314)를 포함할 수 있다. 1개 이상의 컨트롤러(395)가 상부 전원 공급기(340) 및 상부 다위치 스위치(342)에 연결될 수 있고, 1개 이상의 컨트롤러(395)는, 상부 전원 공급기(340) 및 상부 다위치 스위치(342)를 제어 및/또는 감시하도록 구성될 수 있다. 예컨대, 상부 피드스루 소자(314)는 필터 및/또는 센서를 포함할 수 있다. 상부 다위치 스위치(342)의 제1 공통 포트(c)를 플라즈마 생성 챔버(310) 내의 상부 직류 전류(DC) 전도성 전극(311)에 연결하기 위해 상부 피드스루 소자(314)가 이용될 수 있고, 상부 피드스루 소자(314)는, 상부 DC 전도성 전극(311)에 전기 접속을 가능하게 하도록 구성될 수 있다.
또한, 상부 다위치 스위치(342)는, 공통 포트(c), 접지 전위에 연결될 수 있는 제1 스위쳐블 포트(a), 및 상부 전원 공급기(340)에 연결될 수 있는 제2 스위쳐블 포트(b)를 포함할 수 있다. 제1 위치(경로 c-a)가 이용되는 경우, 상부 DC 전도성 전극(311)이 접지 전위에 연결될 수 있고, 제2 위치(경로 c-b)가 이용되는 경우, 상부 DC 전도성 전극(311)이 상부 전원 공급기(340)에 연결될 수 있다. 예컨대, 상부 전원 공급기(340)는 DC 전원 및/또는 AC 전원을 제공할 수 있고, 상부 전원 공급기(340)로부터의 출력은, 일정, 변동, 펄스, 스텝, 및/또는 램프일 수 있다. 일부 예에서는, 상부 DC 전도성 전극(311)이 상부 전원 공급기(340)에 연결되는 경우, 상부 전원 공급기(340)는, 하부 바이어스 전극(317)에 제공되는 바이어스 DC 전압보다 작은 DC 전압을 제공할 수 있다.
다른 실시예에서, 상부 DC 전도성 전극(311)은 접지에 연결될 수도 있고, 상부 피드스루 소자(314), 상부 전원 공급기(340), 및/또는 상부 다위치 스위치(342)는 필요하지 않을 수도 있다. 또 다른 실시예에서는, 상부 전원 공급기(340)를 이용하여 상부 DC 전도성 전극(311)이 접지에 연결될 수도 있다.
상부 DC 전도성 전극(311)은, 상부 플라즈마(313)와 접촉하는 경계로서 기능하는 전도성 표면을 가질 수 있다. 예컨대, 상부 DC 전도성 전극(311)은 도핑된 실리콘 전극을 포함할 수 있다. 상부 DC 전도성 전극(311)은, 상부 플라즈마 전위(Vp1)에서 상부 플라즈마(313)에 의해 구동되는 이온 싱크로서 기능할 수 있다. 도 3에 단일 소자가 도시되지만, SQNB 시스템(300)은, 1개 이상의 상부 DC 전도성 전극(311), 1개 이상의 상부 전원 공급기, 및 1개 이상의 상부 다위치 스위치(342)를 포함할 수도 있다.
상부 DC 전도성 전극(311)이 접지되는 경우, 상부 DC 전도성 전극(311)은 상부 플라즈마(313)와 접촉하는 상대적으로 큰 영역을 포함하는 것이 바람직하다. DC 접지에 연결될 때 상부 DC 전도성 전극(311)의 표면 영역을 증가시킴으로써 상부 플라즈마 전위가 낮게 만들어질 수 있다. 예컨대, 상부 플라즈마(313)와 접촉하는 상부 DC 전도성 전극(311)에 대한 전도성 표면의 표면 영역은 상부 플라즈마(313)와 접촉하는 임의의 다른 표면 영역보다 더 클 수도 있다. 또한, 예컨대, 상부 플라즈마(313)와 접촉하는 상부 DC 전도성 전극(311)에 대한 전도성 표면의 표면 영역은, 상부 플라즈마(313)와 접촉하는 다른 전도성 표면 모두의 총합보다 클 수도 있다. 대안적으로, 예로서, 상부 플라즈마(313)와 접촉하는 상부 DC 전도성 전극(311)에 대한 전도성 표면은, 상부 플라즈마(313)와 접촉하는 전도성 표면만일 수도 있다. 상부 DC 전도성 전극(311)은 DC 접지까지 최저 임피던스 경로를 제공할 수도 있다.
또한, SQNB 서브시스템(305)은, 상부 플라즈마 영역(312)과 스위쳐블 플라즈마 영역(352) 사이에 구성될 수 있는 1개 이상의 분리 부재(370)를 포함할 수 있다. 분리 부재(370)는, 상부 플라즈마 영역(312) 내의 상부 플라즈마(313)로부터 스위쳐블 플라즈마 영역(352)으로의 전자속뿐만 아니라 1개 이상의 플라즈마 종을 포함할 수 있는 복수의 빔(350)을 생성하도록 구성될 수 있는 1개 이상의 개구부(372)를 포함할 수 있다. 예컨대, 스위쳐블 플라즈마 영역(352)에서 스위쳐블 플라즈마(353)를 형성하기 위해 복수의 빔(350) 내의 전자 및/또는 이온이 이용될 수 있다. 예컨대, 분리 부재(370)는 복수의 개구부(372)를 포함할 수 있고, 각 개구부(372)는, 빔 각도(φ)를 가질 수 있는 빔(350)을 생성하도록 구성될 수 있다. 빔 각도(φ)는 약 80도부터 약 89.5도까지 변동될 수 있다. 일부 예에서는, 전자/입자 각 궤적의 확률 분포 함수를 이용하여 빔 각도(φ)가 정의될 수 있다.
분리 부재(370) 내의 1개 이상의 개구부(372)는 수퍼 디바이 길이 개구를 포함할 수 있고, 즉, 너비 또는 직경이 디바이 길이보다 크다. 개구부(372)는 적절한 전자 수송을 가능하게 하기 위해 충분히 클 수 있고, 개구부(372)는, 상부 플라즈마 전위와 스위쳐블 플라즈마 전위 사이에 충분히 높은 전위차를 가능하게 하고, 스위쳐블 플라즈마(353)와 상부 플라즈마(313) 사이에 임의의 역 이온 전류를 감소시키기 위해 충분히 작을 수도 있다. 또한, 1개 이상의 개구부(372)는, 상부 플라즈마 영역(312) 내의 제1 압력과 스위쳐블 플라즈마 영역(352) 내의 제2 압력 간의 압력차를 유지하기 위해 충분히 작을 수도 있다.
계속 도 3을 참조하면, SQNB 시스템(300)은, SQNB 처리 챔버(315)에 연결될 수 있는 압력 제어 시스템(354)을 포함할 수 있다. 1개 이상의 컨트롤러(395)가 압력 제어 시스템(354)에 연결될 수 있고, 1개 이상의 컨트롤러(395)는 압력 제어 시스템(354)을 제어 및/또는 감시하도록 구성될 수 있다. 일부 예에서, 압력 제어 시스템(354)은, 진공 펌프(358), 및 SQNB 처리 챔버(315)에 연결될 수 있는 진공 밸브(359)를 포함할 수 있고, 압력 제어 시스템(354)은, SQNB 처리 챔버(315)를 비우고, SQNB 처리 챔버(315) 내의 압력을 제어하도록 구성될 수 있다. 대안적으로, 압력 제어 시스템(354)은, 상이한 개수의 펌프 및/또는 상이한 개수의 흐름 제어 장치를 이용하여 구성될 수 있다. 진공 펌프(358)는, 5000L/sec까지(및 더 크게) 펌핑 속도를 높일 수 있는 터보 분자 진공 펌프(turbo-molecular vacuum pump; TMP)를 포함할 수 있고, 진공 밸브(359)는 게이트 밸브를 포함할 수 있다. 진공 밸브(359)는 SQNB 처리 챔버(315)의 바닥에 형성되는 배기 공간에 연결될 수 있다. 또한, 챔버 상태를 감시하는 1개 이상의 제1 센서(338)가 SQNB 처리 챔버(315)에 연결될 수 있고, SQNB 처리 챔버(315) 내의 압력을 측정하기 위해 1개 이상의 제1 센서(338)가 이용될 수 있다.
또한, 스위쳐블 기판 홀더(320)는, 스위쳐블 기판 홀더(320)의 주변 에지를 넘어 연장하는 배플(baffle) 부재(321)에 의해 둘러싸일 수도 있다. 배플 부재(321)는, 압력 제어 시스템(354)에 의해 전달되는 펌핑 속도를 스위쳐블 플라즈마 영역(352)에 균일하게 분포시키는 역할을 할 수도 있다. 배플 부재(321)는 석영 또는 알루미나와 같은 유전체로 제조될 수도 있다. 배플 부재(321)는, 높은 RF 임피던스를 스위쳐블 플라즈마(353)를 위한 접지에 제공할 수도 있다.
일부 실시예에서는, SQNB 처리 챔버(315)의 측벽에 반도체 기판을 위한 전송 포트(301)가 형성될 수 있고, 그것에 부착되는 게이트 밸브(302)에 의해 개방/폐쇄될 수 있다. 1개 이상의 컨트롤러(395)가 게이트 밸브(302)에 연결될 수 있고, 1개 이상의 컨트롤러(395)는 게이트 밸브(302)를 제어 및/또는 감시하도록 구성될 수 있다. 패터닝된 기판(325)은, 예컨대, 전송 서브시스템(170, 도 1)으로부터 전송 포트(301) 및 게이트 밸브(302)를 통해 SQNB 처리 챔버(315)의 내외로 전송될 수 있고, 스위쳐블 기판 홀더(320) 내에 수용되는 기판 리프트 핀(도시되지 않음)에 의해 받아들여지고, 그 안에 수용되는 장치(도시되지 않음)에 의해 기계적으로 번역될 수 있다. 패터닝된 기판(325)이 전송 시스템으로부터 받아들여진 후, 스위쳐블 기판 홀더(320)의 상부 표면으로 낮춰질 수 있다. 기판 리프트 핀의 설계 및 구현은 당업자에게 주지되어 있다. 대안적으로, 패터닝되지 않은 기판이 이용될 수도 있다.
SQNB 시스템(300)은, 1개 이상의 제2 공급 라인(356)을 이용하여 SQNB 처리 챔버(315) 내의 스위쳐블 가스 분포 소자(357)에 연결될 수 있는 스위쳐블 가스 공급 시스템(355)을 포함할 수 있다. 1개 이상의 컨트롤러(395)가 스위쳐블 가스 공급 시스템(355)에 연결될 수 있고, 1개 이상의 컨트롤러(395)는, 스위쳐블 가스 공급 시스템(355)을 제어 및/또는 감시하도록 구성될 수 있다. 스위쳐블 가스 공급 시스템(355) 및 스위쳐블 가스 분포 소자(357)는, 제1 SQNB 절차 중에 스위쳐블 플라즈마 영역(352)으로 1개 이상의 제1 SQNB 처리 가스를 도입하기 위해 이용될 수 있고, 제2 SQNB 절차 중에 스위쳐블 플라즈마 영역(352)으로 1개 이상의 제2 SQNB 처리 가스를 도입하기 위해 이용될 수 있으며, 제2 SQNB 절차 중에 스위쳐블 플라즈마 영역(352)으로 1개 이상의 SQNB 처리 가스를 도입하기 위해 이용될 수 있다. 예컨대, 제1 및/또는 제2 SQNB 처리 가스는, 경화 가스, 건조 가스, 수축 가스, 보정 가스, 하드닝 가스, 에칭 가스, 애싱 가스, 세정 가스, 또는 증착 가스, 또는 이들의 임의의 조합을 포함할 수 있다. 대안적으로 상이한 도입 방법이 이용될 수도 있다.
스위쳐블 플라즈마 영역(352) 내의 1개 이상의 구역으로 처리 가스를 도입하기 위해 스위쳐블 가스 분포 소자(357)가 이용될 수 있다. 또한, 스위쳐블 가스 분포 소자(357)는, 스위쳐블 플라즈마 영역(352) 내의 1개 이상의 구역에 상이한 유속들로 상이한 가스들을 제공하도록 구성될 수 있다. 대안적으로, 상이한 도입 방법이 이용될 수도 있다. 처리 가스는, 양전기의 가스, 또는 음전기의 가스, 또는 이들의 혼합물을 포함할 수 있다. 예컨대, 처리 가스는, 비활성 가스, 산소 함유 가스, 질소 함유 가스, 불소 함유 가스, 또는 탄소 함유 가스, 또는 이들의 임의의 조합을 포함할 수도 있다. 추가 예에서, 처리 가스는, 패터닝된 기판(325)을 이용하여 SQNB 절차를 수행하기 위해 적절한 임의의 가스를 포함할 수 있고, 제1 플라즈마 생성 가스는, 패터닝된 기판(325)을 이용하여 SQNB 절차를 수행하기 위해 적절하고, 화학 성분, 원자 또는 분자를 가지는 임의의 가스를 포함할 수 있다. 이들 화학 성분은, 부식제, 막 형성 가스, 희석제, 세정 가스 등을 포함할 수도 있다. 스위쳐블 가스 공급 시스템(355)은, 1개 이상의 가스 공급기 또는 가스 소스, 1개 이상의 제어 밸브, 1개 이상의 필터, 1개 이상의 질량 흐름 컨트롤러, 1개 이상의 측정 장치 등을 포함할 수도 있다. 제2 공급 라인(356) 및/또는 스위쳐블 가스 분포 소자(357)는, 1개 이상의 제어 밸브, 1개 이상의 필터, 1개 이상의 질량 흐름 컨트롤러, 1개 이상의 스위치 등을 포함할 수 있다.
도 3에 도시된 바와 같이, SQNB 처리 챔버(315)는, 접지에 연결될 수도 있는 1개 이상의 챔버 라이너(liner) 부재(316)를 포함할 수 있다. 예컨대, 1개 이상의 챔버 라이너 부재(316)는, 1개 이상의 SQNB 처리 챔버의 벽과 스위쳐블 플라즈마 영역(352) 내의 스위쳐블 플라즈마(353) 사이에 배치될 수 있다. 또한, 각 챔버 라이너 부재(316)는 석영 또는 알루미나와 같은 유전체로 제조될 수 있고, 챔버 라이너 부재(316)는 스위쳐블 플라즈마(353)를 위한 접지까지 높은 RF 임피던스를 제공할 수 있다.
또한, SQNB 처리 챔버(315)는, 1개 이상의 절연체(318)를 이용하여 SQNB 처리 챔버(315)로부터 전기적으로 절연될 수 있는 1개 이상의 하부 바이어스 전극(317)을 포함할 수 있다. 하부 바이어스 전극(317)은, 스위쳐블 플라즈마(353)와 접촉하는 1개 이상의 전도성 표면을 가질 수 있다. 하부 바이어스 전극(317)은 금속 또는 도핑된 실리콘과 같은 전도성 물질을 포함할 수 있다. 단일 하부 바이어스 전극(317)이 도 3에 도시되었지만, SQNB 시스템(300)은 1개 이상의 하부 바이어스 전극을 포함할 수 있다.
일부 실시예에서, SQNB 시스템(300)은, 바이어스 전원 공급기(380), 하부 전원 공급기(380)에 연결될 수 있는 하부 다위치 스위치(382), 및 하부 피드스루 소자(384)를 포함할 수 있다. 1개 이상의 컨트롤러(395)가 바이어스 전원 공급기(380) 및/또는 하부 다위치 스위치(382)에 연결될 수 있고, 1개 이상의 컨트롤러(395)는, 바이어스 전원 공급기(380) 및/또는 하부 다위치 스위치(382)를 제어 및/또는 감시하도록 구성될 수 있다. 예컨대, 하부 피드스루 소자(384)는 필터 및/또는 센서를 포함할 수 있고, 하부 바이어스 전극(317)에 전기 접속을 가능하게 하도록 구성될 수 있다. 하부 다위치 스위치(382)의 제1 공통 포트(d)를 SQNB 처리 챔버(315) 내의 하부 바이어스 전극(317)에 연결하기 위해 하부 피드스루 소자(384)가 이용될 수 있다. 또한, 하부 다위치 스위치(382)는, 하부 전원 공급기(380)에 연결될 수 있는 제1 스위쳐블 포트(e)를 포함할 수 있고, 접지 전위에 연결될 수 있는 제2 스위쳐블 포트(f)를 포함할 수 있다. 제1 위치(경로(d-e))가 이용되는 경우, 하부 바이어스 전극(317)은 하부 전원 공급기(380)에 연결될 수 있고, 제2 위치(경로(d-f))가 이용되는 경우, 하부 바이어스 전극(317)은 접지 전위에 연결될 수 있다. 예컨대, 하부 전원 공급기(380)는 DC 전원 및/또는 AC 전원을 제공할 수 있고, 하부 전원 공급기(380)로부터의 출력은 일정, 변동, 펄스, 스텝, 및/또는 램프일 수 있다.
다른 실시예에서, 하부 바이어스 전극(317)은 접지에 연결될 수도 있고, 하부 피드스루 소자(384), 하부 전원 공급기(340), 및/또는 하부 다위치 스위치(382)가 필요하지 않을 수도 있다. 또 다른 실시예에서, 하부 바이어스 전극(317)은 하부 전원 공급기(380)에 연결될 수도 있다.
바이어스 전원 공급기(380) 및 하부 바이어스 전극(317)은, 전자속을 정확한 방향으로 구동하기 위해 스위쳐블 플라즈마 전위를 상부 플라즈마 전위 위의 값으로 상승시키도록 구성될 수 있다. 불필요할지라도, 하부 바이어스 전극(317)은 스위쳐블 플라즈마(353)와 접촉하는 상대적으로 큰 영역을 포함하는 것이 바람직하다. +VDC 전위에서의 영역이 커질수록, 스위쳐블 플라즈마 전위가 +VDC에 가까워질 것이다. 예로서, 하부 바이어스 전극(317)의 총 면적은, 스위쳐블 플라즈마(353)와 접촉하는 다른 전도성 표면 모두의 총합보다 커질 수도 있다. 대안적으로, 예로서, 하부 바이어스 전극(317)의 총 면적은 스위쳐블 플라즈마(353)와 접촉하는 전도성 표면만일 수도 있다.
바이어스 전원 공급기(380)는 가변 DC 전원 공급기를 포함할 수 있다. 또한, 바이어스 전원 공급기(380)는 바이폴라 DC 전원 공급기를 포함할 수 있다. 바이어스 전원 공급기(380)는, 모니터링 조정, 또는 바이어스 전원 공급기(380)의 극성, 전류, 전압, 또는 온/오프 상태의 제어 중 적어도 하나를 수행하도록 구성되는 시스템을 더 포함할 수 있다. RF 전원을 바이어스 전원 공급기(380)로부터 분리하기 위해 전기 필터가 이용될 수도 있다.
예컨대, 바이어스 전원 공급기(380)에 의해 하부 바이어스 전극(317)에 인가되는 DC 전압은 범위가 약 0V부터 약 10000V까지일 수도 있다. 바람직하게는, 바이어스 전원 공급기(380)에 의해 하부 바이어스 전극(317)에 인가되는 DC 전압은 범위가 약 50V부터 약 5000V까지일 수도 있다. 또한, DC 전압은 양극성을 가지는 것이 바람직하다. 또한, DC 전압은 약 50V보다 큰 절대값을 가지는 양전압인 것이 바람직하다.
계속 도 3을 참조하면, SQNB 처리 챔버(315)는, 패터닝된 기판(325)을 지지하도록 구성되는 스위쳐블 기판 홀더(320)를 포함할 수 있다. 스위쳐블 기판 홀더(320)는, 1개 이상의 피드스루(ft)를 이용하여 클램핑(clamping) 공급기(322)에 연결될 수 있고, 패터닝된 기판(325)을 스위쳐블 기판 홀더(320)의 상부 표면에 부착하기 위해 이용될 수 있는 정전 클램핑(electrostatic clamping; ESC) 전극(323)을 포함할 수 있다. 1개 이상의 컨트롤러(395)가 클램핑 공급기(322)에 연결될 수 있고, 1개 이상의 컨트롤러(395)는 클램핑 공급기(322)를 제어 및/또는 감시하도록 구성될 수 있다. 일부 실시예에서는, 패터닝된 기판(325)과 스위쳐블 기판 홀더(320) 사이의 열 전달을 향상시키기 위해 정전 클램핑(ESC) 전극(323) 및 클램핑 공급기(322)가 이용될 수 있다. 다른 실시예에서는, 패터닝된 기판(325)을 스위쳐블 기판 홀더(320)로부터 절연하기 위해 정전 클램핑(ESC) 전극(323)이 이용될 수 있다.
또한, 스위쳐블 기판 홀더(320)는, 1개 이상의 피드스루(ft)를 이용하여 후면 가스 수송 시스템(326)에 연결될 수 있고, 패터닝된 기판(325)과 스위쳐블 기판 홀더(320) 사이의 가스-갭 열 전도도을 향상시키기 위해 가스를 패터닝된 기판(325)의 후면에 도입하도록 구성될 수 있는 후면 가스 소자(327)를 포함할 수 있다. 1개 이상의 컨트롤러(395)가 후면 가스 수송 시스템(326)에 연결될 수 있고, 1개 이상의 컨트롤러(395)는 후면 가스 수송 시스템(326)을 제어 및/또는 감시하도록 구성될 수 있다. 패터닝된 기판(325)의 온도 제어가 상승된 또는 감소된 온도에서 필요한 경우에 이러한 시스템이 이용될 수 있다. 예컨대, 후면 가스 수송 시스템(326)은 2구역(중심/에지) 후면 가스 소자(327)에 연결될 수 있고, 헬륨 가스 갭 압력은 패터닝된 기판(325)의 중심과 에지 사이에서 독립적으로 변동될 수 있다. 다른 실시예에서는, 패터닝된 기판(325)을 스위쳐블 기판 홀더(320)로부터 절연하기 위해 후면 가스 소자(327)가 이용될 수 있다.
또한, SQNB 시스템(300)은, 1개 이상의 피드스루(ft)를 이용하여 스위쳐블 기판 홀더(320)에 연결되는 온도 제어 시스템(328)을 포함할 수 있고, 패터닝된 기판(325)의 온도를 조정 및 제어하도록 구성될 수 있다. 온도 제어 시스템(328)은 1개 이상의 온도 제어 소자(329)에 연결될 수 있다. 1개 이상의 컨트롤러(395)가 온도 제어 시스템(328)에 연결될 수 있고, 1개 이상의 컨트롤러(395)는, 온도 제어 시스템(328)을 제어 및/또는 감시하도록 구성될 수 있다. 예컨대, 열교환용 유체를 재순환시키기 위해 온도 제어 소자(329)가 이용될 수도 있다. 또한, 온도 제어 소자(329)는, SQNB 처리 챔버(315)의 챔버 벽 및 SQNB 처리 챔버(315) 내의 임의의 다른 부품뿐만 아니라 스위쳐블 기판 홀더(320)에 포함될 수 있는 열전 가열기/냉각기, 또는 저항 가열식 소자와 같은 가열/냉각 소자를 포함할 수 있다. 일부 실시예에서, 후면 가스 수송 시스템(326)에 연결되는 2구역 후면 가스 소자(327) 및 온도 제어 시스템(328)에 연결되는 온도 제어 소자(329)는, 기판에 대한 제1 에지 온도 및 제1 중심 온도를 설정하도록 구성될 수 있고, 제1 에지 온도 및 제1 중심 온도는 약 0℃와 약 100℃ 사이일 수 있다.
또 다른 실시예에서, SQNB 시스템(300)은 추가 기판 바이어스 부품을 포함할 수 있고, 스위쳐블 기판 홀더(320)는, 1개 이상의 절연 소자(335)를 이용하여 SQNB 처리 챔버(315) 내의 하부(bottom) 챔버로부터 절연될 수 있다. 스위쳐블 기판 홀더(320)는, 바이어스 발생기(330)에 연결될 수 있는 기판 바이어스 전극(333), 필터 네트워크(331), 제1 다위치 스위치(332), 및/또는 제1 피드스루 소자(334)를 포함할 수 있다. 1개 이상의 컨트롤러(395)가 바이어스 발생기(330), 필터 네트워크(331), 및/또는 제1 다위치 스위치(332)에 연결될 수 있고, 1개 이상의 컨트롤러(395)는, 바이어스 발생기(330), 필터 네트워크(331), 및/또는 제1 다위치 스위치(332)를 제어 및/또는 감시하도록 구성될 수 있다. 예컨대, 제1 피드스루 소자(334)는 필터 및/또는 센서를 포함할 수 있고, 기판 바이어스 전극(333)에 전기 접속을 가능하게 하도록 구성될 수 있다. 제1 다위치 스위치(332)의 공통 포트(g)를 스위쳐블 기판 홀더(320) 내의 기판 바이어스 전극(333)에 연결하기 위해 제1 피드스루 소자(334)가 이용될 수 있다. 또한, 제1 다위치 스위치(332)는, 접지 전위에 연결될 수 있는 제1 스위쳐블 포트(h)를 포함할 수 있고, 절연될 수 있는 제2 스위쳐블 포트(i)를 포함할 수 있으며, 필터 네트워크(331)에 연결될 수 있는 제1 스위쳐블 포트(j)를 포함할 수 있다. 제1 위치(경로(g-h))가 이용되는 경우, 기판 바이어스 전극(333) 및/또는 스위쳐블 기판 홀더(320)는 접지 전위에 연결될 수 있고, 제2 경로(g-i)가 이용되는 경우, 기판 바이어스 전극(333) 및/또는 스위쳐블 기판 홀더(320)는 절연될 수 있으며, 제3 경로(g-j)가 이용되는 경우, 기판 바이어스 전극(333) 및/또는 스위쳐블 기판 홀더(320)는 필터 네트워크(331)를 이용하여 바이어스 발생기(330)에 연결될 수 있다. 일부 예에서, 바이어스 발생기(330)는 DC 전원 및/또는 AC 전원을 제공할 수 있고, 바이어스 발생기(330)로부터의 출력은 일정, 변동, 펄스, 스텝, 및/또는 램프일 수 있다. 다른 예에서, 바이어스 발생기(330)는 1개 이상의 RF 신호을 제공할 수 있고, RF 신호 주파수는 범위가 약 0.1MHz부터 약 100MHz까지일 수 있고, RF 신호 전력은 일부 SQNB 절차 중에 약 10W부터 약 1000W까지 변동될 수 있다.
다른 실시예에서는, 스위쳐블 기판 홀더(320)가 접지에 연결되거나 절연될 수도 있고, 바이어스 발생기(330), 필터 네트워크(331), 제1 피드스루 소자(334), 제1 다위치 스위치(332)가 불필요할 수도 있다. 또 다른 실시예에서는, 바이어스 발생기(330) 및/또는 필터 네트워크(331)를 이용하여 스위쳐블 기판 홀더(320)가 접지에 연결되거나 절연될 수도 있다.
스위쳐블 기판 홀더(320)가 접지에 연결되는 경우, 패터닝된 기판(325)은 플로팅 접지에 있을 수 있고, 따라서, 스위쳐블 플라즈마(353)가 접촉하는 접지만이 패터닝된 기판(325)에 의해 제공되는 플로팅 접지이다. 예컨대, 패터닝된 기판(325)이 스위쳐블 기판 홀더(320)에 클램프(clamp)되는 경우, 세라믹 정전 클램프(ESC)층은, 패터닝된 기판(325)을 스위쳐블 기판 홀더(320)로부터 절연할 수도 있다. 예컨대, ESC 전압은 약 2000V부터 약 3000V까지 변동될 수 있다.
포커스 링(focus ring)(306)이 이용되는 경우, 포커스 링(306)은, 실리콘 함유 물질을 포함할 수 있고, 스위쳐블 기판 홀더(320)의 상부(top)에 배치될 수 있다. 일부 예에서, 포커스 링(306)은, 기판의 에지에서의 균일성을 향상시키기 위해 정전 전극(323), 후면 가스 소자(327), 및 패터닝된 기판(325)에 둘러싸이도록 구성될 수 있다. 다른 예에서, 포커스 링(306)은, 패터닝된 기판(325)의 에지 온도를 변경하기 위해 이용될 수 있는 보정 링 부분(도시되지 않음)을 포함할 수 있다. 여러 가지 실시예에서, 전도성 또는 비전도성 포커스 링이 이용될 수도 있다.
내부 증착 실드(308)가 이용되는 경우, 제1 및/또는 제2 SQNB 절차 중에 생성되는 부산물이 스위쳐블 기판 홀더(320)에 증착되는 것을 방지하기 위해 내부 증착 실드(308)가 기판 홀더 실드(307)에 분리 가능하게 연결될 수 있다. 대안적으로, 내부 증착 실드(308) 및/또는 기판 홀더 실드(307)가 불필요할 수도 있다. 배플 부재(321) 및 기판 홀더 실드(307)는 Y2O3와 같은 세라믹으로 덮인 알루미늄 본체를 포함할 수 있다.
도 3에 도시된 바와 같이, SQNB 시스템(300)은, 스위쳐블 플라즈마 영역(352) 내의 스위쳐블 플라즈마(353)로부터 사출되는 광을 감시하는 1개 이상의 광학 장치를 포함할 수 있는 1개 이상의 센서(338, 339), 및/또는 배기 가스를 감시하는 1개 이상의 가스 센싱 장치를 포함할 수 있다. 센서(338, 339)는, 종말점 검출기(End Point Detector; EPD)로서 이용될 수 있고, EPD 데이터를 제공할 수 있는 광학 센서를 포함할 수 있다. 예컨대, 광 사출 분광(Optical Emission Spectroscopy; OES) 센서가 이용될 수도 있다. 또한, 센서(338, 339)는, 전류 및/또는 전압 프로브, 전력계, 스펙트럼 분석기, 또는 RF 임피던스 분석기, 또는 이들의 임의의 조합을 포함할 수 있다. 또한, 전압 또는 전류의 시간 기록과 같은 전기 신호의 측정은, 이산 푸리에 급수 표현(주기 신호를 가정)을 이용하여 주파수 영역으로의 신호의 변환을 가능하게 한다. 그 후에, 플라즈마의 상태를 특정하기 위해 푸리에 스펙트럼(또는 시변 신호, 주파수 스펙트럼에 대해)이 감시되고 분석될 수 있다.
또한, SQNB 시스템(300)은, 1개 이상의 마이크로프로세서, 1개 이상의 메모리 소자, 및 SQNB 시스템(300)으로부터의 출력을 감시할 뿐만 아니라 SQNB 시스템(300)으로의 입력을 통신 및 구동하기에 충분한 제어 전압을 발생시킬 수 있는 1개 이상의 아날로그 및/또는 디지털 I/O 장치(잠재적으로 D/A 및/또는 A/D 변환기를 포함)를 포함할 수 있는 1개 이상의 컨트롤러(395)를 포함할 수 있다. 도 3에 도시된 바와 같이, 컨트롤러(395)는, 게이트 밸브(302), 클램핑 공급기(322), 후면 가스 수송 시스템(326), 온도 제어 시스템(328), 바이어스 발생기(330), 필터 네트워크(331), 제1 다위치 스위치(332), 센서(338, 339), 상부 전원 공급기(340), 상부 다위치 스위치(342), 상부 가스 공급 시스템(345), 스위쳐블 가스 공급 시스템(355), 압력 제어 시스템(354), 플라즈마 생성 소스(360), 바이어스 전원 공급기(380), 및 하부 다위치 스위치(382)에 연결되고 정보를 교환할 수 있다. 기억된 처리 레시피에 따라서 SQNB 시스템(300)의 전술한 부품과 상호작용하기 위해 메모리에 기억되는 1개 이상의 프로그램이 이용될 수 있다.
1개 이상의 컨트롤러(395)는, 메모리에 기억되는 1개 이상의 명령의 1개 이상의 시퀀스를 실행하는 컨트롤러/프로세서에 대응하여 본 발명의 마이크로프로세서 기반 처리 단계의 일부 또는 전부를 수행하는 범용 컴퓨터 시스템으로서 수행될 수도 있다. 이러한 명령은, 하드 디스크 또는 제거할 수 있는 미디어 드라이브와 같은 다른 컴퓨터 판독 가능한 매체로부터 컨트롤러 메모리 내로 판독될 수도 있다. 메인 메모리에 기억되는 명령의 시퀀스를 실행하기 위해 다중 처리 배열 내의 1개 이상의 프로세서도 제어 마이크로프로세서로서 이용될 수도 있다. 대안 실시예에서, 소프트웨어 명령 대신에 또는 함께 고정 배선 회로가 이용될 수도 있다. 따라서, 실시예가 하드웨어 회로 및 소프트웨어의 임의의 특정 조합에 한정되지 않는다.
여러 가지 실시예에서, 상부 가스 공급 시스템(345)과 관련된 플라즈마 종은, Ar, CF4, F2, C4F8, CO, C5F8, C4F6, CHF3, N2/H2, 또는 HBr, 또는 이들의 임의의 2개 이상의 조합을 포함할 수 있다. 복수의 제1 가스 분포 소자(347)는 상부 플라즈마 영역(312)의 상이한 영역들에 상이한 유속들을 제공할 수 있다. 또한, 스위쳐블 가스 공급 시스템(355)과 관련된 플라즈마 종은, Ar, CF4, F2, C4F8, CO, C5F8, C4F6, CHF3, N2/H2, 또는 HBr, 또는 이들의 임의의 2개 이상의 조합을 포함할 수 있다. 복수의 제1 SQNB 처리 가스 분포 소자(357)는 스위쳐블 플라즈마 영역(352)의 상이한 영역들에 상이한 유속들을 제공할 수 있다.
제1 플라즈마 생성 가스 및/또는 제1 SQNB 처리 가스가 1개 이상의 플루오르 카본 가스 및 1개 이상의 비활성 가스를 포함하는 경우, 제1 플루오르 카본 가스 유속은 약 10 sccm과 약 50 sccm 사이에서 변동하고, 제1 비활성 가스 유속은 약 3 sccm과 약 20sccm 사이에서 변동하며, 플루오르 카본 가스는 C4F6, C4F8, C5F8, CHF3, 또는 CF4, 또는 이들의 임의의 조합을 포함하고, 비활성 가스는 Ar, 헬륨(He), 크립톤(Kr), 네온(Ne), 라돈(Rn), 또는 제논(Xe), 또는 이들의 임의의 조합을 포함할 수 있다.
제1 플라즈마 생성 가스 및/또는 제1 SQNB 처리 가스가 CO를 포함하는 경우, CO 유속은 약 2 sccm과 약 20 sccm 사이에서 변동할 수 있다.
예로서, 양전기 방전에서, 전자 밀도는 범위가 약 1010 cm-3부터 1013 cm-3까지일 수도 있고, 전자 온도는 범위가 약 1 eV부터 약 10 eV까지일 수도 있다(이용되는 플라즈마 소스의 유형에 따름).
도 3에 도시된 바와 같이, 복수의 빔(350)은, 분리 부재(370)를 통해 상부 플라즈마 영역(312)과 스위쳐블 플라즈마 영역(352) 사이에 발생하는 전자속을 포함할 수 있다. 필드-보강 확산에 의해 전자 수송이 구동되고, 여기서 상부 플라즈마 전위와 스위쳐블 플라즈마 전위 간의 전위차에 의해 전계가 설정된다. 복수의 빔(350)은, 스위쳐블 플라즈마(353)에서 이온화를 유지하기 위해 충분히 고에너지일 수 있는 전자속을 포함할 수 있다.
SQNB 시스템(300)에 의해 제1 및/또는 제2 SQNB 처리가 수행되는 경우, 게이트 밸브(302)가 개방될 수 있고, 패터닝된 기판(325)이 SQNB 처리 챔버(315) 내로 전송되어 스위쳐블 기판 홀더(320)에 배치될 수 있다. 플라즈마 생성 챔버(310)는 상부 플라즈마 종을 제공할 수 있고, SQNB 처리 챔버(315)는, 패터닝된 기판(325)의 표면에 인접한 스위쳐블 플라즈마 영역(352) 내의 스위쳐블 플라즈마(353)의 생성을 가능하게 하기 위해 상부 플라즈마 종을 이용하도록 구성될 수 있다. 스위쳐블 플라즈마 종은, C4F8과 같은 플루오르 카본 성분(CxFy)을 포함할 수 있고, Ar 또는 CO와 같은 다른 성분을 포함할 수도 있다. 상부 플라즈마 종(이온) 및/또는 전자에 대한 유속은 제1 및/또는 제2 SQNB 처리 레시피를 이용하여 설정될 수 있다. 제1 SQNB 절차 중에, 스위쳐블 가스 공급 시스템(355)으로부터 이온화 가스 또는 가스의 혼합물이 도입될 수 있고, 압력 제어 시스템(354)을 이용하여 처리 압력이 조절될 수 있다. 또한, 스위쳐블 가스 공급 시스템(355)으로부터 이온화 처리 가스 또는 처리 가스의 혼합물이 도입될 수 있고, SQNB 처리 절차 중에 압력 제어 시스템(354)을 이용하여 처리 압력이 조절될 수 있다. 예컨대, 플라즈마 생성 챔버(310) 내의 압력은 범위가 약 1 mtorr부터 약 1200 mtorr까지일 수 있고, SQNB 처리 챔버(315) 내의 압력은, 여러 가지 제1 및/또는 제2 SQNB 절차 중에 범위가 약 0.1 mtorr와 약 150 mtorr 사이일 수 있다. 다른 예에서, 플라즈마 생성 챔버(310) 내의 압력은 범위가 약 10 mtorr부터 약 150 mtorr까지일 수 있고, SQNB 처리 챔버(315) 내의 압력은, 다른 SQNB 제1 및/또는 제2 SQNB 절차 중에 범위가 약 1 mtorr와 약 15 mtorr 사이일 수 있다.
일부 SQNB 처리 절차 중에, 스위쳐블 플라즈마 영역(352)에서 생성되는 스위쳐블 플라즈마(353)를 유지 및 제어하기 위해 미리 정해진 전력 레벨에서 바이어스 발생기(330)로부터 기판 바이어스 전극(333)으로 RF 신호가 적용될 수 있다. 예컨대, RF 신호는, 상부 플라즈마 종, 전자, 및/또는 처리 가스가 SQNB 처리 챔버(315) 내로 공급될 때에 1개 이상의 신호 전력 레벨에서 하부 전극으로 이온 인력을 제공할 수도 있다. 또한, 바이어스 전원 공급기(380)로부터 1개 이상의 DC 전도성 바이어스 전극으로 미리 정해진 DC 전압이 인가될 수 있다. 또한, 반도체 기판을 스위쳐블 기판 홀더(320)에 고정하기 위해 클램핑 공급기(322)로부터 정전 전극(323)으로 다른 DC 전압이 인가될 수 있다. 패터닝된 기판(325)에 포토레지스트층을 처리하기 위해 스위쳐블 플라즈마(353)에서 생성되는 라디칼 및 이온이 이용될 수 있다.
1개 이상의 센서(338, 339)가 플라즈마 상태를 검출하기 위해 구성될 수 있고, 따라서 컨트롤러(395)는, 검출된 플라즈마 상태를 이용하여 SQNB 서브시스템(305), 제1 SQNB 절차(레시피) 파라미터, 및/또는 제2 SQNB 절차(레시피) 파라미터를 제어할 수 있다. 또한, 제1 및/또는 제2 SQNB 절차 중에 플라즈마 시스 길이 및/또는 전자 밀도를 측정하기 위해 1개 이상의 센서(338, 339)가 이용될 수 있다.
패터닝된 기판(325) 상의 포토레지스트막이 193nm 포토레지스트 물질을 포함하는 경우, 193nm 포토레지스트 물질은, SQNB 경화 절차 중에 전자로 방사될 때에 그 중합체 구조를 변경한다. 레지스트 교차 결합 반응으로 인해 193nm 포토레지스트 물질의 조성이 재형성되는 경우, 193nm 포토레지스트 물질의 에칭 저항 특성이 증가될 수 있고, 193nm 포토레지스트 물질의 표면 조도가 감소될 수 있다. 따라서, 전자로의 조사에 의해 193nm 포토레지스트 물질(특히, ArF 레지스트 물질)의 에칭 저항 특성을 강화하기 위해 플라즈마 상태가 컨트롤러(395)에 의해 제어될 수 있다.
도 4는 본 발명의 실시예에 따른 스위쳐블 준중성빔(SQNB) 절차의 예시적인 흐름도를 도시한다. 도시된 실시예에서는, 도 2a, 2b 및 3에 도시된 바와 같은 SQNB 서브시스템을 이용하여 1개 이상의 패터닝된 기판에 1개 이상의 SQNB 처리를 수행하기 위해 절차(400)가 제공된다. 예컨대, SQNB 처리는, 마스킹층 경화, 건조, 수축, 보정, 및/또는 하드닝 절차, 에칭 절차, 애싱 절차, 세정 절차, 또는 증착 절차, 또는 이들의 임의의 조합을 포함할 수 있다.
410에서는, 1개 이상의 서브시스템(110, 120, 130, 140, 150, 160, 및 170)에 연결될 수 있는 전송 서브시스템(170, 도 1)에 의해 패터닝된 기판의 제1 세트가 받아들여질 수 있다. 대안적으로, 전송 서브시스템(170, 도 1)에 의해 패터닝되지 않은 기판이 받아들여질 수도 있다. 각 패터닝된 기판은 그 위에 복수의 제1 게이트 스택(stack)(501, 도 5a)을 가질 수 있고, 제1 게이트 스택(501, 도 5a)은 복수의 게이트 관련 마스킹 피쳐(550, 도 5a) 및 복수의 추가 층(510, 515, 520, 525, 530, 535, 540, 및 545, 도 5a-5b)을 포함할 수 있다. 대안적으로, 제1 게이트 스택(501, 도 5a)은 상이하게 구성될 수도 있다. 1개 이상의 패터닝된 기판의 제1 세트와 관련된 실시간 및/또는 이력 데이터를 수신, 결정 및/또는 전송하기 위해 1개 이상의 컨트롤러(114, 124, 134, 144, 154, 164, 및 190)가 이용될 수 있다.
415에서는, 1개 이상의 컨트롤러(114, 124, 134, 144, 154, 164, 및 190)를 이용하여 패터닝된 기판의 제1 세트에 대해 제1 SQNB 관련 처리 시퀀스가 결정될 수 있다. 제1 SQNB 관련 처리 시퀀스는, 1개 이상의 경화 절차, 1개 이상의 건조 절차, 1개 이상의 수축 절차, 1개 이상의 보정 절차, 1개 이상의 하드닝 절차, 1개 이상의 에칭 절차, 1개 이상의 애싱 절차, 1개 이상의 세정 절차, 1개 이상의 평가 절차, 1개 이상의 확인 절차, 1개 이상의 측정 절차, 또는 1개 이상의 증착 절차, 또는 이들의 임의의 조합을 포함할 수 있다.
일부 실시예에서는, 도 2a, 2b 및 3에 도시된 바와 같이 구성될 수 있는 SQNB 서브시스템(150, 도 1)을 이용하여 제1 SQNB 관련 처리 시퀀스 내의 절차가 수행될 수 있다. 다른 실시예에서는, 1개 이상의 다른 서브시스템(110, 120, 130, 140, 160, 및 170)을 이용하여 제1 SQNB 관련 처리 시퀀스 내의 절차가 수행될 수 있다. 또한, 1개 이상의 서브시스템(110, 120, 130, 140, 150, 160, 및 170)을 이용하여 확인 절차가 수행될 수 있다. 예컨대, 평가 서브시스템(160, 도 1)을 이용하여 패터닝된 기판의 제1 세트에 대해 계측 데이터 및/또는 CDSEM 데이터가 획득될 수 있고, 게이트 스택(501a-501c, 도 5a) 및 (501c-501e, 도 5b)에 대해 계측 데이터를 제공하기 위해 광학 디지털 프로필로메트리(ODP) 모델이 이용될 수 있다. 또한, 계측 데이터는, 프로파일 데이터, 주기 데이터, 파장 데이터, 회절 신호 데이터, 반사 데이터, CD 데이터, 및 SWA 데이터를 포함할 수 있다.
420에서는, 제1 SQNB 절차가 수행될 수 있다. 패터닝된 기판의 제1 세트로부터 선택될 수 있는 제1 패터닝된 기판이 제1 SQNB 절차를 이용하여 처리될 수 있다. 예컨대, 마스킹층을 개질 및/또는 평가하기 위해 제1 SQNB 절차가 이용될 수 있다. SQNB 처리 챔버(315, 도 3) 내의 스위쳐블 기판 홀더(320, 도 3)에 제1 패터닝된 기판이 위치될 수 있고, 스위쳐블 기판 홀더(320, 도 3)가, 1개 이상의 절연 소자(335, 도 3)를 이용하여 SQNB 처리 챔버(315, 도 3) 내의 하부 챔버 벽으로부터 절연될 수 있다.
제1 패터닝된 기판은 복수의 제1 게이트 스택(501, 도 5a)을 그 위에 가질 수 있고, 제1 게이트 스택(501, 도 5a)은, 금속 게이트 관련일 수 있는 복수의 마스킹 피쳐(550, 도 5a) 및 1개 이상의 금속 게이트 관련 층을 포함할 수 있는 복수의 추가 층(510, 515, 520, 525, 530, 535, 540, 및 545, 도 5a-5b)을 포함할 수 있다. 대안적으로, 제1 게이트 스택(501, 도 5a)은, 상이하게 구성될 수도 있고, 폴리-게이트 절차에서 이용될 수도 있다. 일부 예에서는, 제2 게이트 스택(501a, 도 5a)에 도시된 바와 같은 복수의 개질, 경화, 수축, 보호, 및/또는 하드닝 마스킹 피쳐(550a, 도 5a)를 생성하기 위해 제1 게이트 스택(501, 도 5a)에서 마스킹 피쳐(550, 도 5a)를 수축, 보정, 보호, 경화, 및/또는 하드닝하기 위해 제1 SQNB 레지스트 개질 절차가 이용될 수 있다. 대안적으로, 제1 게이트 스택(501, 도 5a) 및/또는 제2 게이트 스택(501a, 도 5a)이 상이하게 구성될 수도 있다.
제1 SQNB 레지스트 개질 절차 중에, 제1 상부 플라즈마 전위에서 상부 플라즈마 영역 내의 제1 플라즈마 생성 가스를 이용하여 제1 상부 플라즈마가 생성될 수 있다. 여러 가지 예에서, 제1 플라즈마 생성 가스는, Ar, CF4, F2, O2, N2, CO, C4F8, C5F8, C4F6, CHF3, N2/H2, 또는 HBr, 또는 이들의 임의의 2개 이상의 조합을 포함할 수 있다. 복수의 제1 가스 분포 소자(347, 도 3)는 상부 플라즈마 영역(312, 도 3)의 상이한 영역들에 상이한 유속들을 제공할 수 있다.
일부 실시예에서는, 제1 SQNB 레지스트 개질 절차의 일부 부분 중에 상부 DC 전도성 전극(311, 도 3)을 접지 전위에 연결하기 위해 상부 다위치 스위치(342, 도 3)가 이용될 수 있고, 제1 상부 플라즈마 전위를 제어하기 위한 제1 SQNB 레지스트 개질 절차의 다른 부분 중에 상부 DC 전도성 전극(311, 도 3)을 상부 전원 공급기(340, 도 3)에 연결하기 위해 상부 다위치 스위치(342, 도 3)가 이용될 수 있다. 다른 실시예에서는, 제1 상부 플라즈마 전위를 제어하기 위한 실질적으로 모든 제1 SQNB 레지스트 개질 절차 중에 상부 DC 전도성 전극(311, 도 3)을 접지 전위에 연결하기 위해 상부 다위치 스위치(342, 도 3)가 이용될 수 있다. 일부 다른 실시예에서는, 제1 상부 플라즈마 전위를 제어하기 위한 실질적으로 모든 제1 SQNB 레지스트 개질 절차 중에 상부 DC 전도성 전극(311, 도 3)을 상부 전원 공급기(340, 도 3)에 연결하기 위해 상부 다위치 스위치(342, 도 3)가 이용될 수 있다. 예컨대, 상부 전원 공급기(340, 도 3)는 DC 전원 및/또는 AC 전원을 제공할 수 있고, 제1 SQNB 레지스트 개질 절차 중에 제1 상부 플라즈마 전위를 제어하기 위해 상부 전원 공급기(340, 도 3)로부터의 출력은 일정, 변동, 펄스, 스텝, 및/또는 램프일 수 있다.
제1 SQNB 레지스트 개질 플라즈마도, 제1 상부 플라즈마로부터의 전자속을 이용하여 제1 SQNB 플라즈마 전위로, 스위쳐블 플라즈마 영역에서 형성될 수 있다. 상부 플라즈마 영역 내의 제1 상부 플라즈마로부터의 전자속은, 플라즈마 생성 챔버로부터 분리 부재를 통해 제1 SQNB 레지스트 개질 플라즈마가 생성될 수 있는 SQNB 처리 챔버로 통과한다. 도 2a, 2b 및 3에 도시된 바와 같이, 스위쳐블 플라즈마 영역이 SQNB 처리 챔버 내에 위치될 수도 있고, 상부 플라즈마 영역으로부터 스위쳐블 플라즈마 영역으로 전자 및 1개 이상의 플라즈마 종의 수송 또는 공급을 가능하게 하기 위해 플라즈마 생성 챔버와 SQNB 처리 챔버 사이에 배치되는 분리 부재 내의 1개 이상의 개구부 또는 통로가 이용될 수 있다.
또한, 전자속을 제어하기 위해 제1 SQNB 레지스트 개질 플라즈마 전위가 제1 상부 플라즈마 전위 위로 상승될 수 있다. 상부 플라즈마 영역 내의 제1 상부 플라즈마는 경계 구동 플라즈마(즉, 플라즈마 경계가 각 플라즈마 전위에 실질적인 영향을 가짐)일 수도 있고, 제1 플라즈마와 접촉하는 경계의 일부 또는 전부가 DC 접지와 연결될 수 있다. 또한, 스위쳐블 플라즈마 영역 내의 제1 SQNB 레지스트 개질 플라즈마는 경계 구동 플라즈마일 수도 있고, 여기서 스위쳐블 플라즈마와 접촉하는 경계의 일부 또는 전부가 +VDC에서 DC 전압원에 연결된다. 도 2a, 2b 및 3에 제공되는 실시예 중 임의의 하나 또는 조합을 이용하여 제1 상부 플라즈마 전위 위로 제1 SQNB 플라즈마 전위의 상승이 수행될 수도 있다.
일부 대안 실시예에서는, 제1 SQNB 레지스트 개질 절차의 일부 부분 중에 하부 바이어스 전극(317, 도 3)을 접지 전위에 연결하기 위해 하부 다위치 스위치(382, 도 3)가 이용될 수 있고, 제1 SQNB 플라즈마 전위를 제어하기 위한 제1 SQNB 레지스트 개질 절차의 다른 부분 중에 하부 바이어스 전극(317, 도 3)을 바이어스 전원 공급기(380, 도 3)에 연결하기 위해 하부 다위치 스위치(382, 도 3)가 이용될 수 있다. 다른 대안 실시예에서는, 제1 SQNB 플라즈마 전위를 제어하기 위한 실질적으로 모든 제1 SQNB 레지스트 개질 절차 중에 하부 바이어스 전극(317, 도 3)을 접지 전위에 연결하기 위해 하부 다위치 스위치(382, 도 3)가 이용될 수 있다. 일부 다른 대안 실시예에서는, 제1 SQNB 플라즈마 전위를 제어하기 위한 실질적으로 모든 제1 SQNB 레지스트 개질 절차 중에 하부 바이어스 전극(317, 도 3)을 바이어스 전원 공급기(380, 도 3)에 연결하기 위해 하부 다위치 스위치(382, 도 3)가 이용될 수 있다. 예컨대, 바이어스 전원 공급기(380, 도 3)는 DC 전원 및/또는 AC 전원을 제공할 수 있고, 제1 SQNB 레지스트 개질 절차 중에 제1 SQNB 처리 플라즈마 전위를 제어하기 위해 바이어스 전원 공급기(380, 도 3)로부터의 출력은 일정, 변동, 펄스, 스텝, 및/또는 램프일 수 있다.
또한, SQNB 처리 챔버를 펌핑하고, 제1 SQNB 레지스트 개질 절차 중에 SQNB 처리 챔버에 들어가는 제1 레지스트 개질 가스에 대한 유속을 제어함으로써 SQNB 처리 챔버 내의 압력이 제어될 수 있다. 여러 가지 예에서, 제1 레지스트 개질 가스는, Ar, CF4, F2, O2, N2, CO, C4F8, C5F8, C4F6, CHF3, N2/H2, 또는 HBr, 또는 이들의 임의의 2개 이상의 조합을 포함할 수 있다. 복수의 제2 가스 분포 소자(357, 도 3)는 스위쳐블 플라즈마 영역(352, 도 3)의 상이한 영역들에 상이한 유속들을 제공할 수 있다. 패터닝된 기판은, 제1 SQNB 레지스트 개질 절차 중에, 스위쳐블 플라즈마 영역에서 제1 SQNB 플라즈마에 노출될 수 있다. 제1 SQNB 처리 플라즈마에 대한 기판의 노출은, 단일 고에너지 공간 전하 중화 중성빔 구동 화학 처리에 기판을 노출시키는 것을 포함할 수도 있다.
일부 추가 실시예에서는, 제1 SQNB 레지스트 개질 절차의 일부 부분 중에 스위쳐블 기판 홀더(320, 도 3)를 접지 전위에 연결하기 위해 제1 다위치 스위치(332, 도 3)가 이용될 수 있고, 제1 SQNB 레지스트 개질 절차의 다른 부분 중에 스위쳐블 기판 홀더(320, 도 3)를 절연하기 위해 제1 다위치 스위치(332, 도3)가 이용될 수 있으며, 및/또는 제1 SQNB 플라즈마를 제어하기 위한 제1 SQNB 레지스트 개질 절차의 또 다른 부분 중에 스위쳐블 기판 홀더(320, 도 3)를 바이어스 전원 공급기(380, 도 3)에 연결하기 위해 제1 다위치 스위치(332, 도 3)가 이용될 수 있다. 다른 추가 실시예에서는, 제1 SQNB 처리 플라즈마를 제어하기 위한 실질적으로 모든 제1 SQNB 레지스트 개질 절차 중에 스위쳐블 기판 홀더(320, 도 3)를 접지 전위에 연결하기 위해 제1 다위치 스위치(332, 도 3)가 이용될 수 있다. 또 다른 추가 실시예에서는, 제1 SQNB 처리 플라즈마를 제어하기 위한 실질적으로 모든 제1 SQNB 레지스트 개질 절차 중에, 스위쳐블 기판 홀더(320, 도 3)를 절연하기 위해 제1 다위치 스위치(332, 도 3)가 이용될 수 있다. 일부 다른 추가 실시예에서는, 제1 SQNB 처리 플라즈마를 제어하기 위한 실질적으로 모든 제1 SQNB 레지스트 개질 절차 중에, 스위쳐블 기판 홀더(320, 도 3)를 바이어스 전원 공급기(380, 도 3)에 연결하기 위해 제1 다위치 스위치(332, 도 3)가 이용될 수 있다.
425에서는, 1개 이상의 제2 SQNB 절차가 수행될 수 있고, 제2 SQNB 절차는, 피쳐 형성 및/또는 측정 처리, 평가 처리, 확인 처리, 에칭 처리, 애싱 처리, 현상 처리, 또는 다른 레지스트 제거 처리를 포함할 수 있는 피쳐 개질 시퀀스를 포함할 수 있다. 일부 실시예에서, 제2 SQNB 절차는, 제3(새로운) 게이트 스택(501b, 도 5a)을 생성하기 위한 제2 게이트 스택(501a, 도 5a)의 처리를 위해 제2 SQNB 절차가 이용될 수 있다. 그 위에 개질 마스킹 피쳐(550a, 도 5a)의 패턴을 가지는 제1 기판은 제2 SQNB 절차를 이용하여 처리될 수 있다. 예컨대, 피쳐 형성 및/또는 피쳐 개질 시퀀스를 요하는 각 기판은, SQNB 처리 챔버(315, 도 3) 내의 스위쳐블 기판 홀더(320, 도 3)에 위치될 수 있고, 스위쳐블 기판 홀더(320, 도 3)는, 1개 이상의 절연 소자(335, 도 3)를 이용하여 SQNB 처리 챔버(315, 도 3) 내의 하부 챔버 벽으로부터 절연될 수 있다.
제1 패터닝된 기판은 그 위에 복수의 제2 게이트 스택(501a, 도 5a)을 가질 수 있고, 제2 게이트 스택(501a, 도 5a)은, 금속 게이트 관련일 수 있는 복수의 개질 마스킹 피쳐(550a, 도 5a), 및 1개 이상의 금속 게이트 관련 층을 포함할 수 있는 복수의 추가 층(510, 515, 520, 525, 530, 535, 540, 및 545, 도 5a-5b)을 포함할 수 있다. 대안적으로, 제2 게이트 스택(501a, 도 5a)은, 상이하게 구성될 수도 있고, 폴리 게이트 절차에서 이용될 수도 있다. 또한, 제2 SQNB 절차는, 제3 게이트 스택(501b, 도 5a)에 도시된 바와 같은 복수의 처리된(에칭된) 제3 하드 마스크 피쳐(545b, 도 5a) 및 복수의 처리된(에칭된) 게이트 폭 제어 피쳐(540b, 도 5)를 생성하기 위해 제2 게이트 스택(501a, 도 5a)에서 개질 마스킹 피쳐(550, 도 5a)를 이용할 수 있다. 대안적으로, 제2 게이트 스택(501a, 도 5a) 및/또는 제3 게이트 스택(501b, 도 5a)이 상이하게 구성될 수도 있다.
제2 SQNB 절차 중에, 제2 상부 플라즈마 전위로 상부 플라즈마 영역에서 제2 플라즈마 생성 가스를 이용하여 제2 상부 플라즈마가 생성될 수 있다. 여러 가지 예에서, 제2 플라즈마 생성 가스는, Ar, CF4, F2, O2, N2, CO, C4F8, C5F8, C4F6, CHF3, N2/H2, 또는 HBr, 또는 이들의 임의의 2개 이상의 조합을 포함할 수 있다. 복수의 제1 가스 분포 소자(347, 도 3)는, 상부 플라즈마 영역(312, 도 3)의 상이한 영역들에 상이한 유속들을 제공할 수 있다.
일부 실시예에서는, 제2 SQNB 절차의 일부 부분 중에 상부 DC 전도성 전극(311, 도 3)을 접지 전위에 연결하기 위해 상부 다위치 스위치(342, 도 3)가 이용될 수 있고, 제2 상부 플라즈마 전위를 제어하기 위한 제2 SQNB 절차의 다른 부분 중에 상부 DC 전도성 전극(311, 도 3)을 상부 전원 공급기(340, 도 3)에 연결하기 위해 상부 다위치 스위치(342, 도 3)가 이용될 수 있다. 다른 실시예에서는, 제2 상부 플라즈마 전위를 제어하기 위한 실질적으로 모든 제2 SQNB 절차 중에 상부 DC 전도성 전극(311, 도 3)을 접지 전위에 연결하기 위해 상부 다위치 스위치(342, 도 3)가 이용될 수 있다. 일부 다른 실시예에서는, 제2 상부 플라즈마 전위를 제어하기 위한 실질적으로 모든 제2 SQNB 절차 중에 상부 DC 전도성 전극(311, 도 3)을 상부 전원 공급기(340, 도 3)에 연결하기 위해 상부 다위치 스위치(342, 도 3)가 이용될 수 있다. 예컨대, 상부 전원 공급기(340, 도 3)는 DC 전원 및/또는 AC 전원을 제공할 수 있고, 제2 SQNB 절차 중에 제2 상부 플라즈마 전위를 제어하기 위해 상부 전원 공급기(340, 도 3)로부터의 출력은 일정, 변동, 펄스, 스텝, 및/또는 램프일 수 있다.
제2 SQNB 처리 플라즈마도, 제2 상부 플라즈마로부터의 전자속을 이용하여 제2 SQNB 처리 플라즈마 전위로, 스위쳐블 플라즈마 영역에서 형성될 수 있다. 상부 플라즈마 영역 내의 제2 상부 플라즈마로부터의 전자속은, 플라즈마 생성 챔버로부터 분리 부재를 통해 제2 SQNB 처리 플라즈마가 생성될 수 있는 SQNB 처리 챔버로 통과한다. 도 2a, 2b, 및 3에 도시된 바와 같이, 스위쳐블 플라즈마 영역이 SQNB 처리 챔버 내에 위치될 수도 있고, 여기서 플라즈마 생성 챔버와 SQNB 처리 챔버 사이에 배치되는 분리 부재 내의 1개 이상의 개구부 또는 통로는, 제2 SQNB 절차 중에 상부 플라즈마 영역으로부터 스위쳐블 플라즈마 영역으로 전자 및 1개 이상의 플라즈마 종의 수송 또는 공급을 가능하게 할 수 있다.
또한, 전자속을 제어하기 위해 제2 SQNB 처리 플라즈마 전위가 제2 상부 플라즈마 전위 위로 상승될 수 있다. 상부 플라즈마 영역 내의 제2 상부 플라즈마는 경계 구동 플라즈마일 수도 있고(즉, 플라즈마 경계는 각 플라즈마 전위에 실질적인 영향을 가짐), 여기서 제2 상부 플라즈마와 접촉하는 경계의 일부 또는 전부가 DC 접지에 연결된다. 또한, 스위쳐블 플라즈마 영역 내의 제2 SQNB 처리 플라즈마는 경계 구동 플라즈마일 수도 있고, 여기서 제2 SQNB 처리 플라즈마와 접촉하는 경계의 일부 또는 전부가 +VDC에서 DC 전압원에 연결된다. 도 2a, 2b 및 도 3에 제공되는 실시예 중 임의의 하나 또는 조합을 이용하여 제2 상부 플라즈마 전위 위로 제2 SQNB 처리 플라즈마 전위의 상승이 수행될 수도 있다.
일부 대안 실시예에서는, 제2 SQNB 절차의 일부 부분 중에 하부 바이어스 전극(317, 도 3)을 접지 전위에 연결하기 위해 하부 다위치 스위치(382, 도 3)가 이용될 수 있고, 제2 SQNB 처리 플라즈마 전위를 제어하기 위한 제2 SQNB 절차의 다른 부분 중에 하부 바이어스 전극(317, 도 3)을 바이어스 전원 공급기(380, 도 3)에 연결하기 위해 하부 다위치 스위치(382, 도 3)가 이용될 수 있다. 다른 대안 실시예에서는, 제2 SQNB 처리 플라즈마 전위를 제어하기 위한 실질적으로 모든 제2 SQNB 절차 중에 하부 바이어스 전극(317, 도 3)을 접지 전위에 연결하기 위해 하부 다위치 스위치(382, 도 3)가 이용될 수 있다. 일부 다른 대안 실시예에서는, 제2 SQNB 처리 플라즈마 전위를 제어하기 위한 실질적으로 모든 제2 SQNB 절차 중에 하부 바이어스 전극(317, 도 3)을 바이어스 전원 공급기(380, 도 3)에 연결하기 위해 하부 다위치 스위치(382, 도 3)가 이용될 수 있다. 예컨대, 바이어스 전원 공급기(380, 도 3)는 DC 전원 및/또는 AC 전원을 제공할 수 있고, 제2 SQNB 절차 중에 제2 SQNB 처리 플라즈마 전위를 제어하기 위해 바이어스 전원 공급기(380, 도 3)로부터의 출력은 일정, 변동, 펄스, 스텝, 및/또는 램프일 수 있다.
또한, SQNB 처리 챔버를 펌핑하고, 제2 SQNB 절차 중에 SQNB 처리 챔버에 들어가는 제2 SQNB 처리 가스에 대한 유속을 제어함으로써 SQNB 처리 챔버 내의 압력이 제어될 수 있다. 여러 가지 예에서, 제2 SQNB 처리 가스는, Ar, CF4, F2, O2, N2, CO, C4F8, C5F8, C4F6, CHF3, N2/H2, 또는 HBr, 또는 이들의 임의의 2개 이상의 조합을 포함할 수 있다. 복수의 제2 가스 분포 소자(357, 도 3)는 스위쳐블 플라즈마 영역(352, 도 3)의 상이한 영역들에 상이한 유속들을 제공할 수 있다. 패터닝된 기판은, 스위쳐블 플라즈마 영역에서 제2 SQNB 처리 플라즈마에 노출될 수 있다. 제2 SQNB 처리 플라즈마에 대한 기판의 노출은, 단일 고에너지 공간 전하 중화 중성빔 구동 화학 처리에 기판을 노출시키는 것을 포함할 수도 있다.
일부 추가 실시예에서는, 제2 SQNB 절차의 일부 부분 중에 스위쳐블 기판 홀더(320, 도 3)를 접지 전위에 연결하기 위해 제1 다위치 스위치(332, 도 3)가 이용될 수 있고, 제2 SQNB 절차의 다른 부분 중에 스위쳐블 기판 홀더(320, 도 3)를 절연하기 위해 제1 다위치 스위치(332, 도3)가 이용될 수 있으며, 및/또는 제2 SQNB 처리 플라즈마를 제어하기 위한 제2 SQNB 절차의 또 다른 부분 중에 스위쳐블 기판 홀더(320, 도 3)를 바이어스 전원 공급기(380, 도 3)에 연결하기 위해 제1 다위치 스위치(332, 도 3)가 이용될 수 있다. 다른 추가 실시예에서는, 제2 SQNB 처리 플라즈마를 제어하기 위한 실질적으로 모든 제2 SQNB 절차 중에 스위쳐블 기판 홀더(320, 도 3)를 접지 전위에 연결하기 위해 제1 다위치 스위치(332, 도 3)가 이용될 수 있다. 또 다른 추가 실시예에서는, 제2 SQNB 처리 플라즈마를 제어하기 위한 실질적으로 모든 제2 SQNB 절차 중에, 스위쳐블 기판 홀더(320, 도 3)를 절연하기 위해 제1 다위치 스위치(332, 도 3)가 이용될 수 있다. 일부 다른 추가 실시예에서는, 제2 SQNB 처리 플라즈마를 제어하기 위한 실질적으로 모든 제2 SQNB 절차 중에, 스위쳐블 기판 홀더(320, 도 3)를 바이어스 전원 공급기(380, 도 3)에 연결하기 위해 제1 다위치 스위치(332, 도 3)가 이용될 수 있다.
430에서는, 제1 처리 시퀀스가 완료되었는지 여부를 판정하기 위해 질의가 수행될 수 있다. 제1 처리 시퀀스가 완료되었으면, 절차(400)는 단계(450)로 이동할 수 있다. 제1 처리 시퀀스가 완료되지 않았으면, 도 4에 도시된 바와 같이 절차(400)는 단계(435)로 이동하여 계속될 수 있다.
435에서는, 1개 이상의 제3 SQNB 절차가 수행될 수 있다. 일부 실시예에서는, 제5(새로운) 게이트 스택(501d, 도 5b)을 생성하도록 제4 게이트 스택(501c, 도 5b)을 개질하기 위해 제3 SQNB 절차가 이용될 수 있다. 일부 처리 시퀀스 중에, 이전에 처리된 기판의 제1 세트로부터 선택될 수 있는 제1 이전에 처리된 기판이 제3 SQNB 절차를 이용하여 더 처리될 수 있다. 제1 이전에 처리된 기판은, 금속 게이트 관련일 수 있는 복수의 이전에 처리된 게이트 폭 제어 피쳐(540c, 도 5b), 및 금속 게이트 관련일 수 있는 제4 게이트 스택(501c, 도 5b)에 도시된 바와 같은 복수의 이전에 처리된 제3 하드 마스크 피쳐(545c, 도 5b)를 가질 수 있다. 대안적으로, 제4 게이트 스택(501c, 도 5b) 및/또는 제5(새로운) 게이트 스택(501d, 도 5b)은, 상이하게 구성될 수도 있고, 폴리 게이트 절차에서 이용될 수도 있다.
제3 SQNB 절차 중에, 제1 이전에 처리된 기판이 SQNB 처리 챔버(315, 도 3) 내의 스위쳐블 기판 홀더(320, 도 3)에 위치될 수 있고, 스위쳐블 기판 홀더(320, 도 3)는, 1개 이상의 절연 소자(335, 도 3)를 이용하여 SQNB 처리 챔버(315, 도 3) 내의 하부 챔버 벽으로부터 절연될 수 있다. 또한, 복수의 개질 게이트 폭 제어 피쳐(540d, 도 5b)를 생성하기 위한 제4 게이트 스택(501c, 도 5b) 내의 복수의 이전에 처리된 제3 하드 마스크 피쳐(545c, 도 5b) 및 복수의 이전에 처리된 게이트 폭 제어 피쳐(540c, 도 5b) 및/또는 제5 게이트 스택(501d, 도 5b)에 도시된 바와 같은 복수의 개질 제3 하드 마스크 피쳐(545d, 도 5b)를 개질하기 위해 제3 SQNB 절차가 이용될 수 있다. 대안적으로, 제4 게이트 스택(501c, 도 5b) 및/또는 제5 게이트 스택(501d, 도 5b)은 상이하게 구성될 수도 있다.
제3 SQNB 절차 중에, 제3 상부 전위로 상부 플라즈마 영역에서 1개 이상의 제3 플라즈마 생성 가스를 이용하여 제3 상부 플라즈마가 생성될 수 있다. 여러 가지 실시예에서, 제3 플라즈마 생성 가스는, Ar, CF4, F2, O2, N2, CO, C4F8, C5F8, C4F6, CHF3, N2/H2, 또는 HBr, 또는 이들의 임의의 2개 이상의 조합을 포함할 수 있다. 복수의 제1 가스 분포 소자(347, 도 3)는, 1개 이상의 제3 플라즈마 생성 가스에 대해 상부 플라즈마 영역(312, 도 3)의 상이한 영역들에 상이한 유속들을 제공할 수 있다.
일부 실시예에서는, 제3 SQNB 절차의 일부 부분 중에 상부 DC 전도성 전극(311, 도 3)을 접지 전위에 연결하기 위해 상부 다위치 스위치(342, 도 3)가 이용될 수 있고, 제3 상부 플라즈마 전위를 제어하기 위한 제3 SQNB 절차의 다른 부분 중에 상부 DC 전도성 전극(311, 도 3)을 상부 전원 공급기(340, 도 3)에 연결하기 위해 상부 다위치 스위치(342, 도 3)가 이용될 수 있다. 다른 실시예에서는, 제3 상부 플라즈마 전위를 제어하기 위한 실질적으로 모든 제3 SQNB 절차 중에 상부 DC 전도성 전극(311, 도 3)을 접지 전위에 연결하기 위해 상부 다위치 스위치(342, 도 3)가 이용될 수 있다. 일부 다른 실시예에서는, 제3 상부 플라즈마 전위를 제어하기 위한 실질적으로 모든 제3 SQNB 절차 중에 상부 DC 전도성 전극(311, 도 3)을 상부 전원 공급기(340, 도 3)에 연결하기 위해 상부 다위치 스위치(342, 도 3)가 이용될 수 있다. 예컨대, 상부 전원 공급기(340, 도 3)는 DC 전원 및/또는 AC 전원을 제공할 수 있고, 제3 SQNB 절차 중에 제3 상부 플라즈마 전위를 제어하기 위해 상부 전원 공급기(340, 도 3)로부터의 출력은 일정, 변동, 펄스, 스텝, 및/또는 램프일 수 있다.
제3 SQNB 처리 플라즈마도, 제3 상부 플라즈마로부터의 전자속을 이용하여 제3 SQNB 처리 플라즈마 전위로, 스위쳐블 플라즈마 영역에서 형성될 수 있다. 상부 플라즈마 영역 내의 제3 상부 플라즈마로부터의 전자속은, 플라즈마 생성 챔버로부터 분리 부재를 통해 제3 SQNB 처리 플라즈마가 생성될 수 있는 SQNB 처리 챔버로 통과한다. 도 2a, 2b, 및 3에 도시된 바와 같이, 스위쳐블 플라즈마 영역이 SQNB 처리 챔버 내에 위치될 수도 있다. 예컨대, 상부 플라즈마 영역으로부터 SQNB 처리 챔버 내의 스위쳐블 플라즈마 영역으로 전자의 수송 또는 공급을 가능하게 하기 위해, 분리 부재 내의 1개 이상의 개구부 또는 통로가 플라즈마 생성 챔버와 SQNB 처리 챔버 사이에 배치될 수 있다.
또한, 전자속을 제어하기 위해 제3 SQNB 처리 플라즈마 전위가 제3 상부 플라즈마 전위 위로 상승될 수 있다. 상부 플라즈마 영역 내의 제3 상부 플라즈마는 경계 구동 플라즈마일 수도 있고(즉, 플라즈마 경계는 각 플라즈마 전위에 실질적인 영향을 가짐), 경계의 일부 또는 전부가, DC 접지에 연결될 수 있는 제3 상부 플라즈마와 접촉할 수 있다. 또한, 스위쳐블 플라즈마 영역 내의 제3 SQNB 처리 플라즈마는 경계 구동 플라즈마일 수도 있고, 스위쳐블 플라즈마와 접촉하는 경계의 일부 또는 전부가, +VDC에서 DC 전압원에 연결될 수 있다. 도 2a, 2b 및 3에 제공되는 실시예 중 임의의 하나 또는 조합을 이용하여 제3 상부 플라즈마 전위 위로 제3 SQNB 처리 플라즈마 전위의 상승이 수행될 수도 있다.
일부 대안 실시예에서는, 제3 SQNB 절차의 일부 부분 중에 하부 바이어스 전극(317, 도 3)을 접지 전위에 연결하기 위해 하부 다위치 스위치(382, 도 3)가 이용될 수 있고, 제3 SQNB 처리 플라즈마 전위를 제어하기 위한 제3 SQNB 절차의 다른 부분 중에 하부 바이어스 전극(317, 도 3)을 바이어스 전원 공급기(380, 도 3)에 연결하기 위해 하부 다위치 스위치(382, 도 3)가 이용될 수 있다. 다른 대안 실시예에서는, 제3 SQNB 처리 플라즈마 전위를 제어하기 위한 실질적으로 모든 제3 SQNB 절차 중에 하부 바이어스 전극(317, 도 3)을 접지 전위에 연결하기 위해 하부 다위치 스위치(382, 도 3)가 이용될 수 있다. 일부 다른 대안 실시예에서는, 제3 SQNB 처리 플라즈마 전위를 제어하기 위한 실질적으로 모든 제3 SQNB 절차 중에 하부 바이어스 전극(317, 도 3)을 바이어스 전원 공급기(380, 도 3)에 연결하기 위해 하부 다위치 스위치(382, 도 3)가 이용될 수 있다. 예컨대, 바이어스 전원 공급기(380, 도 3)는 DC 전원 및/또는 AC 전원을 제공할 수 있고, 제3 SQNB 절차 중에 제3 SQNB 처리 플라즈마 전위를 제어하기 위해 바이어스 전원 공급기(380, 도 3)로부터의 출력은 일정, 변동, 펄스, 스텝, 및/또는 램프일 수 있다.
또한, SQNB 처리 챔버를 펌핑하고, 제3 SQNB 절차 중에 SQNB 처리 챔버에 들어가는 제3 SQNB 처리 가스에 대한 유속을 제어함으로써 SQNB 처리 챔버 내의 압력이 제어될 수 있다. 여러 가지 예에서, 제3 SQNB 처리 가스는, Ar, CF4, F2, O2, N2, CO, C4F8, C5F8, C4F6, CHF3, N2/H2, 또는 HBr, 또는 이들의 임의의 2개 이상의 조합을 포함할 수 있다. 복수의 제2 가스 분포 소자(357, 도 3)는, 제3 SQNB 절차 중에, 스위쳐블 플라즈마 영역(352, 도 3)의 상이한 영역들에 제3 SQNB 처리 가스에 대한 상이한 유속들을 제공할 수 있다. 패터닝된 기판은, 스위쳐블 플라즈마 영역에서 제3 SQNB 처리 플라즈마에 노출될 수 있다. 제3 SQNB 처리 플라즈마에 대한 기판의 노출은, 제3 단일 고에너지 공간 전하 중화 중성빔 구동 화학 처리에 기판을 노출시키는 것을 포함할 수도 있다.
일부 추가 실시예에서는, 제3 SQNB 절차의 일부 부분 중에 스위쳐블 기판 홀더(320, 도 3)를 접지 전위에 연결하기 위해 제1 다위치 스위치(332, 도 3)가 이용될 수 있고, 제3 SQNB 절차의 다른 부분 중에 스위쳐블 기판 홀더(320, 도 3)를 절연하기 위해 제1 다위치 스위치(332, 도3)가 이용될 수 있으며, 및/또는 제3 SQNB 처리 플라즈마를 제어하기 위한 제3 SQNB 절차의 또 다른 부분 중에 스위쳐블 기판 홀더(320, 도 3)를 바이어스 전원 공급기(380, 도 3)에 연결하기 위해 제1 다위치 스위치(332, 도 3)가 이용될 수 있다. 다른 추가 실시예에서는, 제3 SQNB 처리 플라즈마를 제어하기 위한 실질적으로 모든 제3 SQNB 절차 중에 스위쳐블 기판 홀더(320, 도 3)를 접지 전위에 연결하기 위해 제1 다위치 스위치(332, 도 3)가 이용될 수 있다. 또 다른 추가 실시예에서는, 제3 SQNB 처리 플라즈마를 제어하기 위한 실질적으로 모든 제3 SQNB 절차 중에, 스위쳐블 기판 홀더(320, 도 3)를 절연하기 위해 제1 다위치 스위치(332, 도 3)가 이용될 수 있다. 일부 다른 추가 실시예에서는, 제3 SQNB 처리 플라즈마를 제어하기 위한 실질적으로 모든 제3 SQNB 절차 중에, 스위쳐블 기판 홀더(320, 도 3)를 바이어스 전원 공급기(380, 도 3)에 연결하기 위해 제1 다위치 스위치(332, 도 3)가 이용될 수 있다.
440에서는, 제1 처리 시퀀스가 완료되었는지 여부를 판정하기 위해 질의가 수행될 수 있다. 제1 처리 시퀀스가 완료되었으면, 절차(400)는 단계(450)로 이동할 수 있다. 제1 처리 시퀀스가 완료되지 않았으면, 도 4에 도시된 바와 같이 절차(400)는 단계(445)로 이동하여 계속될 수 있다.
445에서는, 1개 이상의 제4 SQNB 절차가 수행될 수 있다. 일부 실시예에서, 제4 SQNB 절차는, 제6(새로운) 게이트 스택(501e, 도 5b)을 생성하기 위해 제5 게이트 스택(501d, 도 5b)을 이용할 수 있다. 대안적으로, 제5 게이트 스택(501d, 도 5b) 및/또는 제6 게이트 스택(501e, 도 5b)은 상이하게 구성될 수도 있다. 제4 SQNB 절차를 요하는 각 기판은, SQNB 처리 챔버(315, 도 3) 내의 스위쳐블 기판 홀더(320, 도 3)에 위치될 수 있고, 스위쳐블 기판 홀더(320, 도 3)는, 1개 이상의 절연 소자(335, 도 3)를 이용하여 SQNB 처리 챔버(315, 도 3) 내의 하부 챔버 벽으로부터 절연될 수 있다.
제4 SQNB 절차를 요하는 각 기판은 그 위에 복수의 제5 게이트 스택(501d, 도 5b)을 가질 수 있고, 제5 게이트 스택(501d, 도 5b)은, 금속 게이트 관련일 수 있는 복수의 이전에 개질된 게이트 폭 제어 피쳐(540d, 도 5b) 및 복수의 이전에 개질된 제3 하드 마스크 피쳐(545d, 도 5b), 및 1개 이상의 금속 게이트 관련 층을 포함할 수 있는 복수의 추가 층(510, 515, 520, 525, 530, 및 535, 도 5b)을 포함할 수 있다. 대안적으로, 제5 게이트 스택(501d, 도 5b) 및 제6 게이트 스택(501e, 도 5b)은, 상이하게 구성될 수도 있고, 폴리 게이트 절차에서 이용될 수도 있다. 또한, 제4 SQNB 절차는, 제6 게이트 스택(501e, 도 5b)에 도시된 바와 같은 처리된(에칭된) 금속 게이트 피쳐(520e)의 실질적으로 유사한 패턴을 생성하기 위해, 이전에 개질된 게이트 폭 제어 피쳐(540d, 도 5b) 내의 패턴 및/또는 이전에 개질된 제3 하드 마스크 피쳐(545d, 도 5b) 내의 패턴을 이용할 수 있다. 대안적으로, 제4 SQNB 절차가 수행된 후에 제6 게이트 스택(501e, 도 5b)은 상이하게 구성될 수도 있다.
제4 SQNB 절차 중에, 제4 상부 플라즈마 전위로 상부 플라즈마 영역에서 제4 플라즈마 생성 가스를 이용하여 제4 상부 플라즈마가 생성될 수 있다. 여러 가지 예에서, 제4 플라즈마 생성 가스는, Ar, CF4, F2, O2, N2, CO, C4F8, C5F8, C4F6, CHF3, N2/H2, 또는 HBr, 또는 이들의 임의의 2개 이상의 조합을 포함할 수 있다. 복수의 제1 가스 분포 소자(347, 도 3)는, 상이한 유속들을 이용하여 상부 플라즈마 영역(312, 도 3)의 상이한 영역들에 제4 플라즈마 생성 가스를 제공할 수 있다.
일부 실시예에서는, 제4 SQNB 절차의 일부 부분 중에 상부 DC 전도성 전극(311, 도 3)을 접지 전위에 연결하기 위해 상부 다위치 스위치(342, 도 3)가 이용될 수 있고, 제4 상부 플라즈마 전위를 제어하기 위한 제4 SQNB 절차의 다른 부분 중에 상부 DC 전도성 전극(311, 도 3)을 상부 전원 공급기(340, 도 3)에 연결하기 위해 상부 다위치 스위치(342, 도 3)가 이용될 수 있다. 다른 실시예에서는, 제4 상부 플라즈마 전위를 제어하기 위한 실질적으로 모든 제4 SQNB 절차 중에 상부 DC 전도성 전극(311, 도 3)을 접지 전위에 연결하기 위해 상부 다위치 스위치(342, 도 3)가 이용될 수 있다. 일부 다른 실시예에서는, 제4 상부 플라즈마 전위를 제어하기 위한 실질적으로 모든 제4 SQNB 절차 중에 상부 DC 전도성 전극(311, 도 3)을 상부 전원 공급기(340, 도 3)에 연결하기 위해 상부 다위치 스위치(342, 도 3)가 이용될 수 있다. 예컨대, 상부 전원 공급기(340, 도 3)는 DC 전원 및/또는 AC 전원을 제공할 수 있고, 제4 SQNB 절차 중에 제4 상부 플라즈마 전위를 제어하기 위해 상부 전원 공급기(340, 도 3)로부터의 출력은 일정, 변동, 펄스, 스텝, 및/또는 램프일 수 있다.
제4 SQNB 처리 플라즈마도, 제4 상부 플라즈마로부터의 전자속을 이용하여 제4 SQNB 처리 플라즈마 전위로, 스위쳐블 플라즈마 영역에서 형성될 수 있다. 상부 플라즈마 영역 내의 제4 상부 플라즈마로부터의 전자속은, 플라즈마 생성 챔버로부터 분리 부재를 통해 제4 SQNB 처리 플라즈마가 생성될 수 있는 SQNB 처리 챔버로 통과한다. 도 2a, 2b, 및 3에 도시된 바와 같이, 스위쳐블 플라즈마 영역이 SQNB 처리 챔버 내에 위치될 수도 있고, 여기서 플라즈마 생성 챔버와 SQNB 처리 챔버 사이에 배치되는 분리 부재 내의 1개 이상의 개구부 또는 통로는, 상부 플라즈마 영역으로부터 스위쳐블 플라즈마 영역으로 전자의 수송 또는 공급을 가능하게 한다.
또한, 전자속을 제어하기 위해 제4 SQNB 처리 플라즈마 전위가 제4 상부 플라즈마 전위 위로 상승될 수 있다. 상부 플라즈마 영역 내의 제4 상부 플라즈마는 경계 구동 플라즈마일 수도 있고(즉, 플라즈마 경계는 각 플라즈마 전위에 실질적인 영향을 가짐), 여기서 제4 상부 플라즈마와 접촉하는 경계의 일부 또는 전부가 DC 접지에 연결된다. 또한, 스위쳐블 플라즈마 영역 내의 제4 SQNB 처리 플라즈마는 경계 구동 플라즈마일 수도 있고, 여기서 제4 SQNB 처리 플라즈마와 접촉하는 경계의 일부 또는 전부가 +VDC에서 DC 전압원에 연결된다. 도 2a, 2b 및 3에 제공되는 실시예 중 임의의 하나 또는 조합을 이용하여 제4 상부 플라즈마 전위 위로 제4 SQNB 처리 플라즈마 전위의 상승이 수행될 수도 있다.
일부 대안 실시예에서는, 제4 SQNB 절차의 일부 부분 중에 하부 바이어스 전극(317, 도 3)을 접지 전위에 연결하기 위해 하부 다위치 스위치(382, 도 3)가 이용될 수 있고, 제4 SQNB 처리 플라즈마 전위를 제어하기 위한 제4 SQNB 절차의 다른 부분 중에 하부 바이어스 전극(317, 도 3)을 바이어스 전원 공급기(380, 도 3)에 연결하기 위해 하부 다위치 스위치(382, 도 3)가 이용될 수 있다. 다른 대안 실시예에서는, 제4 SQNB 처리 플라즈마 전위를 제어하기 위한 실질적으로 모든 제4 SQNB 절차 중에 하부 바이어스 전극(317, 도 3)을 접지 전위에 연결하기 위해 하부 다위치 스위치(382, 도 3)가 이용될 수 있다. 일부 다른 대안 실시예에서는, 제4 SQNB 처리 플라즈마 전위를 제어하기 위한 실질적으로 모든 제4 SQNB 절차 중에 하부 바이어스 전극(317, 도 3)을 바이어스 전원 공급기(380, 도 3)에 연결하기 위해 하부 다위치 스위치(382, 도 3)가 이용될 수 있다. 예컨대, 바이어스 전원 공급기(380, 도 3)는 DC 전원 및/또는 AC 전원을 제공할 수 있고, 제4 SQNB 절차 중에 제4 SQNB 처리 플라즈마 전위를 제어하기 위해 바이어스 전원 공급기(380, 도 3)로부터의 출력은 일정, 변동, 펄스, 스텝, 및/또는 램프일 수 있다.
또한, SQNB 처리 챔버를 펌핑하고, 제4 SQNB 절차 중에 SQNB 처리 챔버에 들어가는 제4 SQNB 처리 가스에 대한 유속을 제어함으로써 SQNB 처리 챔버 내의 압력이 제어될 수 있다. 여러 가지 예에서, 제4 SQNB 처리 가스는, Ar, CF4, F2, O2, N2, CO, C4F8, C5F8, C4F6, CHF3, N2/H2, 또는 HBr, 또는 이들의 임의의 2개 이상의 조합을 포함할 수 있다. 복수의 제2 가스 분포 소자(357, 도 3)는, 상이한 유속들을 이용하여 스위쳐블 플라즈마 영역(352, 도 3)의 상이한 영역들에 1개 이상의 제4 SQNB 처리 가스를 제공할 수 있다. 패터닝된 기판 상의 제5 게이트 스택(501d, 도 5b)은, 스위쳐블 플라즈마 영역 내의 제4 SQNB 처리 플라즈마에 노출될 수 있어, 제6 게이트 스택(501e, 도 5b)을 생성한다. 제4 SQNB 처리 플라즈마에 대한 기판의 노출은, 단일 고에너지 공간 전하 중화 중성빔 구동 화학 처리에 기판을 노출시키는 것을 포함할 수도 있다.
일부 추가 실시예에서는, 제4 SQNB 절차의 일부 부분 중에 스위쳐블 기판 홀더(320, 도 3)를 접지 전위에 연결하기 위해 제1 다위치 스위치(332, 도 3)가 이용될 수 있고, 제4 SQNB 절차의 다른 부분 중에 스위쳐블 기판 홀더(320, 도 3)를 절연하기 위해 제1 다위치 스위치(332, 도3)가 이용될 수 있으며, 및/또는 제4 SQNB 처리 플라즈마를 제어하기 위한 제4 SQNB 절차의 또 다른 부분 중에 스위쳐블 기판 홀더(320, 도 3)를 바이어스 전원 공급기(380, 도 3)에 연결하기 위해 제1 다위치 스위치(332, 도 3)가 이용될 수 있다. 다른 추가 실시예에서는, 제4 SQNB 처리 플라즈마를 제어하기 위한 실질적으로 모든 제4 SQNB 절차 중에 스위쳐블 기판 홀더(320, 도 3)를 접지 전위에 연결하기 위해 제1 다위치 스위치(332, 도 3)가 이용될 수 있다. 또 다른 추가 실시예에서는, 제4 SQNB 처리 플라즈마를 제어하기 위한 실질적으로 모든 제4 SQNB 절차 중에, 스위쳐블 기판 홀더(320, 도 3)를 절연하기 위해 제1 다위치 스위치(332, 도 3)가 이용될 수 있다. 일부 다른 추가 실시예에서는, 제4 SQNB 처리 플라즈마를 제어하기 위한 실질적으로 모든 제4 SQNB 절차 중에, 스위쳐블 기판 홀더(320, 도 3)를 바이어스 전원 공급기(380, 도 3)에 연결하기 위해 제1 다위치 스위치(332, 도 3)가 이용될 수 있다.
445에서는, 제1 처리 시퀀스로부터의 데이터가 실시간 및/또는 이력 데이터로서 기억될 수 있다.
450에서는, 절차(400)가 종료될 수 있다.
미리 준비한 기판이 SQNB 마스킹층 개질 절차를 이용하여 처리되는 경우, 처리된 미리 준비한 기판은 복수의 개질 마스킹 피쳐 및 1개 이상의 개질 주기 구조를 포함할 수 있다. 측정 데이터가 필요한 경우, 미리 준비한 기판이 평가 서브시스템(160, 도 1)으로 전송될 수 있고, ODP 기법 및 1개 이상의 개질 주기 구조를 이용하여, 처리된 미리 준비한 기판에 대해 측정 데이터가 획득될 수 있다. 또한, 측정 데이터를 SQNB 마스킹층 개질 절차에 대한 제1 한계와 비교함으로써 SQNB 마스킹층 개질 절차에 대한 위험 데이터가 결정될 수 있다. 일부 예에서는, SQNB 마스킹층 개질 절차에 대한 제1 위험 데이터를 이용하여 패터닝된 기판의 세트(로트)에 대해 위험 데이터가 결정될 수 있다. 또한, SQNB 마스킹층 개질 절차에 대해 신뢰도 데이터가 결정될 수 있다. 위험 데이터가 제1 위험 한계보다 작지 않으면, 1개 이상의 보정 동작이 수행될 수 있다.
미리 준비한 기판이 SQNB "피쳐 형성" 절차를 이용하여 처리되는 경우, 처리된 미리 준비한 기판은 복수의 처리된 마스킹 피쳐 및 1개 이상의 처리된 주기 구조를 포함할 수 있다. 측정 데이터가 필요한 경우, 미리 준비한 기판이 평가 서브시스템(160, 도 1)으로 전송될 수 있고, ODP 기법 및 1개 이상의 처리된 주기 구조를 이용하여 처리된 미리 준비한 기판에 대해 측정 데이터가 획득될 수 있다. 또한, 측정 데이터를 SQNB "피쳐 형성" 절차에 대한 제1 한계와 비교함으로써 SQNB "피쳐 형성" 절차에 대한 위험 데이터가 결정될 수 있다. 일부 예에서는, SQNB "피쳐 형성" 절차에 대한 제1 위험 데이터를 이용하여 패터닝된 기판의 세트(로트)에 대해 위험 데이터가 결정될 수 있다. 또한, SQNB "피쳐 형성" 절차에 대해 신뢰도 데이터가 결정될 수 있다. 위험 데이터가 제1 위험 한계보다 작지 않으면, 1개 이상의 보정 동작이 수행될 수 있다.
일부 예에서, 보정 동작은, 처리 중단, 처리 정지, 1개 이상의 기판 재평가, 1개 이상의 기판 재측정, 1개 이상의 기판 재검사, 1개 이상의 기판 재작업, 1개 이상의 기판 저장, 1개 이상의 기판 세정, 1개 이상의 기판 지연, 1개 이상의 기판 스트리핑(stripping), 또는 이들의 임의의 조합을 포함할 수 있다.
도 5a 및 5b는, 본 발명의 실시예에 따른 1개 이상의 스위쳐블 준중성빔(SQNB) 시스템(300, 도 3)을 이용하여 금속 게이트 구조를 생성하는 제1 처리 시퀀스의 예시적인 도면을 도시한다. 도 5a에서는, 제1 처리 시퀀스(500A)를 도시하기 위해 이용될 수 있는 3개의 예시적인 게이트 스택(501, 501a 및 501b)이 도시된다. 도 5b에서는, 제2 처리 시퀀스(500B)를 도시하기 위해 이용될 수 있는 3개의 다른 예시적인 게이트 스택(501c, 501d 및 501e)이 도시된다. 대안적으로, 상이한 개수의 게이트 스택, 상이한 개수의 층, 및 상이한 구성이 이용될 수도 있다.
도 5a를 참조하면, 제1 게이트 스택(501)은 현상 절차 또는 평가 절차로부터의 결과의 예시적인 도일 수 있고; 제2 게이트 스택(501a)은 제1 마스킹층 개질 절차로부터의 결과의 예시적인 도일 수 있으며; 제3 게이트 스택(501b)은 제1 피쳐 형성 및/또는 피쳐 개질 절차로부터의 결과의 예시적인 도일 수 있다. 대안적으로, 상이한 개수의 게이트 스택이 도시될 수도 있다.
제1 게이트 스택(501)은, 기판층(510), 경계층(515), 금속 게이트층(520), 제1 하드 마스크층(525), 실리콘 함유층(530), 제2 하드 마스크층(535), 게이트 제어층(540), 제3 하드 마스크층(545), 및 복수의 마스킹 피쳐(550)를 포함할 수 있다. 여러 가지 실시예에서, 기판층(510)은 반도체 재료를 포함할 수 있고; 경계층(515)은 절연 재료를 포함할 수 있으며; 금속 게이트층(520)은 금속성 재료를 포함할 수 있고; 제1 하드 마스크층(525)은 TiN을 포함할 수 있으며; 실리콘 함유층(530)은 비정질 실리콘(a-Si)을 포함할 수 있고; 제2 하드 마스크층(535)은 테트라에틸 오소실리케이트, (TEOS){Si(OC2H5)4}를 포함할 수 있으며; 게이트 제어층(540)은 게이트 제어 재료를 포함할 수 있고; 제3 하드 마스크층(545)은 실리콘 함유 반사 방지 코팅(SiARC) 재료를 포함할 수 있으며; 마스킹 피쳐(550)는 포토레지스트 재료(551)를 포함할 수 있다. 다른 실시예에서, 기판층(510)은 유리 재료, 세라믹 재료, 플라스틱 재료, 유전체 재료, 및/또는 금속성 재료를 포함할 수 있다. 예컨대, 반도체 재료는 실리콘 및/또는 갈륨 비소를 포함할 수 있고; 금속성 재료는 알루미늄(Al), 구리(Cu), 은(Ag), 금(Au), 루테늄(Ru), 니켈(Ni), 코발트(Co), 및/또는 HfO2와 같은 금속 산화물을 포함할 수 있으며; 포토레지스트 재료는 157nm 포토레지스트 또는 193nm 포토레지스트 재료를 포함할 수 있다.
기판층(510)은 약 25nm부터 약 200nm까지 변동할 수 있는 높이(두께)(513)를 가질 수 있고; 경계층(515)은 약 2nm부터 약 10nm까지 변동할 수 있는 높이(두께)(518)를 가질 수 있으며; 금속 게이트층(520)은 약 20nm부터 약 50nm까지 변동할 수 있는 높이(두께)(523)를 가질 수 있고; 제1 하드 마스크층(525)은 약 15nm부터 약 40nm까지 변동할 수 있는 높이(두께)(528)를 가질 수 있으며; 실리콘 함유층(530)은 약 25nm부터 약 60nm까지 변동할 수 있는 높이(두께)(533)를 가질 수 있고; 제2 하드 마스크층(535)은 약 5nm부터 약 20nm까지 변동할 수 있는 높이(두께)(538)를 가질 수 있으며; 게이트 제어층(540)은 약 50nm부터 약 300nm까지 변동할 수 있는 높이(두께)(543)를 가질 수 있고; 제3 하드 마스크층(545)은 약 15nm부터 약 60nm까지 변동할 수 있는 높이(두께)(548)를 가질 수 있으며; 마스킹 피쳐(550)는 약 30nm부터 약 400nm까지 변동할 수 있는 높이(두께)(553)를 가질 수 있다. 또한, 마스킹 피쳐(550)는, 약 30nm부터 약 400nm까지 변동할 수 있는 피쳐 폭(552)을 가질 수 있고, 약 30nm부터 약 400nm까지 변동할 수 있는 분리 폭(554)을 가질 수 있다.
제1 처리 시퀀스(500A) 및 제2 처리 시퀀스(500B) 중에, 1개 이상의 SQNB 절차가 수행될 수 있고, 금속 게이트층(520)이 처리될 때에 복수의 처리된 금속 게이트 피쳐(520e)를 생성하기 위해 마스킹 피쳐(550)의 패턴이 이용될 수 있다. 예컨대, 마스킹층 개질 처리 시간, 마스킹층 개질 처리 종말점 시간, 및 포토레지스트 프로파일 파라미터가 SQNB 마스킹층 개질 절차 중에 제어 변수로서 이용될 수 있고, 에칭 시간, 에칭 종말점 시간, 및 개질 포토레지스트 프로파일 파라미터가 SQNB 처리 절차 중에 제어 변수로서 이용될 수 있다. 또한, CDs(522e, 523e 및 524e) 및/또는 처리된 금속 게이트 피쳐(520e)에 대한 SWA 데이터가, 제1 처리 시퀀스(500A) 및/또는 제2 처리 시퀀스(500B) 내의 1개 이상의 처리 절차 중에 제어 변수로서 이용될 수 있다. 1개 이상의 서브시스템(110, 120, 130, 140, 150, 160 및 170, 도 1)은, CDs(522e, 523e 및 524e) 및/또는 처리된 금속 게이트 피쳐(520e)에 대한 SWA 데이터를 결정하기 위해 이용될 수 있는 추가 제어 변수를 제공할 수 있다.
도 5a를 계속 참조하면, 기판층(510), 경계층(515), 금속 게이트층(520), 제1 하드 마스크층(525), 실리콘 함유층(530), 제2 하드 마스크층(535), 게이트 제어층(540), 제3 하드 마스크층(545), 및 개질 마스킹 피쳐(550a)의 패턴을 포함하는 제2 게이트 스택(501a)이 도시된다.
여러 가지 실시예에서, 기판층(510)은 반도체 재료를 포함할 수 있고; 경계층(515)은 절연 재료를 포함할 수 있으며; 금속 게이트층(520)은 금속성 재료를 포함할 수 있고; 제1 하드 마스크층(525)은 TiN을 포함할 수 있으며; 실리콘 함유층(530)은 비정질 실리콘(a-Si)을 포함할 수 있고; 제2 하드 마스크층(535)은 TEOS를 포함할 수 있으며; 게이트 제어층(540)은 게이트 제어 재료를 포함할 수 있고; 제3 하드 마스크층(545)은 실리콘 함유 반사 방지 코팅(SiARC) 재료를 포함할 수 있으며; 하드닝된 소프트 마스크 피쳐(550a)는 포토레지스트 재료(551) 및 경화된/하드닝된 포토레지스트 재료(551a)를 포함할 수 있다.
제3 하드 마스크층(545a)은 약 15nm부터 약 60nm까지 변동할 수 있는 높이(두께)(548a)를 가질 수 있고; 개질 마스킹 피쳐(550a)는 약 30nm부터 약 300nm까지 변동할 수 있는 높이(두께)(553a)를 가질 수 있다. 개질 마스킹 피쳐(550a)는, 약 30nm부터 약 400nm까지 변동할 수 있는 피쳐 폭(552a)을 가질 수 있고, 약 30nm부터 약 400nm까지 변동할 수 있는 분리 폭(554a)을 가질 수 있다. 또한, 경화된/하드닝된 193nm 포토레지스트 재료(551a)의 두께는 약 1nm부터 약 10nm까지 변동할 수 있다.
도 5a를 계속 참조하면, 제2 SQNB 처리 절차를 이용하여 생성될 수 있는 제3 게이트 스택(501b)이 도시된다. 대안적으로, SQNB 소스가 필요없는 상이한 처리 절차가 수행될 수 있다. 제3 게이트 스택(501b)은, 기판층(510), 경계층(515), 금속 게이트층(520), 제2 하드 마스크층(525), 실리콘 함유층(530), 제2 하드 마스크층(535), 복수의 처리된 게이트 폭 제어 피쳐(540b), 및 복수의 처리된 제3 하드 마스크 피쳐(545b)를 포함할 수 있다. 제2 SQNB 절차 중에, 복수의 새로운(처리된) 게이트 폭 제어 피쳐(540b), 및 복수의 새로운(처리된) 제3 하드 마스크 피쳐(545b)를 생성하기 위해 복수의 개질 마스킹 피쳐(550a)가 이용될 수 있다. 대안적으로, 복수의 새로운(처리된) 게이트 폭 제어 피쳐(540b)가 상이하게 구성될 수도 있고, 복수의 새로운(처리된) 제3 하드 마스크 피쳐(545b)가 존재하지 않을 수도 있다.
여러 가지 실시예에서, 기판층(510)은 반도체 재료를 포함할 수 있고; 경계층(515)은 절연 재료를 포함할 수 있으며; 금속 게이트층(520)은 금속성 재료를 포함할 수 있고; 제1 하드 마스크층(525)은 TiN을 포함할 수 있으며; 실리콘 함유층(530)은 비정질 실리콘(a-Si)을 포함할 수 있고; 제2 하드 마스크층(535)은 TEOS를 포함할 수 있으며; 처리된 게이트 폭 제어 피쳐(540b)는 처리된 게이트 폭 제어 재료(541b)를 포함할 수 있고; 처리된 제3 하드 마스크 피쳐(545b)는 처리된 SiARC 재료(546b)를 포함할 수 있다.
처리된 제3 하드 마스크 피쳐(545b)는, 그것이 존재하는 경우, 약 0nm부터 약 60nm까지 변동할 수 있는 높이(두께)(548b)를 가질 수 있다. 처리된 제3 하드 마스크 피쳐(545b)는, 약 30nm부터 약 300nm까지 변동할 수 있는 피쳐 폭(547b)을 가질 수 있고, 약 30nm부터 약 300nm까지 변동할 수 있는 분리 폭(549b)을 가질 수 있다.
처리된 게이트 폭 제어 피쳐(540b)는 약 30nm부터 약 300nm까지 변동할 수 있는 높이(두께)(543b)를 가질 수 있다. 처리된 게이트 폭 제어 피쳐(540b)는, 약 30nm부터 약 300nm까지 변동할 수 있는 피쳐 폭(542b)을 가질 수 있고, 약 30nm부터 약 300nm까지 변동할 수 있는 분리 폭(544b)을 가질 수 있다.
도 5b는 본 발명의 실시예에 따른 다른 처리 시퀀스를 도시한다. 도시된 실시예에서는, 제2 SQNB 처리 시퀀스(500B)가 도시되고, 제2 SQNB 처리 시퀀스는 제3 SQNB 절차 및 제4 SQNB 절차를 포함할 수 있다. 예컨대, 제3 SQNB 절차는 제2 마스킹층 개질 절차를 포함할 수 있고, 제4 SQNB 절차는 제2 피쳐 형성 절차를 포함할 수 있다. 도 5b를 참조하면, 제4 게이트 스택(501c)은 제1 처리 시퀀스 또는 제2 평가 절차로부터의 결과의 예시적인 도일 수 있고; 제5 게이트 스택(501d)은 제3 SQNB 절차(추가 마스킹층 개질 절차)로부터의 결과의 예시적인 도일 수 있으며; 제6 게이트 스택(501e)은 제4 SQNB 절차(추가 피쳐 형성 절차)로부터의 결과의 예시적인 도일 수 있다. 대안적으로, 상이한 개수의 게이트 스택이 도시될 수도 있다.
도 5b에서는, 제1 SQNB 처리 시퀀스를 이용하여 생성될 수 있는 제4 게이트 스택(501c)이 도시된다. 대안적으로, SQNB 소스가 필요없는 상이한 처리 시퀀스가 수행될 수 있다. 제4 게이트 스택(501c)은, 기판층(510), 경계층(515), 금속 게이트층(520), 제1 하드 마스크층(525), 실리콘 함유층(530), 제2 하드 마스크층(535), 복수의 이전에 처리된 게이트 폭 제어 피쳐(540c), 및 복수의 이전에 처리된 제3 하드 마스크 피쳐(545c)를 포함할 수 있다. 제2 처리 시퀀스(500B) 중에, 복수의 에칭된 금속 게이트 피쳐(520e)를 생성하기 위해 복수의 이전에 처리된 게이트 폭 제어 피쳐(540c), 및/또는 복수의 이전에 처리된 제3 하드 마스크 피쳐(545c)가 이용될 수 있다. 대안적으로, 복수의 이전에 처리된 게이트 폭 제어 피쳐(540c)가 상이하게 구성될 수도 있고, 복수의 이전에 에칭된 제3 하드 마스크 피쳐(545c)가 존재하지 않을 수도 있다.
여러 가지 실시예에서, 기판층(510)은 반도체 재료를 포함할 수 있고; 경계층(515)은 절연 재료를 포함할 수 있으며; 금속 게이트층(520)은 금속성 재료를 포함할 수 있고; 제1 하드 마스크층(525)은 TiN을 포함할 수 있으며; 실리콘 함유층(530)은 비정질 실리콘(a-Si)을 포함할 수 있고; 제2 하드 마스크층(535)은 TEOS를 포함할 수 있으며; 이전에 처리된 게이트 폭 제어 피쳐(540c)는 이전에 처리된 게이트 폭 제어 재료(541c)를 포함할 수 있고; 이전에 처리된 제3 하드 마스크 피쳐(545c)는 이전에 처리된 SiARC 재료(546c)를 포함할 수 있다. 다른 실시예에서, 기판층(510)은 유리 재료, 세라믹 재료, 플라스틱 재료, 유전체 재료, 및/또는 금속성 재료를 포함할 수 있다. 예컨대, 반도체 재료는 실리콘 및/또는 갈륨 비소를 포함할 수 있고; 금속성 재료는 알루미늄(Al), 구리(Cu), 은(Ag), 금(Au), 루테늄(Ru), 니켈(Ni), 코발트(Co), 및/또는 HfO2와 같은 금속 산화물을 포함할 수 있으며; 포토레지스트 재료는 157nm 포토레지스트 또는 193nm 포토레지스트 재료를 포함할 수 있다.
이전에 처리된 게이트 폭 제어 피쳐(540c)는 약 30nm부터 약 300nm까지 변동할 수 있는 높이(두께)(543c)를 가질 수 있고; 이전에 처리된 제3 하드 마스크 피쳐(545c)는 약 0nm부터 약 60nm까지 변동할 수 있는 높이(두께)(548c)를 가질 수 있다. 이전에 처리된 제3 하드 마스크 피쳐(545c)는, 약 30nm부터 약 300nm까지 변동할 수 있는 피쳐 폭(547c)을 가질 수 있고, 약 30nm부터 약 300nm까지 변동할 수 있는 분리 폭(549c)을 가질 수 있다. 또한, 이전에 처리된 게이트 폭 제어 피쳐(540c)는, 약 30nm부터 약 300nm까지 변동할 수 있는 피쳐 폭(542c)을 가질 수 있고, 약 30nm부터 약 300nm까지 변동할 수 있는 분리 폭(544c)을 가질 수 있다. 평가 서브시스템(160, 도 1)은, 이전에 처리된 게이트 폭 제어 피쳐(540c)에 대한 CDs(542c, 543c 및 544c) 및 SWA 데이터를 결정하기 위해 이용될 수 있고, 및/또는 이전에 처리된 제3 하드 마스크 피쳐(545c)에 대한 CDs(547c, 548c 및 549c) 및 SWA 데이터를, 그것이 존재하면, 결정하기 위해 이용될 수 있다.
제2 처리 시퀀스(500B) 중에, 1개 이상의 SQNB 절차가 수행될 수 있고, 금속 게이트층(520)이 에칭될 때에 복수의 에칭된 금속 게이트 피쳐(520e)를 생성하기 위해, 이전에 처리된 제3 하드 마스크 피쳐(545c) 및/또는 이전에 처리된 게이트 폭 제어 피쳐(540c)가 이용될 수 있다. 또한, 에칭된 금속 게이트 피쳐(520e)에 대한 CDs(522e, 523e 및 524e)는, 제2 처리 시퀀스(500B) 및/또는 제1 처리 시퀀스(500A) 내의 1개 이상의 에칭 절차 중에 설정될 수 있고, 범위가 약 20nm부터 약 300nm까지일 수 있다. 에칭된 금속 게이트 피쳐(520e)에 대한 CDs(522e, 523e 및 524e) 및 SWA 데이터를 결정하기 위해 평가 서브시스템(160, 도 1)이 이용될 수 있다. 대안적으로, CDs(522e, 523e 및 524e)는, 에칭된 폴리 게이트 피쳐(520e)와 관련될 수도 있고, 범위가 약 20nm부터 약 100nm까지일 수도 있다.
도 5b를 계속 참조하면, 기판층(510), 경계층(515), 금속 게이트층(520), 제1 하드 마스크층(525), 실리콘 함유층(530), 제2 하드 마스크층(535), 복수의 개질 게이트 폭 제어 피쳐(540d), 및 복수의 개질 제3 하드 마스크 피쳐(545d)를 포함하는 제5 게이트 스택(501d)이 도시된다. 대안적으로, 복수의 개질 제3 하드 마스크 피쳐(545d)가 존재하지 않을 수도 있다.
여러 가지 실시예에서, 기판층(510)은 반도체 재료를 포함할 수 있고; 경계층(515)은 절연 재료를 포함할 수 있으며; 금속 게이트층(520)은 금속성 재료를 포함할 수 있고; 제1 하드 마스크층(525)은 TiN을 포함할 수 있으며; 복수의 개질 게이트 폭 제어 피쳐(540d)는 게이트 폭 제어 재료(541c) 및 개질 게이트 폭 제어 재료(541d)를 포함할 수 있고; 복수의 개질 제3 하드 마스크 피쳐(545d)는 개질(경화된 및/또는 하드닝된) SiARC 재료(546d) 및 SiARC 개질(경화된 및/또는 하드닝된) 재료(546c)를 포함할 수 있다.
복수의 개질 제3 하드 마스크 피쳐(545d)는, 약 0nm부터 약 60nm까지 변동할 수 있는 높이(두께)(548d)를 가질 수 있고; 약 30nm부터 약 300nm까지 변동할 수 있는 피쳐 폭(547d)을 가질 수 있으며, 약 30nm부터 약300nm까지 변동할 수 있는 분리 폭(549d)을 가질 수 있다. 또한, 개질 제3 하드 마스크 재료(546d)의 두께는 약 1nm부터 약 10nm까지 변동할 수 있다. 개질 게이트 폭 제어 피쳐(540d)는, 약 30nm부터 약 300nm까지 변동할 수 있는 높이(두께)(543d)를 가질 수 있고; 약 30nm부터 약 300nm까지 변동할 수 있는 피쳐 폭(542d)을 가질 수 있으며, 약 30nm부터 약 300nm까지 변동할 수 있는 분리 폭(544d)을 가질 수 있다. 또한, 개질 게이트 폭 제어 재료(541d)의 두께는 약 1nm부터 약 10nm까지 변동할 수 있다.
도 5b를 계속 참조하면, 제4 SQNB 절차를 이용하여 생성될 수 있는 제6 게이트 스택(501e)이 도시된다. 대안적으로, SQNB 소스가 필요없는 상이한 피쳐 형성 절차가 수행될 수 있다. 제6 게이트 스택(501e)은 기판층(510), 처리된 경계층(515e), 및 복수의 처리된(에칭된) 금속 게이트 피쳐(520e)를 포함할 수 있다. 제4 SQNB 절차 중에, 복수의 처리된(에칭된) 금속 게이트 피쳐(520e)를 생성하기 위해 개질 제3 하드 마스크 피쳐(545d) 및/또는 개질 게이트 폭 제어 피쳐(540d)가 이용될 수 있다. 대안적으로, 복수의 개질 게이트 폭 제어 피쳐(540d)가 상이하게 구성될 수도 있고, 개질 제3 하드 마스크 피쳐(545d)가 존재하지 않을 수도 있다.
일부 예에서, 기판층(510)은 반도체 재료를 포함할 수 있고; 처리된 경계층(515e)은 처리된 절연 재료를 포함할 수 있으며; 에칭된 금속 게이트 피쳐(520e)는 HfO2 재료와 같은 에칭된 금속 산화물 재료를 포함할 수 있다. 에칭된 금속 게이트 피쳐(520e)는, 약 30nm부터 약 100nm까지 변동할 수 있는 (피쳐 폭) CD(522e)를 가질 수 있고, 약 10nm부터 약 60nm까지 변동할 수 있는 높이(두께)(523e)를 가질 수 있으며, 약 30nm부터 약 400nm까지 변동할 수 있는 분리 폭(524e)을 가질 수 있다.
일부 대안 SQNB 처리 시퀀스 중에는, 게이트 스택(501 및 501e)만이 생성되고, 게이트 관련 마스킹 피쳐(550)와 관련된 CDs(552, 553, 554) 및 SWA 데이터를 이용하여 금속 게이트 피쳐(520e)와 관련된 CDs(522e, 523e, 524e) 및 SWA 데이터가 결정될 수 있다. 예컨대, 1개 이상의 SQNB 에칭 절차가 수행될 수 있고, 게이트 스택(501 및 501e)이 상이하게 구성될 수 있다. 또한, 1개 이상의 층(515, 520, 525, 530, 535, 540 및 545)이 필요하지 않을 수도 있거나, 상이하게 위치할 수도 있다.
다른 대안 SQNB 처리 시퀀스 중에, 게이트 스택(501, 501a 및 501e)만이 생성되고, 게이트 관련 마스킹 피쳐(550)와 관련된 CDs(552, 553, 554) 및 SWA 데이터를 이용하여, 및/또는 개질 마스킹 피쳐(550a)와 관련된 CDs(552a, 553a, 554a) 및 SWA 데이터를 이용하여 금속 게이트 피쳐(520e)와 관련된 CDs(522e, 523e, 524e) 및 SWA 데이터가 결정될 수 있다. 예컨대, 1개 이상의 포토레지스트 개질 절차가 수행된 후에 1개 이상의 SQNB 에칭 절차가 수행될 수 있고, 게이트 스택(501, 501a 및 501e)이 상이하게 구성될 수 있다. 또한, 1개 이상의 층(515, 520, 525, 530, 535, 540 및 545)이 필요하지 않을 수도 있거나, 상이하게 위치할 수도 있다. 또한, 개질 마스킹 피쳐(550a)는 개질, 경화, 수축, 보호, 및/또는 하드닝된 마스킹 피쳐를 포함할 수도 있다.
일부 다른 대안 SQNB 처리 시퀀스 중에, 게이트 스택(501c, 501d 및 501e)만이 생성되고, 이전에 처리된 제3 하드 마스크 피쳐(545c)와 관련된 CDs(547c, 548c, 549c) 및 SWA 데이터를 이용하여, 및/또는 개질 제3 하드 마스크 피쳐(545d)와 관련된 CDs(547d, 548d, 549d) 및 SWA 데이터를 이용하여 금속 게이트 피쳐(520e)와 관련된 CDs(522e, 523e, 524e) 및 SWA 데이터가 결정될 수 있다. 예컨대, 1개 이상의 포토레지스트 개질 절차가 수행된 후에 1개 이상의 SQNB 에칭 절차가 수행될 수 있고, 게이트 스택(501c, 501d 및 501e)이 상이하게 구성될 수 있다. 또한, 1개 이상의 층(515, 520, 525, 530, 535, 540 및 545)이 필요하지 않을 수도 있거나, 상이하게 위치할 수도 있다. 또한, 개질 마스킹 피쳐(550a)는 개질, 경화, 수축, 보호, 및/또는 하드닝된 마스킹 피쳐를 포함할 수도 있다.
또 다른 대안 SQNB 처리 시퀀스 중에, 게이트 스택(501c, 501d 및 501e)만이 생성되고, 이전에 처리된 게이트 폭 제어 피쳐(540c)와 관련된 CDs(542c, 543c, 544c) 및 SWA 데이터를 이용하여, 및/또는 개질 게이트 폭 제어 피쳐(540d)와 관련된 CDs(542d, 543d, 544d) 및 SWA 데이터를 이용하여 금속 게이트 피쳐(520e)와 관련된 CDs(522e, 523e, 524e) 및 SWA 데이터가 결정될 수 있다. 예컨대, 1개 이상의 포토레지스트 개질 절차가 수행된 후에 1개 이상의 SQNB 에칭 절차가 수행될 수 있고, 게이트 스택(501c, 501d 및 501e)이 상이하게 구성될 수 있다. 또한, 1개 이상의 층(515, 520, 525, 530, 535, 540 및 545)이 필요하지 않을 수도 있거나, 상이하게 위치할 수도 있다. 또한, 개질 마스킹 피쳐(550a)는 개질, 경화, 수축, 보호, 및/또는 하드닝된 마스킹 피쳐를 포함할 수도 있다.
여러 가지 SQNB 마스킹층 개질 절차 중에, 플라즈마 생성 챔버(310, 도 3) 내의 압력은 범위가 약 50 mT부터 약 100 mT까지일 수 있고; SQNB 처리 챔버(315, 도 3) 내의 압력은 범위가 약 50 mT부터 약 100 mT까지일 수 있다. 여러 가지 SQNB 피쳐 형성 절차 중에, 플라즈마 생성 챔버(310, 도 3) 내의 압력은 범위가 약 50 mT부터 약 100 mT까지일 수 있고; SQNB 처리 챔버(315, 도 3) 내의 압력은 범위가 약 50 mT부터 약 100 mT까지일 수 있다.
여러 가지 SQNB 마스킹층 개질 절차 중에, 플라즈마 생성 소스(360, 도 3)에 의해 제1 RF 전력이 다권선 유도 코일(362)에 제공될 수 있고, 제1 RF 전력은 약 10W부터 약 1500W까지 변동할 수 있다. 여러 가지 SQNB 피쳐 형성 절차 중에, 플라즈마 생성 소스(360, 도 3)에 의해 제2 RF 전력이 다권선 유도 코일(362)에 제공될 수 있고, 제2 RF 전력은 약 10W부터 약 1500W까지 변동할 수 있다.
여러 가지 SQNB 마스킹층 개질 절차 중에, 바이어스 전원 공급기(380, 도 3)에 의해 제공되는 전압은 약 0V부터 약 1500V까지 변동할 수 있다. 여러 가지 SQNB 피쳐 형성 절차 중에, 바이어스 전원 공급기(380, 도 3)에 의해 제공되는 전압은 약 0V부터 약 1500V까지 변동할 수 있다.
일부 SQNB 마스킹층 개질 및/또는 피쳐 형성 절차 중에, 상부 가스 공급 시스템(345, 도 3)은 테트라플루오르메탄(CF4)을 제공할 수 있고, CF4 유속은 약 60 sccm과 약 100 sccm 사이에서 변동할 수 있다. 다른 SQNB 마스킹층 개질 및/또는 피쳐 형성 절차 중에, 상부 가스 공급 시스템(345, 도 3)은 삼불화탄화수소(CHF3)를 제공할 수 있고, CHF3 유속은 약 40 sccm과 약 60 sccm 사이에서 변동할 수 있다.
일부 SQNB 마스킹층 개질 및/또는 피쳐 형성 절차 중에, 플라즈마 생성 챔버(310, 도 3) 내의 온도는 약 70℃부터 약 90℃까지 변동할 수 있고; 플라즈마 생성 챔버(310, 도 3) 내의 챔버 벽 온도는 약 50℃부터 약 70℃까지 변동할 수 있으며; SQNB 처리 챔버(315, 도 3) 내의 온도는 약 10℃부터 약 30℃까지 변동할 수 있고; 스위쳐블 기판 홀더(320, 도 3)의 중심에서의 온도는 약 12℃부터 약 20℃까지 변동할 수 있으며; 스위쳐블 기판 홀더(320, 도 3)의 에지에서의 온도는 약 8℃부터 약 12℃까지 변동할 수 있고; 스위쳐블 기판 홀더(320, 도 3)에 대한 중심 후면 압력은 약 5 Torr부터 약 15 Torr까지 변동할 수 있으며; 스위쳐블 기판 홀더(320, 도 3)에 대한 에지 후면 압력은 약 27 Torr부터 약 33 Torr까지 변동할 수 있고; 처리 시간은 약 20초부터 약 150초까지 변동할 수 있다. 대안적으로, 다른 가스가 필요할 수도 있다.
대안 실시예에서는, 제1 처리 시퀀스(500A) 중에, 제1 SQNB 마스킹층 개질 절차가 수행된 후에 제1 SQNB 피쳐 형성 시퀀스가 수행될 수 있다. 예컨대, 제1 피쳐 형성 시퀀스는 제1 SiARC 에칭 처리 및 제1 게이트 제어층 에칭 절차를 포함할 수 있고, SiARC 에칭 시간, SiARC 종말점 시간, 게이트 제어층 에칭 시간, 게이트 제어층 종말점 시간 및 에칭된 포토레지스트 프로파일 파라미터가, 제1 에칭 시퀀스 중에 제어 변수로서 이용될 수 있다. 또한, SiARC층(545a)을 에칭하기 위해 SiARC 에칭 절차가 이용될 수 있고, 게이트 제어층(540)을 에칭하기 위해 제1 게이트 제어층 에칭 절차가 이용될 수 있다.
제1 Si-ARC층 에칭 절차 중에, 챔버 압력은 범위가 약 12 mT부터 약 18mT까지일 수 있고; 최고 전력은 약 450W부터 약 550W까지 변동할 수 있으며; 최저 전력은 약 90W부터 약 110W까지 변동할 수 있고; ESC 전압은 약 2500V로 설정될 수 있으며; 테트라플루오르메탄(CF4) 유속은 약 60 sccm과 약 100 sccm 사이에서 변동할 수 있고; 삼불화탄화수소(CHF3) 유속은 약 40 sccm과 약 60 sccm 사이에서 변동할 수 있으며; 상부 챔버 온도는 약 70℃부터 약 90℃까지 변동할 수 있고; 챔버 벽 온도는 약 50℃부터 약 70℃까지 변동할 수 있으며; 하부 챔버 온도는 약 10℃부터 약 30℃까지 변동할 수 있고; 기판 홀더의 중심에서의 온도는 약 12℃부터 약 20℃까지 변동할 수 있으며; 기판 홀더의 에지에서의 온도는 약 8℃부터 약 12℃까지 변동할 수 있고; 기판 홀더에 대한 중심 후면 압력은 약 15 Torr부터 약 25 Torr까지 변동할 수 있으며; 기판 홀더에 대한 에지 후면 압력은 약 27 Torr부터 약 33 Torr까지 변동할 수 있고; 처리 시간은 약 60초부터 약 90초까지 변동할 수 있다.
제1 게이트 제어층 에칭 절차 중에, 챔버 압력은 범위가 약 15 mT부터 약 25mT까지일 수 있고; 최고 전력은 약 150W부터 약 250W까지 변동할 수 있으며; 최저 전력은 약 90W부터 약 110W까지 변동할 수 있고; ESC 전압은 약 2500V로 설정될 수 있으며; He 유속은 약 150 sccm과 약 250 sccm 사이에서 변동할 수 있고; HBr 유속은 약 25 sccm과 약 35 sccm 사이에서 변동할 수 있으며; O2 유속은 약 30 sccm과 약 50 sccm 사이에서 변동할 수 있고; CO2 유속은 약 260 sccm과 약 320 sccm 사이에서 변동할 수 있으며; 상부 챔버 온도는 약 70℃부터 약 90℃까지 변동할 수 있고; 챔버 벽 온도는 약 50℃부터 약 70℃까지 변동할 수 있으며; 하부 챔버 온도는 약 10℃부터 약 30℃까지 변동할 수 있고; 웨이퍼 홀더의 중심에서의 온도는 약 12℃부터 약 20℃까지 변동할 수 있으며; 웨이퍼 홀더의 에지에서의 온도는 약 8℃부터 약 12℃까지 변동할 수 있고; 웨이퍼 홀더에 대한 중심 후면 압력은 약 15 Torr부터 약 25 Torr까지 변동할 수 있으며; 웨이퍼 홀더에 대한 에지 후면 압력은 약 27 Torr부터 약 33 Torr까지 변동할 수 있고; 처리 시간은 약 90초부터 약 130초까지 변동할 수 있다.
제1 SiN(TEOS)층 에칭 절차 중에, 챔버 압력은 범위가 약 35 mT부터 약 45mT까지일 수 있고; 최고 전력은 약 550W부터 약 650W까지 변동할 수 있으며; 최저 전력은 약 90W부터 약 110W까지 변동할 수 있고; ESC 전압은 약 2500V로 설정될 수 있으며; O2 유속은 약 3 sccm과 약 7 sccm 사이에서 변동할 수 있고; CF4 유속은 약 40 sccm과 약 60 sccm 사이에서 변동할 수 있으며; CHF3 유속은 약 40 sccm과 약 60 sccm 사이에서 변동할 수 있고; 상부 챔버 온도는 약 30℃부터 약 90℃까지 변동할 수 있으며; 챔버 벽 온도는 약 50℃부터 약 70℃까지 변동할 수 있고; 하부 챔버 온도는 약 30℃부터 약 50℃까지 변동할 수 있으며; 웨이퍼 홀더의 중심에서의 온도는 약 25℃부터 약 35℃까지 변동할 수 있고; 웨이퍼 홀더의 에지에서의 온도는 약 8℃부터 약 12℃까지 변동할 수 있으며; 웨이퍼 홀더에 대한 중심 후면 압력은 약 15 Torr부터 약 25 Torr까지 변동할 수 있고; 웨이퍼 홀더에 대한 에지 후면 압력은 약 27 Torr부터 약 33 Torr까지 변동할 수 있으며; 처리 시간은 약 50초부터 약 90초까지 변동할 수 있다.
제1 SiN 오버 에칭(Over-Etch; OE) 절차 중에, 챔버 압력은 범위가 약 35 mT부터 약 45mT까지일 수 있고; 최고 전력은 약 550W부터 약 650W까지 변동할 수 있으며; 최저 전력은 약 1250W부터 약 175W까지 변동할 수 있고; ESC 전압은 약 2500V로 설정될 수 있으며; O2 유속은 약 3 sccm과 약 7 sccm 사이에서 변동할 수 있고; CF4 유속은 약 40 sccm과 약 60 sccm 사이에서 변동할 수 있으며; CHF3 유속은 약 40 sccm과 약 60 sccm 사이에서 변동할 수 있고; 상부 챔버 온도는 약 70℃부터 약 90℃까지 변동할 수 있으며; 챔버 벽 온도는 약 50℃부터 약 70℃까지 변동할 수 있고; 하부 챔버 온도는 약 10℃부터 약 30℃까지 변동할 수 있으며; 기판 홀더의 중심에서의 온도는 약 12℃부터 약 20℃까지 변동할 수 있고; 기판 홀더의 에지에서의 온도는 약 8℃부터 약 12℃까지 변동할 수 있으며; 기판 홀더에 대한 중심 후면 압력은 약 15 Torr부터 약 25 Torr까지 변동할 수 있고; 기판 홀더에 대한 에지 후면 압력은 약 27 Torr부터 약 33 Torr까지 변동할 수 있으며; 처리 시간은 약 60초부터 약 90초까지 변동할 수 있다.
일부 예에서, SQNB 절차에 대한 개별 및/또는 총 신뢰도 값은 개별 및/또는 총 신뢰도 한계와 비교될 수 있다. 1개 이상의 신뢰도 한계가 일치하면, 기판의 세트의 처리가 계속될 수 있고, 1개 이상의 신뢰도 한계가 일치하지 않으면, 보정 동작이 적용될 수 있다. 보정 동작은, 기판의 세트에서 1개 이상의 추가 기판에 대한 신뢰도 값을 설정하는 단계, 1개 이상의 추가 기판에 대한 신뢰도 값을 추가 신뢰도 한계와 비교하는 단계; 및 1개 이상의 추가 신뢰도 한계가 일치하면, SQNB 절차를 계속하고, 1개 이상의 추가 신뢰도 한계가 일치하지 않으면, SQNB 절차를 정지하는 단계를 포함할 수 있다.
다른 예에서는, 기판에 대한 개별 및/또는 총 위험치가 개별 및/또는 총 위험 한계와 비교될 수 있다. 1개 이상의 위험 한계가 일치하면, 기판의 세트의 처리가 계속될 수 있고, 1개 이상의 위험 한계가 일치하지 않으면, 보정 동작이 적용될 수 있다. 보정 동작은, 기판의 세트에서 1개 이상의 추가 기판에 대한 위험치를 설정하는 단계, 1개 이상의 추가 기판에 대한 위험치를 추가 위험 한계와 비교하는 단계; 및 1개 이상의 추가 위험 한계가 일치하면, SQNB 절차를 계속하고, 1개 이상의 추가 위험 한계가 일치하지 않으면, SQNB 절차를 정지하는 단계를 포함할 수 있다.
다른 실시예에서는, 확인된 SQNB 절차를 이용하여 1개 이상의 기판이 처리될 수 있다. 확인된 SQNB 절차가 이용되는 경우, 1개 이상의 확인된 구조가 기판("특별 웨이퍼")에 생성될 수 있다. 기판이 검사되는 경우, 기판 상의 다수의 확인된 구조로부터 테스트 기준 구조가 선택될 수 있다. 검사 중에, 테스트 기준 구조로부터 검사 데이터가 획득될 수 있다. 확인된 구조 및 관련된 데이터를 포함하는 라이브러리로부터 최적 예측 구조 및 관련된 최적 예측 데이터가 선택될 수 있다. 테스트 기준 구조와 라이브러리로부터의 최적 예측 구조 사이에서 1개 이상의 차이가 계산될 수 있고, 이 차이는, 매칭 기준, 생성 기준, 또는 제품 요건, 또는 이들의 임의의 조합과 비교될 수 있다. 매칭 기준이 이용되는 경우, 테스트 기준 구조가 라이브러리의 부재로서 식별될 수 있고, 매칭 기준이 일치하거나 초과되면, 현재 기판이 기준 "특별" 기판으로서 식별될 수 있다. 생성 기준이 이용되는 경우, 테스트 기준 구조가 라이브러리의 새로운 부재로서 식별될 수 있고, 생성 기준이 일치하면, 현재 기판이 확인된 기준 기판으로서 식별될 수 있다. 제품 요건 데이터가 이용되는 경우, 테스트 기준 구조가 확인된 구조로서 식별될 수 있고, 1개 이상의 제품 요건이 일치하면, 기판이 확인된 제품 기판으로서 식별될 수 있다. 1개 이상의 기준 또는 제품 요건이 일치하지 않으면, 보정 동작이 적용될 수 있다. 테스트 기준 구조 데이터 및 최적 예측 구조 데이터를 이용하여 SQNB 절차에 대해 신뢰도 데이터 및/또는 위험 데이터가 설정될 수 있다.
SQNB 절차 중에 구조 및/또는 피쳐가 생산 및/또는 검사되는 경우, 정밀도 및/또는 허용 오차 한계가 이용될 수 있다. 이들 한계가 정확하지 않으면, 개선 절차가 수행될 수 있다. 대안적으로, 다른 절차가 수행될 수 있거나, 다른 위치가 이용될 수 있거나, 다른 기판이 이용될 수 있다. 개선 절차가 이용되는 경우, 개선 절차는, 쌍일차 개선, 라그랑지 개선, 큐빅 스플라인 개선, 에이트킨 개선, 가중평균 개선, 다중 2차 개선, 바이큐빅 개선, 터란 개선, 웨이블릿 개선, 베셀 개선, 에버렛 개선, 유한차 개선, 가우스 개선, 에르미트 개선, 뉴턴의 계차상 개선, 접촉 개선, 또는 티엘의 개선 알고리즘, 또는 이들의 조합을 이용할 수 있다.
일부 실시예에서, SQNB 절차와 관련된 라이브러리 데이터는, 적합도(GOF) 데이터, 생성 규칙 데이터, 측정 데이터, 검사 데이터, 확인 데이터, 맵 데이터, 신뢰도 데이터, 정밀도 데이터, 처리 데이터, 또는 균일서 데이터, 또는 이들의 임의의 조합을 포함할 수 있다.
일부 실시예에서, 이력 및/또는 실시간 데이터는, 기판 관련 맵, 처리 관련 맵, 손상 평가 맵, 기준 맵, 측정 맵, 예측 맵, 위험 맵, 검사 맵, 확인 맵, 평가 맵, 입자 맵, 및/또는 1개 이상의 기판에 대한 신뢰도 맵을 포함할 수 있다. 또한, 일부 SQNB 절차는, 1개 이상의 적합도(GOF) 맵, 1개 이상의 두께 맵, 1개 이상의 게이트 관련 맵, 1개 이상의 임계 치수(CD) 맵, 1개 이상의 CD 프로파일 맵, 1개 이상의 재료 관련 맵, 1개 이상의 구조 관련 맵, 1개 이상의 측벽 각도 맵, 1개 이상의 차분 폭 맵, 또는 이들의 조합을 포함할 수 있는 기판 맵을 이용한다.
기판 맵이 생성 및/또는 개질되는 경우, 전체 기판에 대해 값이 계산되지 않거나 및/또는 필요하지 않을 수도 있고, 기판 맵은, 1개 이상의 위치, 1개 이상의 칩/다이, 1개 이상의 상이한 영역, 및/또는 1개 이상의 상이한 형태의 영역에 대한 데이터를 포함할 수도 있다. 예컨대, SQNB 시스템 또는 챔버는, 기판의 어떤 영역에서의 처리 결과의 질에 영향을 미칠 수도 있는 고유의 특성을 가질 수도 있다. 또한, 제조업자는, 생산량을 최대화하기 위해 기판의 1개 이상의 영역에서 칩/다이에 대한 데이터의 처리 및/또는 평가를 덜 정밀하게 할 수도 있다. 맵에서의 값이 한계에 근접한 경우, 맵에서의 값이 한계에 근접하지 않은 경우보다 신뢰도 값이 낮아질 수도 있다. 또한, 정밀도 값은 상이한 칩/다이 및/또는 기판의 상이한 영역에 대해 가중치가 부여될 수 있다. 예컨대, 1개 이상의 이전에 이용된 평가 위치와 관련된 정밀도 계산 및/또는 정밀도 데이터에 더 높은 신뢰도 가중치가 할당될 수 있다.
또한, 기판에 대한 신뢰도 맵을 계산하기 위해 처리 결과, 측정, 검사, 확인, 평가 및/또는 1개 이상의 처리와 관련된 예측 맵이 이용될 수도 있다. 예컨대, 다른 맵으로부터의 값이 가중치로서 이용될 수도 있다.
본 발명의 일정 실시예만이 상술되었지만, 당업자는 본 발명의 신규한 교시 및 이점으로부터 크게 벗어나지 않고 실시예에서 많은 수정이 가능하다는 것을 쉽게 인식할 것이다. 따라서, 모든 이러한 변경은 본 발명의 범위 내에 포함되는 것으로 볼 것이다.
따라서, 본 설명은 발명을 한정하려는 의도가 아니고, 본 발명의 구성, 동작, 작용은, 본 실시예의 수정 및 변화가 가능한 것으로 이해되면서, 여기에 상세히 설명되었다. 따라서, 전술한 설명은 어떤 식으로든 본 발명을 한정하려는 의미나 의도가 아니며, 오히려 첨부된 특허청구범위에 의해 발명의 범위가 정의된다.

Claims (20)

  1. 제1 스위쳐블 준중성빔(Switchable Quasi-Neutral Beam; SQNB) 절차 중에 제1 상부 플라즈마 전위에서 제1 상부 플라즈마를 생성하고, 제2 스위쳐블 준중성빔(SQNB) 절차 중에 제2 상부 플라즈마 전위에서 제2 상부 플라즈마를 생성하는 상부 플라즈마 영역을 가지는 플라즈마 생성 챔버;
    상기 제1 SQNB 절차 중에 제1 SQNB 처리 플라즈마 전위에서 제1 SQNB 처리 플라즈마를 생성하고, 상기 제2 SQNB 절차 중에 제2 SQNB 처리 플라즈마 전위에서 제2 SQNB 처리 플라즈마를 생성하는 스위쳐블 플라즈마 영역을 가지는 스위쳐블 준중성빔(SQNB) 처리 챔버;
    상기 플라즈마 생성 챔버와 상기 SQNB 처리 챔버 사이에 배치되는 분리 부재로서, 상기 분리 부재는, 상기 제1 SQNB 절차 중에 상기 스위쳐블 플라즈마 영역에서 제1 그룹의 빔을 생성하고, 상기 제2 SQNB 절차 중에 상기 스위쳐블 플라즈마 영역에서 제2 그룹의 빔을 생성하는 1개 이상의 개구부를 포함하는 것으로서, 상기 제1 그룹의 빔은, 상기 제1 SQNB 절차 중에 상기 제1 SQNB 처리 플라즈마를 형성하도록 생성되는 상기 상부 플라즈마 영역으로부터의 제1 전자속(electron flux)을 포함하고, 상기 제2 그룹의 빔은, 상기 제2 SQNB 절차 중에 상기 제2 SQNB 플라즈마를 형성하도록 생성되는 상기 상부 플라즈마 영역으로부터의 제2 전자속을 포함하는 것인 분리 부재;
    상기 SQNB 처리 챔버에서 패터닝된 기판을 지지하는 스위쳐블 기판 홀더로서, 상기 제1 SQNB 절차 중에는 접지 전위에 연결되고, 상기 제2 SQNB 절차 중에는 상기 접지 전위로부터 절연되는 스위쳐블 기판 홀더;
    상기 SQNB 처리 챔버에서 스위쳐블 기판 홀더를 둘러싸는 바이어스 전극 시스템으로서, 상기 제1 SQNB 절차 중에 상기 제1 전자속을 제어하기 위해 상기 제1 SQNB 처리 플라즈마 전위를 상기 제1 상부 플라즈마 전위보다 높게 상승시키고, 상기 제2 SQNB 절차 중에 상기 제2 전자속을 제어하기 위해 상기 제2 SQNB 처리 플라즈마 전위를 상기 제2 상부 플라즈마 전위보다 높게 상승시키는 바이어스 전극 시스템; 및
    상기 플라즈마 생성 챔버에 구성되는 1개 이상의 제1 센서, 상기 SQNB 처리 챔버에 구성되는 1개 이상의 제2 센서, 상기 바이어스 전극 시스템 및 상기 스위쳐블 기판 홀더에 연결되는 컨트롤러로서, 상기 패터닝된 기판을 위한 재료 데이터를 결정하고, 상기 결정된 재료 데이터를 이용하여 상기 제1 SQNB 절차 및 상기 제2 SQNB 절차를 설정하는 컨트롤러
    를 포함하는 스위쳐블 준중성빔(SQNB) 시스템.
  2. 제1항에 있어서, 상기 플라즈마 생성 챔버 내에 구성되는 1개 이상의 제1 가스 분포 소자로서, 상기 제1 가스 분포 소자 중 적어도 하나는 상기 제1 SQNB 절차 중에 제1 플라즈마 생성 가스를 제1 유속으로 상기 상부 플라즈마 영역에 제공하고, 상기 제2 SQNB 절차 중에 제2 플라즈마 생성 가스를 제2 유속으로 상기 상부 플라즈마 영역에 제공하는 것인 1개 이상의 제1 가스 분포 소자; 및
    1개 이상의 제1 공급 라인을 이용하여 상기 1개 이상의 제1 가스 분포 소자에 연결되는 1개 이상의 상부 가스 공급 시스템
    을 더 포함하는 SQNB 시스템.
  3. 제2항에 있어서, 상기 제1 플라즈마 생성 가스 및/또는 상기 제2 플라즈마 생성 가스는, C4F6, C4F8, C5F8, CHF3 또는 CF4, 또는 이들의 임의의 조합을 포함하는 플루오르 카본 가스, 및 아르곤(Ar), 헬륨(He), 크립톤(Kr), 네온(Ne), 라돈(Rn) 또는 제논(Xe), 또는 이들의 임의의 조합을 포함하는 비활성 가스를 포함하는 것인 SQNB 시스템.
  4. 제1항에 있어서, 상기 SQNB 처리 챔버 내에 구성되는 1개 이상의 스위쳐블 가스 분포 소자로서, 상기 스위쳐블 가스 분포 소자 중 적어도 하나는 상기 제1 SQNB 절차 중에 제1 SQNB 처리 가스를 상기 스위쳐블 플라즈마 영역으로 제공하고, 상기 제2 SQNB 절차 중에 제2 SQNB 처리 가스를 상기 스위쳐블 플라즈마 영역으로 제공하는 것인 1개 이상의 스위쳐블 가스 분포 소자; 및
    1개 이상의 제2 공급 라인을 이용하여 상기 1개 이상의 스위쳐블 가스 분포 소자에 연결되는 1개 이상의 스위쳐블 가스 공급 시스템
    을 더 포함하는 SQNB 시스템.
  5. 제4항에 있어서, 상기 제1 SQNB 처리 가스 및/또는 상기 제2 SQNB 처리 가스는, C4F6, C4F8, C5F8, CHF3 또는 CF4, 또는 이들의 임의의 조합을 포함하는 플루오르 카본 가스, 및 아르곤(Ar), 헬륨(He), 크립톤(Kr), 네온(Ne), 라돈(Rn) 또는 제논(Xe), 또는 이들의 임의의 조합을 포함하는 비활성 가스를 포함하는 것인 SQNB 시스템.
  6. 제1항에 있어서, 상기 플라즈마 생성 챔버에 연결되는 1개 이상의 유도 코일로서, 상기 상부 플라즈마 영역에서 상기 제1 상부 플라즈마 전위로 상기 제1 상부 플라즈마를 생성하는 1개 이상의 유도 코일; 및
    1개 이상의 매치 네트워크를 이용하여 상기 1개 이상의 유도 코일에 연결되는 플라즈마 생성 소스
    를 더 포함하는 SQNB 시스템.
  7. 제6항에 있어서, 상기 플라즈마 생성 소스는 무선 주파수(RF) 발생기를 포함하는 것으로서, 상기 플라즈마 생성 소스로부터의 RF 전력은 범위가 약 10W부터 약 1000W까지이고, 상기 플라즈마 생성 소스에 대한 RF 주파수는 범위가 약 0.1MHz부터 약 100MHz까지인 것인 SQNB 시스템.
  8. 제6항에 있어서, 상기 제1 및/또는 제2 SQNB 절차 중에, 상기 플라즈마 생성 소스로부터의 출력은 변조, 변동(varied), 펄스, 스텝, 램프(ramp) 또는 일정하게 유지, 또는 이들의 임의의 조합이 되도록 구성되는 것인 SQNB 시스템.
  9. 제1항에 있어서, 1개 이상의 상부 피드스루(feed-thru) 소자를 이용하여 상기 플라즈마 생성 챔버 내에 구성되는 1개 이상의 상부 DC 전도성 전극에 연결되는 상부 다위치(multi-position) 스위치; 및
    상기 상부 다위치 스위치에 연결되는 상부 전원 공급기로서, 상기 상부 다위치 스위치는, 상기 상부 피드스루 소자 중 적어도 하나에 연결되는 공통 포트, 상기 접지 전위에 연결되는 제1 스위쳐블 포트, 및 상기 상부 전원 공급기에 연결되는 제2 스위쳐블 포트를 가지며, 상기 상부 다위치 스위치는, 상기 상부 DC 전도성 전극 중 적어도 하나를 상기 접지 전위에 연결하는 제1 위치, 및 상기 1개 이상의 상부 DC 전도성 전극을 상기 상부 전원 공급기에 연결하는 제2 위치를 가지는 것인 상부 전원 공급기
    를 더 포함하는 SQNB 시스템.
  10. 제9항에 있어서, 상기 상부 전원 공급기는 DC 전원 또는 AC 전원, 또는 이들의 임의의 조합을 제공하고, 상기 제1 및/또는 제2 SQNB 절차 중에, 상기 상부 전원 공급기로부터의 출력은 변동, 펄스, 스텝, 램프 또는 일정하게 유지, 또는 이들의 임의의 조합이 되도록 구성되는 것인 SQNB 시스템.
  11. 제1항에 있어서, 1개 이상의 하부 피드스루 소자를 이용하여 상기 SQNB 처리 챔버 내에 구성되는 1개 이상의 하부 바이어스 전극에 연결되는 하부 다위치 스위치; 및
    상기 하부 다위치 스위치에 연결되는 바이어스 전원 공급기로서, 상기 하부 다위치 스위치는, 상기 하부 피드스루 소자 중 적어도 하나에 연결되는 공통 포트, 상기 접지 전위에 연결되는 제1 스위쳐블 포트, 및 상기 바이어스 전원 공급기에 연결되는 제2 스위쳐블 포트를 가지며, 상기 하부 다위치 스위치는, 상기 하부 바이어스 전극 중 적어도 하나를 상기 접지 전위에 연결하는 제1 위치, 및 상기 1개 이상의 하부 바이어스 전극을 상기 바이어스 전원 공급기에 연결하는 제2 위치를 가지는 것인 바이어스 전원 공급기
    를 더 포함하는 SQNB 시스템.
  12. 제11항에 있어서, 상기 바이어스 전원 공급기는 DC 전원 또는 AC 전원, 또는 이들의 임의의 조합을 제공하고, 상기 제1 및/또는 제2 SQNB 절차 중에, 상기 바이어스 전원 공급기로부터의 출력은 변동, 펄스, 스텝, 램프 또는 일정하게 유지, 또는 이들의 임의의 조합이 되도록 구성되는 것인 SQNB 시스템.
  13. 제11항에 있어서, 상부 전원 공급기는, 상기 바이어스 전원 공급기에 의해 상기 SQNB 처리 챔버 내의 하부 바이어스 전극에 제공되는 바이어스 DC 전압보다 작은 DC 전압을, 상기 플라즈마 생성 챔버에서 연결되는 1개 이상의 상부 DC 전도성 전극에 제공한다.
  14. 제1항에 있어서, 1개 이상의 제1 피드스루 소자를 이용하여 상기 스위쳐블 기판 홀더 내에 구성되는 1개 이상의 기판 바이어스 전극에 연결되는 제1 다위치 스위치; 및
    필터 네트워크를 이용하여 상기 제1 다위치 스위치에 연결되는 바이어스 발생기로서, 상기 제1 다위치 스위치는, 상기 기판 바이어스 전극 중 적어도 하나에 연결되는 공통 포트, 상기 접지 전위에 연결되는 제1 스위쳐블 포트, 및 상기 필터 네트워크에 연결되는 제2 스위쳐블 포트를 가지며, 상기 제1 다위치 스위치는, 상기 기판 바이어스 전극 중 적어도 하나를 상기 접지 전위에 연결하는 제1 위치, 상기 필터 네트워크를 이용하여 1개 이상의 상기 기판 바이어스 전극을 상기 바이어스 발생기에 연결하는 제2 위치, 및 상기 기판 바이어스 전극 중 적어도 하나를 상기 접지 전위로부터 절연하는 제3 위치를 가지는 것인 바이어스 발생기
    를 더 포함하는 SQNB 시스템.
  15. 제14항에 있어서, 상기 바이어스 발생기는 무선 주파수(RF) 발생기를 포함하는 것으로서, 상기 제1 및/또는 제2 SQNB 절차 중에, 상기 바이어스 발생기로부터의 제1 RF 전력은 범위가 약 10W부터 약 1000W까지이고, 상기 바이어스 발생기를 위한 제1 RF 주파수는 범위가 약 0.1MHz부터 약 100MHz까지인 것인 SQNB 시스템.
  16. 제14항에 있어서, 상기 바이어스 발생기는 DC 전원 또는 AC 전원, 또는 이들의 임의의 조합을 제공하고, 상기 제1 및/또는 제2 SQNB 절차 중에, 상기 바이어스 발생기로부터의 출력은 변동, 펄스, 스텝, 램프 또는 일정하게 유지, 또는 이들의 임의의 조합이 되도록 구성되는 것인 SQNB 시스템.
  17. 제1항에 있어서, 상기 제1 센서 중 적어도 하나는, 상기 제1 및/또는 제2 SQNB 절차 중에 상기 플라즈마 생성 챔버에서 상부 플라즈마 상태를 검출하고, 1개 이상의 제2 센서는, 상기 제1 및/또는 제2 SQNB 절차 중에 상기 SQNB 처리 챔버에서 하부 플라즈마 상태를 검출하는 것인 SQNB 시스템.
  18. 제1항에 있어서, 상기 스위쳐블 기판 홀더는, 후면 가스 시스템에 연결되는 듀얼(dual) 후면 가스 소자, 및 약 0℃와 약 100℃ 사이인 상기 패터닝된 기판에 대한 제1 에지 온도 및 제1 중심 온도를 설정하는 온도 제어 시스템에 연결되는 온도 제어 소자를 포함하는 것인 SQNB 시스템.
  19. 제1항에 있어서, 상기 제1 SQNB 절차는 상기 패터닝된 기판에 개질 마스킹층을 생성하고, 상기 제2 SQNB 절차는 상기 패터닝된 기판에 새로운 피쳐(feature)를 생성하기 위해 상기 개질 마스킹층을 이용하는 것인 SQNB 시스템.
  20. 스위쳐블 처리 챔버에서 패터닝된 기판을 지지하는 스위쳐블 기판 홀더에 상기 패터닝된 기판을 위치시키는 단계;
    제1 스위쳐블 준중성빔(SQNB) 절차 중에 상기 스위쳐블 기판 홀더를 접지 전위에 연결하는 단계;
    상기 제1 SQNB 절차 중에 SQNB 소스로부터의 제1 공간 전하 중화 중성빔을 이용하여 상기 패터닝된 기판에 마스킹층을 개질하는 단계;
    제2 SQNB 절차 중에 상기 스위쳐블 기판 홀더를 상기 접지 전위로부터 절연하는 단계; 및
    상기 제2 SQNB 절차 중에 상기 SQNB 소스로부터의 제2 공간 전하 중화 중성빔을 이용하여 상기 패터닝된 기판에 새로운 피쳐를 생성하는 단계
    를 포함하는 스위쳐블 준중성빔(SQNB) 소스를 이용하여 기판을 처리하는 방법.
KR1020127021404A 2010-01-15 2011-01-10 스위쳐블 중성빔 소스 KR101989629B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/688,721 US20110177694A1 (en) 2010-01-15 2010-01-15 Switchable Neutral Beam Source
US12/688,721 2010-01-15
PCT/US2011/020668 WO2011087984A2 (en) 2010-01-15 2011-01-10 Switchable neutral beam source

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020177007315A Division KR20170034916A (ko) 2010-01-15 2011-01-10 스위쳐블 중성빔 소스

Publications (2)

Publication Number Publication Date
KR20120117872A true KR20120117872A (ko) 2012-10-24
KR101989629B1 KR101989629B1 (ko) 2019-06-14

Family

ID=44277885

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020177007315A KR20170034916A (ko) 2010-01-15 2011-01-10 스위쳐블 중성빔 소스
KR1020127021404A KR101989629B1 (ko) 2010-01-15 2011-01-10 스위쳐블 중성빔 소스

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020177007315A KR20170034916A (ko) 2010-01-15 2011-01-10 스위쳐블 중성빔 소스

Country Status (6)

Country Link
US (1) US20110177694A1 (ko)
JP (1) JP5968225B2 (ko)
KR (2) KR20170034916A (ko)
CN (1) CN102804933B (ko)
TW (1) TWI428982B (ko)
WO (1) WO2011087984A2 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170106468A (ko) * 2015-01-26 2017-09-20 도쿄엘렉트론가부시키가이샤 기판의 고정밀 에칭 방법 및 시스템
KR20210065755A (ko) * 2019-11-27 2021-06-04 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
WO2023132401A1 (ko) * 2022-01-07 2023-07-13 피에스케이 주식회사 광 분석 유닛, 그리고 이를 포함하는 기판 처리 장치

Families Citing this family (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9793126B2 (en) 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
US20130059448A1 (en) * 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US8802545B2 (en) * 2011-03-14 2014-08-12 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
KR101900527B1 (ko) * 2011-04-11 2018-09-19 램 리써치 코포레이션 반도체 프로세싱을 위한 e-빔 강화된 디커플링 소스
US9039911B2 (en) 2012-08-27 2015-05-26 Lam Research Corporation Plasma-enhanced etching in an augmented plasma processing system
US9035553B2 (en) * 2011-11-09 2015-05-19 Dae-Kyu Choi Hybrid plasma reactor
US20130287963A1 (en) * 2012-04-26 2013-10-31 Varian Semiconductor Equipment Associates, Inc. Plasma Potential Modulated ION Implantation Apparatus
JP5988102B2 (ja) * 2013-03-01 2016-09-07 パナソニックIpマネジメント株式会社 プラズマクリーニング方法
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9230819B2 (en) 2013-04-05 2016-01-05 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing
GB201309583D0 (en) * 2013-05-29 2013-07-10 Spts Technologies Ltd Apparatus for processing a semiconductor workpiece
US20140360670A1 (en) * 2013-06-05 2014-12-11 Tokyo Electron Limited Processing system for non-ambipolar electron plasma (nep) treatment of a substrate with sheath potential
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
US9978568B2 (en) * 2013-08-12 2018-05-22 Tokyo Electron Limited Self-sustained non-ambipolar direct current (DC) plasma at low power
US9288890B1 (en) * 2014-10-31 2016-03-15 Tokyo Electron Limited Method and apparatus for providing an anisotropic and mono-energetic neutral beam by non-ambipolar electron plasma
US10475626B2 (en) 2015-03-17 2019-11-12 Applied Materials, Inc. Ion-ion plasma atomic layer etch process and reactor
US11824454B2 (en) * 2016-06-21 2023-11-21 Eagle Harbor Technologies, Inc. Wafer biasing in a plasma chamber
KR102453450B1 (ko) * 2017-10-23 2022-10-13 삼성전자주식회사 플라즈마 처리 장치, 반도체 소자의 제조설비 및 그의 제조방법
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
WO2020051064A1 (en) * 2018-09-05 2020-03-12 Tokyo Electron Limited Apparatus and process for electron beam mediated plasma etch and deposition processes
US11205562B2 (en) 2018-10-25 2021-12-21 Tokyo Electron Limited Hybrid electron beam and RF plasma system for controlled content of radicals and ions
US12014901B2 (en) * 2018-10-25 2024-06-18 Tokyo Electron Limited Tailored electron energy distribution function by new plasma source: hybrid electron beam and RF plasma
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
EP4291954A1 (en) 2021-02-15 2023-12-20 Applied Materials, Inc. Apparatus for post exposure bake of photoresist
US11815816B2 (en) 2021-02-15 2023-11-14 Applied Materials, Inc. Apparatus for post exposure bake of photoresist
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0892748A (ja) * 1994-04-28 1996-04-09 Applied Materials Inc 誘導結合モードと静電結合モードとを併用する高密度プラズマcvdリアクタ
JPH11330049A (ja) * 1998-05-12 1999-11-30 Matsushita Electric Ind Co Ltd プラズマ処理方法及び装置
JP2000178741A (ja) * 1998-12-09 2000-06-27 Hitachi Ltd プラズマcvd装置およびそれにおける成膜とクリーニング制御法
JP2000323458A (ja) * 1999-05-10 2000-11-24 Matsushita Electric Ind Co Ltd プラズマ処理方法及び装置
JP2002016056A (ja) * 2000-06-29 2002-01-18 Nec Corp リモートプラズマcvd装置及び膜形成方法
JP2002275616A (ja) * 2001-03-14 2002-09-25 Matsushita Electric Ind Co Ltd 表面処理方法及び装置
JP2004076122A (ja) * 2002-08-21 2004-03-11 Ebatekku:Kk プラズマ表面処理方法およびその装置
US20040244687A1 (en) * 2001-11-19 2004-12-09 Katsunori Ichiki Etching method and apparatus
US20090236314A1 (en) * 2008-03-21 2009-09-24 Tokyo Electron Limited Mono-energetic neutral beam activated chemical processing system and method of using

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR0141659B1 (ko) * 1993-07-19 1998-07-15 가나이 쓰토무 이물제거 방법 및 장치
US5350480A (en) * 1993-07-23 1994-09-27 Aspect International, Inc. Surface cleaning and conditioning using hot neutral gas beam array
US5865896A (en) * 1993-08-27 1999-02-02 Applied Materials, Inc. High density plasma CVD reactor with combined inductive and capacitive coupling
US5571366A (en) * 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
US5883005A (en) * 1994-03-25 1999-03-16 California Institute Of Technology Semiconductor etching by hyperthermal neutral beams
US5468955A (en) * 1994-12-20 1995-11-21 International Business Machines Corporation Neutral beam apparatus for in-situ production of reactants and kinetic energy transfer
JP3598717B2 (ja) * 1997-03-19 2004-12-08 株式会社日立製作所 プラズマ処理装置
JP3317209B2 (ja) * 1997-08-12 2002-08-26 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置及びプラズマ処理方法
KR100829288B1 (ko) * 1998-12-11 2008-05-13 서페이스 테크놀로지 시스템스 피엘씨 플라즈마 처리장치
KR100380660B1 (ko) * 2000-11-22 2003-04-18 학교법인 성균관대학 중성빔을 이용한 반도체소자의 식각방법 및 이를 위한식각장치
US6755150B2 (en) * 2001-04-20 2004-06-29 Applied Materials Inc. Multi-core transformer plasma source
KR100408137B1 (ko) * 2001-11-26 2003-12-06 학교법인 성균관대학 중성빔을 이용한 층대층 식각장치 및 식각방법
JP2004281230A (ja) * 2003-03-14 2004-10-07 Ebara Corp ビーム源及びビーム処理装置
JP2004281232A (ja) * 2003-03-14 2004-10-07 Ebara Corp ビーム源及びビーム処理装置
US7740737B2 (en) * 2004-06-21 2010-06-22 Tokyo Electron Limited Plasma processing apparatus and method
CN1983518B (zh) * 2004-06-21 2011-06-08 东京毅力科创株式会社 等离子体处理装置和方法
US7988816B2 (en) * 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7767561B2 (en) * 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
KR100663351B1 (ko) * 2004-11-12 2007-01-02 삼성전자주식회사 플라즈마 처리장치
US7358484B2 (en) * 2005-09-29 2008-04-15 Tokyo Electron Limited Hyperthermal neutral beam source and method of operating

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0892748A (ja) * 1994-04-28 1996-04-09 Applied Materials Inc 誘導結合モードと静電結合モードとを併用する高密度プラズマcvdリアクタ
JPH11330049A (ja) * 1998-05-12 1999-11-30 Matsushita Electric Ind Co Ltd プラズマ処理方法及び装置
JP2000178741A (ja) * 1998-12-09 2000-06-27 Hitachi Ltd プラズマcvd装置およびそれにおける成膜とクリーニング制御法
JP2000323458A (ja) * 1999-05-10 2000-11-24 Matsushita Electric Ind Co Ltd プラズマ処理方法及び装置
JP2002016056A (ja) * 2000-06-29 2002-01-18 Nec Corp リモートプラズマcvd装置及び膜形成方法
JP2002275616A (ja) * 2001-03-14 2002-09-25 Matsushita Electric Ind Co Ltd 表面処理方法及び装置
US20040244687A1 (en) * 2001-11-19 2004-12-09 Katsunori Ichiki Etching method and apparatus
JP2004076122A (ja) * 2002-08-21 2004-03-11 Ebatekku:Kk プラズマ表面処理方法およびその装置
US20090236314A1 (en) * 2008-03-21 2009-09-24 Tokyo Electron Limited Mono-energetic neutral beam activated chemical processing system and method of using

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170106468A (ko) * 2015-01-26 2017-09-20 도쿄엘렉트론가부시키가이샤 기판의 고정밀 에칭 방법 및 시스템
KR20210065755A (ko) * 2019-11-27 2021-06-04 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
WO2023132401A1 (ko) * 2022-01-07 2023-07-13 피에스케이 주식회사 광 분석 유닛, 그리고 이를 포함하는 기판 처리 장치

Also Published As

Publication number Publication date
KR20170034916A (ko) 2017-03-29
US20110177694A1 (en) 2011-07-21
JP2013517600A (ja) 2013-05-16
TWI428982B (zh) 2014-03-01
JP5968225B2 (ja) 2016-08-10
CN102804933A (zh) 2012-11-28
TW201145383A (en) 2011-12-16
KR101989629B1 (ko) 2019-06-14
WO2011087984A3 (en) 2011-11-03
CN102804933B (zh) 2016-03-09
WO2011087984A2 (en) 2011-07-21

Similar Documents

Publication Publication Date Title
KR101989629B1 (ko) 스위쳐블 중성빔 소스
US8343371B2 (en) Apparatus and method for improving photoresist properties using a quasi-neutral beam
US20100081285A1 (en) Apparatus and Method for Improving Photoresist Properties
JP5636486B2 (ja) 多層/多入力/多出力(mlmimo)モデル及び当該モデルの使用方法
KR101633937B1 (ko) Dc 및 rf 하이브리드 처리 시스템
US8501499B2 (en) Adaptive recipe selector
US8883024B2 (en) Using vacuum ultra-violet (VUV) data in radio frequency (RF) sources
US7894927B2 (en) Using Multi-Layer/Multi-Input/Multi-Output (MLMIMO) models for metal-gate structures
JP5577530B2 (ja) 六フッ化硫黄(sf6)および炭化水素ガスを用いた反射防止層のパターニング方法
US7939450B2 (en) Method and apparatus for spacer-optimization (S-O)
KR101445153B1 (ko) 포토마스크 플라즈마 에칭시 인시츄 챔버 건식 세정을 위한 방법 및 장치
US8019458B2 (en) Creating multi-layer/multi-input/multi-output (MLMIMO) models for metal-gate structures
US7754615B2 (en) Method and apparatus for detecting endpoint in a dry etching system by monitoring a superimposed DC current
US8532796B2 (en) Contact processing using multi-input/multi-output (MIMO) models
US20090082983A1 (en) Method and Apparatus for Creating a Spacer-Optimization (S-O) Library

Legal Events

Date Code Title Description
AMND Amendment
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
A107 Divisional application of patent
J201 Request for trial against refusal decision
J301 Trial decision

Free format text: TRIAL NUMBER: 2017101001279; TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20170316

Effective date: 20190228

S901 Examination by remand of revocation
GRNO Decision to grant (after opposition)
GRNT Written decision to grant