KR20170106468A - 기판의 고정밀 에칭 방법 및 시스템 - Google Patents

기판의 고정밀 에칭 방법 및 시스템 Download PDF

Info

Publication number
KR20170106468A
KR20170106468A KR1020177023715A KR20177023715A KR20170106468A KR 20170106468 A KR20170106468 A KR 20170106468A KR 1020177023715 A KR1020177023715 A KR 1020177023715A KR 20177023715 A KR20177023715 A KR 20177023715A KR 20170106468 A KR20170106468 A KR 20170106468A
Authority
KR
South Korea
Prior art keywords
plasma
substrate
adsorption
region
gas
Prior art date
Application number
KR1020177023715A
Other languages
English (en)
Other versions
KR102549283B1 (ko
Inventor
지안핑 자오
메리트 펑크
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20170106468A publication Critical patent/KR20170106468A/ko
Application granted granted Critical
Publication of KR102549283B1 publication Critical patent/KR102549283B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 개시 내용은 마이크로 전자 기판의 고정밀 에칭을 위한 플라즈마 처리 시스템 및 방법에 관한 것이다. 시스템은 기판의 단일층(들)을 제거하기 위해 플라즈마를 생성할 수 있는 플라즈마 챔버를 포함할 수 있다. 플라즈마 처리는 제1 플라즈마를 이용하여 마이크로 전자 기판의 표면에 얇은 흡착층을 형성하는 2-단계 처리를 포함할 수 있다. 흡착층은 시스템이 제2 플라즈마로 전환되거나 기판을 더 높은 이온 에너지를 갖는 제1 플라즈마 내의 다른 위치로 이동시킬 때 제거될 수 있다. 하나의 특정 실시예에서, 제1 및 제2 플라즈마 간의 전환은 플라즈마 처리 조건을 변화시키지 않거나 비교적 작게 변화시키고 소스 전극에 대한 기판의 위치를 변화시키는 것으로 가능해질 수 있다.

Description

기판의 고정밀 에칭 방법 및 시스템
관련 출원에 대한 상호 참조
본 출원은 그 전체 내용이 여기에 참조로 포함된, 2015년 1월 26일자 출원된 미국 가특허 출원 제62/107,918호 및 2015년 3월 24일자 출원된 미국 가특허 출원 제62/137,635호의 이익을 주장한다.
기술 분야
본 발명은 반도체 처리 기술에 관한 것으로, 보다 상세하게는, 반도체 기판을 처리하기 위한 플라즈마 특성을 제어하는 장치 및 방법에 관한 것이다.
마이크로 전자 특징부의 기하학적 구조(geometry)는 계속적으로 더 작은 크기로 축소되고 복잡성이 증가되고 있다. 따라서, 마이크로 전자 소자의 제조에 사용되는 패턴화 기술은 더 작은 선폭 크기를 형성하고 제조 과정 중 막 손상을 감소시키도록 더 정밀해지는 것이 필요할 수 있다. 예전에는 플라즈마 처리를 이용하여 전구체 증착(패시베이션) 및 에칭을 번갈아 행하는 것에 의해 선택적 에칭 또는 고정밀 에칭을 시도하였다. 그러나, 이들 종래의 접근법은 종횡비, 프로파일 변화 및 사이클 시간에 있어서 문제가 있었다. 따라서, 전술한 문제점을 극복할 수 있는 새로운 고정밀 에칭 기술이 요망된다.
본 개시 내용은 마이크로 전자 소자의 제조에 사용되는 기판의 고정밀 에칭을 위한 플라즈마 처리 방법에 관한 것이다. 고정밀 에칭은 목표로 하는 막 두께가 얻어질 때까지 또는 기판으로부터 막이 제거될 때까지 소정의 기간에 걸친 단일층 막의 체계적 제거를 포함한다. 고정밀 에칭은 기판을 흡착 상태와 탈착 상태 사이에서 전환될 수 있는 플라즈마에 노출시키는 것에 의해 가능할 수 있다. 예를 들면, 흡착 플라즈마는 하부 기판에 대해 구별 가능한 특성(예, 에칭 선택도)을 가지는 단일층(들)을 형성하는 기판의 표면을 예비 처리하거나 마련하기 위해 사용될 수 있다. 단일층(들)의 형성 후에, 흡착 플라즈마는 하부 기판을 노출시키도록 단일층(들)을 제거할 수 있는 탈착 플라즈마로 전환될 수 있다.
일 실시예에서, 흡착 처리는 하부 기판에 대한 기판의 표면의 에칭 선택비를 증가시킬 수 있다. 하부층에 비해 기판의 표면에서 더 높은 에칭 선택비를 가지는 것에 의해, 단일층(들)의 제거 중에 하부 기판에 대한 손상이 감소되면서 표면 단일층(들)의 제거 또는 에칭이 가능해질 수 있다. 일 실시예에서, 단일층과 하부 기판 사이의 에칭 선택비는 제거 처리가 자체적으로 제한될 수 있을 정도로 높을 수 있다. 이 방식으로, 플라즈마 처리는 하부층 또는 기판이 플라즈마에 노출되더라도 해당 플라즈마 처리가 하부층 또는 기판을 에칭하지 않는 것으로 보이거나 비교적 소량을 에칭한다는 점에서 하부층을 제거하지 않고 단일층(들)을 강력하게 또는 체계적으로 제거할 수 있다.
일 실시예에서, 기판의 고정밀 에칭은 동일한 플라즈마 처리 챔버 내에서 다양한 플라즈마 처리 기술을 이용하여 흡착 플라즈마와 탈착 플라즈마 간의 전환을 통해 구현될 수 있다. 흡착/탈착 전환은 플라즈마 처리 챔버 내의 가스 혼합물, 압력, 파워, 기판 위치 또는 이들의 조합을 변경시키는 것에 의해 가능할 수 있다. 예를 들면, 전환은 플라즈마 처리 챔버 내의 가스 혼합물의 케미스트리의 교환을 통해 구현될 수 있다. 일 실시예에서, 케미스트리의 교환 또는 전환은 제2 화학 조성물을 도입하기 이전에 플라즈마 처리 챔버로부터 제1 화학 조성물을 제거하는 것을 포함한다. 하나의 특정 예에서, 가스 제거를 통해 흡착 또는 탈착 플라즈마의 생성 이전에 개별 가스 혼합물이 완전히 제거될 수 있다. 가스 혼합물 교환은 가스류를 차단시키고 플라즈마 처리 챔버로부터 가스 혼합물 또는 가스 혼합물의 적어도 대부분을 제거하는 것을 포함할 수 있다. 다른 예로, 가스 혼합물은 가스 혼합물 전환 중에 플라즈마를 유지하면서 제1 화학 조성물로부터 제2 화학 조성물로 전환될 수 있다. 이 실시예에서, 가스는 흡착 화학 성분을 감소시키고 동시에 또는 순차적 또는 연속적 방식으로 탈착 화학 성분의 유량을 증가시키는 것에 의해 전환될 수 있다. 추가로, 기판의 위치는 기판의 표면에서의 흡착률 또는 탈착률을 최적화하기 위해 화학적 교환 중에 변할 수 있다. 기판은 흡착 처리를 위해 소스 전극으로부터 적어도 100 mm에 위치될 수 있고, 탈착 저리 중에는 소스 전극으로부터 20 mm~100 mm에 위치될 수 있다. 추가로, 가스 혼합물의 제거 또는 전환은 개별 가스 혼합물을 개별 처리 위치에 이웃하거나 근접하게 주입하는 것에 의해 향상될 수 있다. 예를 들면, 흡착 가스 혼합물은 탈착 가스 혼합물 주입 지점과 다른 위치로부터 플라즈마 처리 챔버 내로 주입될 수 있다. 그러나, 다른 실시예에서, 상기와 동일한 위치로부터 플라즈마 처리 챔버 내로 다른 가스 혼합물이 주입될 수 있다.
일 실시예에서, 흡착 플라즈마(제1 플라즈마 또는 플라즈마의 제1 영역)는 플라즈마 처리 챔버 내의 처리 가스 혼합물에 대해 제1 에너지원(예, 마이크로파)을 인가하는 것에 의해 생성될 수 있다. 가스 혼합물은 반응 가스(예, Clx-함유 가스, Fx-함유 가스, Ox-함유 가스 등)와 흡착 플라즈마 상태에서 반응 가스에 비해 기판에 상대적으로 불활성일 수 있는 희석 가스(예, Ar, He, 이들의 조합 등)를 포함할 수 있다. 고정밀 에칭 방법에 대한 처리 조건은 한정되는 것은 아니지만 챔버 압력, 소스 파워, 가스 혼합물 조성, 가스 농도, 기판 위치 또는 이들의 조합을 포함할 수 있다. 이 경우, 흡착 중에, 챔버 압력은 1 mTorr보다 크고 최대 1000 mTorr일 수 있고, 제1 에너지원은 플라즈마 처리 챔버 내에 배치된 소스 전극에 대해 약 2.45 GHz 또는 300 MHz~10 GHz의 범위 내의 주파수의 500 W~10,000 W의 파워를 인가할 수 있다.
이 실시예에서, 탈착 플라즈마(제2 플라즈마 또는 플라즈마의 제2 영역)로의 전환은 가스 혼합물, 소스 파워, 및/또는 기판 위치를 변화시켜 흡착 플라즈마에 의해 처리 또는 형성되는 기판의 일부(예, 단일층(들))를 선택적으로 제거할 수 있는 이온을 생성함으로써 일어날 수 있다. 탈착 플라즈마는 흡착된 층과 하부층 사이에 매우 높은 선택비를 가질 수 있다. 이 방식으로, 탈착 플라즈마는 하부층을 제거하지 않고 흡착층을 제거할 수 있다. 기판의 흡착된 부분을 제거한 후, 탈착 플라즈마는 기판의 새로이 노출된 부분 또는 표면을 처리하는 흡착 플라즈마로 다시 전환될 수 있다.
소정의 실시예에서, 흡착 및 탈착 플라즈마 간의 전환은 비대칭적 방식으로 일어날 수 있으므로, 흡착 플라즈마 또는 탈착 플라즈마는 다른 시간 동안 기판에 노출될 수 있다. 전환에 소요되는 시간은 2가지의 처리 사이에 필요할 수 있는 임의의 제거 또는 가스 교환에 크게 의존할 수 있지만, 제거는 필요치 않을 수 있다. 하나의 특정 실시예에서, 흡착 및 탈착 간의 전환은 기판의 소스 전극 사이의 거리를 변화시키는 것으로 실현될 수 있다. 예를 들면, 플라즈마 특성은 동일한 플라즈마의 다른 영역 사이에서 변할 수 있으므로, 처리 조건(예, 케미스트리, 파워, 압력 등)의 변화가 없거나 작더라도 처리 챔버 내에서 흡착 및 탈착 상태 간의 전환이 충분히 이루어지도록 플라즈마 특성이 변경될 수 있다. 따라서, 소스 전극에 대한 기판의 위치는 고정밀 에칭을 가능하게 하는 데 지배적인 역할을 행할 수 있다.
본 명세서에 포함되어 일부를 구성하는 첨부 도면은 본 발명의 실시예들을 예시하며, 상기 주어진 본 발명의 개요 및 아래 제공되는 상세한 설명과 함께 본 발명을 설명하는 데 사용된다. 추가로, 참조 번호의 가장 왼쪽 숫자(들)는 참조 번호가 처음 나타난 도면을 말한다.
도 1은 적어도 하나의 실시예에 따라 기판을 처리하기 위해 제1 플라즈마와 제2 플라즈마 간의 전환을 가능하게 할 수 있는 플라즈마 챔버의 개략적인 단면도를 보여주는 플라즈마 처리 시스템의 대표적인 실시예의 예시이다.
도 2는 적어도 하나의 실시예에 따라 기판을 처리하기 위해 제1 플라즈마 영역과 제2 플라즈마 영역 간의 전환을 가능하게 할 수 있는 플라즈마 챔버의 개략적인 단면도를 보여주는 플라즈마 처리 시스템의 단면도를 예시한다.
도 3은 적어도 하나의 실시예에 따라 이온 에너지와 흡착 계수의 측면에서 제1 플라즈마와 제2 플라즈마 간의 전환을 예시하는 도면이다.
도 4는 제1 플라즈마 및 대응하는 기판의 처리와 제2 플라즈마 및 대응하는 기판의 처리의 개별 실시예를 예시한다.
도 5는 적어도 하나의 실시예에 따라 기판을 처리하기 위해 제1 플라즈마와 제2 플라즈마를 생성하는 방법의 흐름도이다.
도 6은 적어도 하나의 실시예에 따라 기판을 처리하기 위해 제1 플라즈마와 제2 플라즈마를 생성하는 다른 방법의 흐름도이다.
다음의 상세한 설명은 본 개시 내용에 부합하는 예시적인 실시예를 나타내기 위한 첨부 도면을 참조한다. "일 실시예", "실시예", "예시적인 실시예" 등의 상세한 설명에서의 언급은 설명된 예시적인 실시예가 특별한 특징, 구조 또는 특성을 포함할 수 있음을 나타내지만, 모든 예시적인 실시예가 반드시 특별한 특징, 구조 또는 특성을 포함하는 것은 아니다. 또한, 이러한 문구는 반드시 동일한 실시예를 지칭하는 것은 아니다. 또한, 특별한 특징, 구조 또는 특성이 일 실시예와 관련하여 설명될 때, 명시적으로 기술되던지 그렇지 않던간에 다른 예시적인 실시예와 관련하여 그러한 특징, 구조 또는 특성에 영향을 미치는 것은 관련 기술 분야의 당업자의 지식 내에 있다.
본 명세서에서 사용된 "기판" 또는 "마이크로 전자 기판"은 일반적으로 본원에 기술된 실시예에 따라 처리되는 대상을 지칭한다. 마이크로 전자 기판은 소자, 특히 반도체 또는 다른 전자 소자의 임의의 재료 부분 또는 구조를 포함할 수 있으며, 예를 들어, 반도체 기판 또는 베이스 기판 구조체 상의 박막 등의 층과 같은 베이스 기판 구조체일 수 있다. 따라서, 기판은, 임의의 특정 베이스 구조, 하부층 또는 상부층, 패턴화된 것 또는 패턴화되지 않은 것으로 제한되도록 의도되지 않고 오히려 임의의 이러한 층 또는 베이스 구조, 및 층들 및/또는 베이스 구조들의 임의의 조합을 포함하도록 고려된다. 이하의 설명은 특정 종류의 기판들을 참조하지만 이는 단지 예시의 목적을 위한 것이지 제한을 위한 것이 아니다.
여기에 설명된 예시적인 실시예는 설명의 목적으로 제공되며 제한적이지 않다. 다른 실시예가 가능하며, 본 개시 내용의 범위 내에서 예시적인 실시예에 변형이 가해질 수 있다. 그러므로, 상세한 설명은 본 개시 내용을 제한하는 것을 의미하지 않는다. 오히려, 본 개시 내용의 범위는 다음의 특허청구범위 및 그 등가물에 따라서만 정의된다.
다음의 예시적인 실시예의 상세한 설명은 관련 기술 분야의 당업자의 지식을 적용함으로써 다른 사람들이 본 발명의 범위를 벗어나지 않고, 과도한 실험 없이, 다양한 응용을 위해 상기 예시적인 실시예들을 용이하게 변형 및/또는 개조할 수 있는 본 개시 내용의 일반적 특성을 완전히 밝힐 것이다. 따라서, 이러한 개조 및 변형은 본 명세서에 제시된 교시 및 지침에 기초한 예시적인 실시예들의 의미 및 복수의 균등물 내에 있는 것으로 의도된 것이다. 본 명세서의 어구 또는 용어는 설명을 위한 것이지 제한을 위한 것은 아니며, 본 명세서의 전문 용어 또는 표현은 본 명세서의 교시에 비추어 관련 기술 분야의 당업자에 의해 해석되어야 한다는 것을 이해하여야 한다.
플라즈마 생성은 전기적으로 중성인 가스에 전자기 에너지를 인가함으로써 전자 손실의 결과로서 양전하를 띤(예를 들어, 이온) 가스 분자로부터 전자가 방출되게 함으로써 수행될 수 있다. 이온은 총 전자수가 양성자의 총수와 같지 않아 양전하를 띠게 되는 분자 또는 원자로서 특징지어 질 수 있다. 전기적으로 중성인 가스로부터 분자 또는 원자 라디칼(예, 적어도 하나의 비공유 전자를 갖는 분자 또는 원자)이 또한 생성될 수 있다. 일반적으로, 라디칼은 중성, 양전하 또는 음전하를 가질 수 있고, 이온에 비해 높은 화학 반응성을 가질 수 있다. 시간이 지남에 따라, 가스 혼합물 내의 전자기 에너지 및 증가하는 전자 충돌은 플라즈마 챔버에 배치된 기판을 처리하는 데 사용될 수 있는 가스 혼합물 내의 이온화된 분자 및 라디칼의 밀도를 증가시킬 수 있다. 이온 및 라디칼은 기판과 상호 작용할 수 있거나, 기판의 표면을 변경시키거나 증착 또는 패시베이션 후에 기판의 일부를 제거 할 수 있는 증착 또는 패시베이션을 통해 기판을 처리할 수 있다.
플라즈마 처리 시스템은 전자 소자를 제조하기 위해 기판의 일부에 패턴을 에칭하거나 기판의 일부를 제거하는 데 사용될 수 있는 몇 가지의 상이한 종류의 플라즈마를 구현하는 데 사용될 수 있다. 전자 소자의 크기 및 복잡성이 증가함에 따라, 기판상의 구조체를 손상시키지 않는 고도로 선택적인 에칭 공정에 대한 요구가 증가되었다. 보다 높은 선택비에 대한 하나의 접근법은 전구체 증착 단계들(예, 증착, 산화, 패시베이션 등)과 전구체 층의 제거 간을 교호할 수 있는 자기-제한층(self-limiting layer) 에칭 공정을 이용하는 것을 포함할 수 있다. 하나의 특정 실시예에서, 초기 표면 처리는 원자 또는 분자가 기판의 표면으로 침투하거나 확산하는 흡착 처리일 수 있다. 흡착 처리는 단일층(들)이 후속 공정 중에 기판으로부터 보다 쉽게 제거되거나 에칭 제거되도록 기판 표면의 하나 이상의 단일층을 변경시킬 수 있다. 하나의 예시적인 후속 공정은 하부 기판을 손상시키지 않고 단일층(들)을 제거하는 데 사용될 수 있는 탈착 처리를 포함할 수 있다. 흡착과 탈착 사이의 조합 또는 전환은 제어되거나 고도로 선택적인 방식으로 기판의 단일층(들)의 제거를 가능하게 할 수 있다. 플라즈마 처리 시스템은 플라즈마 챔버 내에서 흡착 및 탈착 처리를 번갈아 수행하는 하나 이상의 방법을 구현할 수 있다.
도 1은 플라즈마 챔버(102)에 생성될 수 있는 플라즈마(미도시)를 이용하여 기판을 처리하는 대표적인 플라즈마 처리 시스템(100)을 예시한다. 플라즈마 처리 시스템(100)은 기판으로의 이온 및/또는 라디칼의 유동량에 영향을 미치는 소정의 처리 조건을 변화시킬 수 있다. 예를 들면, 이온화된 분자는 플라즈마 챔버(102) 내의 전위차에 의해 영향을 받을 수 있어서, 이온화된 분자(또는 원자 또는 라디칼)는 기판(110) 측으로 유도될 수 있다. 당업계의 통상의 기술자는 기판(110)의 처리를 위해 가스 혼합물 조성, 압력, 파워, 기판 위치 또는 이들의 조합을 조절할 수 있을 것이다. 예를 들면, 플라즈마는 가스 전달 시스템(104)에 의해 제공되고 진공 시스템(108)에 의해 원하는 압력으로 유지될 수 있는 처리 가스 혼합물에 대해 전자기 에너지(예, 파워 소스(106))를 인가하는 것에 의해 생성될 수 있다. 플라즈마 처리 분야의 통상의 기술자는 플라즈마 챔버(102) 내에 플라즈마를 생성하는 데 사용되는 부품 및 서브-시스템을 이해할 수 있어서 이들을 본 명세서에 개시되는 실시예를 가능하게 하는 임의의 구성으로 구성할 수 있다.
일 실시예에서, 플라즈마 처리 시스템(100)은 플라즈마 챔버(102)와 유체 연통 상태에 있을 수 있는 가스 전달 시스템(104)과 진공 시스템(108)을 포함할 수 있다. 가스 전달 시스템(104)은 플라즈마 챔버(102) 내의 가스 혼합물 분포 및 농도를 제어하는 데 사용되는 징량 유량 제어기, 체크 밸브 등을 포함할 수 있다. 질량 유량 제어기는 플라즈마 챔버(102)에 제공될 수 있는 가스의 양과 종류를 제어할 수 있다. 질량 유량 제어기는 플라즈마 처리 분야에서 잘 알려져 있다. 진공 시스템(108)은 가스 전달 시스템(104)과 함께 플라즈마 챔버(102)의 압력을 제어하는 데 사용될 수 있다. 당업계의 통상의 기술자는 플라즈마 챔버(102) 내의 압력을 제어하기 위해 하나 이상의 펌프(미도시) 및 제어 시스템(예, N2 밸러스트 시스템, 버터플라이 밸브 시스템)(미도시)을 사용한다. 플라즈마 챔버(102)에 사용될 수 있는 가스의 종류 및 양, 그리고 압력은 본 출원의 방법 실시예에서 설명한다.
본 실시예는 원하는 압력의 플라즈마를 생성하도록 가스 혼합물을 활성화시키는 데 사용될 수 있는 하나 이상의 파워 소스(106)를 더 포함할 수 있다. 에너지는 파워 소스(106)에 의해 발생되는 전자기 에너지를 송출하는 전극 또는 안테나를 통해 가스 혼합물에 전달될 수 있다. 일 실시예에서, 플라즈마 챔버(102)는 기판(110)에 소망의 특성을 나타내도록 플라즈마를 조절하는 데 사용될 수 있는 소스 전극(126)을 포함할 수 있다. 파워 소스(106)의 종류는 고주파(RF) 파워 소스, 마이크로파 파워 소스, 자기(magnetic) 소스, 또는 이들의 조합을 포함할 수 있다. 다른 실시예에서, 플라즈마 챔버(102)는 소스 전극(126)과 같은 단일 소스를 포함할 수 있다. 파워 소스(106)는 가스 혼합물로의 파워 전달을 최대화하는 데 사용될 수 있는 매칭 시스템(미도시)을 더 포함할 수 있다. 당업계의 통상의 기술자는 매칭 시스템을 사용하여 플라즈마 챔버(102)의 임피던스를 파워 소스(102)의 임피던스에 일치시킬 수 있다. 파워 소스(들)(106)에 의해 제공되는 파워 및 주파수는 여기에 개시된 실시예들에서 기술될 것이다.
단면도(112)에 예시된 바와 같은 일 실시예에서, 플라즈마 챔버(102)는 전자기 에너지와 가스 혼합물(미도시)을 기판(110)에 인접한 영역으로 전달할 수 있게 하는 파워 소스 어셈블리(114)를 포함할 수 있다. 파워 소스 어셈블리(114)는 가스 통로(120) 주변에 배치될 수 있는 소스 전극(126) 및 유전체 성분(128)을 포함할 수 있다. 유전체 성분(128)은 가스 혼합물이 소스 전극(126)에 물리적으로 접촉되는 것을 방지할 수 있는 석영판을 포함할 수 있다. 그러나, 유전체 성분(128)은 여전히 전자기 에너지를 가스 혼합물로 전달할 수 있을 것이다. 일 실시예에서, 전자기 에너지는 전극과 유전체 성분(128) 사이에 정재파를 형성할 수 있다. 정재파는 가스 혼합물 내에 전류를 유도하여 기판(110)의 처리에 사용될 수 있는 플라즈마를 생성할 수 있다. 플라즈마 처리 분야의 통상의 기술자는 정재파가 플라즈마를 생성할 수 있게 하는 시스템(100) 및 처리 조건을 설계할 수 있을 것이다.
다른 실시예에서, 소스 전극(126)은 기판(110)과 실질적으로 평행할 수 있는 평면에서 파워 조립체(114) 주변으로 전류가 흐를 수 있게 하는 방식으로 배열될 수 있는 안테나 플레이트를 포함할 수 있다. 전류는 낮은 임피던스 경로(예, 금속층)를 따라 RF 파워 소스(미도시)와 그라운드 단자(미도시) 사이에서 흐르도록 유도되어 상기 낮은 임피던스 경로 주변에 자기장(미도시)을 발생시킬 수 있는 데, 상기 낮은 임피던스 경로는 플라즈마 처리 영역에 플라즈마를 생성하고 그 상부의 영역에 플라즈마를 생성하며 플라즈마 처리 영역으로 플라즈마를 전달하는 데 사용될 수 있다. 다른 예시적인 실시예에서, 플라즈마 처리 시스템은 제1 소스와 함께 사용될 수 있는 제2 파워 소스를 더 포함할 수 있다. 예를 들면, 제2 소스는 기판 홀더(124)로 편향되어 기판(110) 근처의 플라즈마 특성에 영향을 미칠 수 있다. 일부 실시예에서, 제2 파워 소스와 소스 전극 파워 소스(122)가 연합 또는 단독으로 사용되어 기판(110)에 노출될 수 있는 플라즈마를 생성할 수 있다.
기판 홀더(124)와 파워 어셈블리(114)에 대한 편향은 플라즈마 챔버(102) 내에 플라즈마 생성을 가능하게 하는 처리 시퀀싱을 조정할 수 있는 제어기(132)를 사용하는 것으로 실현될 수 있다. 제어기(132)는 컴퓨터 프로세서(134) 및 메모리(136)를 사용하여 전기 통신 네트워크(138)를 통해 제공될 수 있는 컴퓨터 판독 가능 명령을 실행함으로써 플라즈마 처리 시스템(100) 구성 요소(예, 파워 소스(106), 가스 전달 시스템(104) 등)를 제어할 수 있다. 하나 이상의 컴퓨터 프로세서(134)는 한정됨이 없이 중앙 처리 장치(CPU), 디지털 신호 프로세서(DSP), 마이크로프로세서, 마이크로컨트롤러, 필드 프로그래머블 게이트 어레이(FPGA), 또는 이들의 조합을 포함할 수 있다. 메모리(136)는 하나 이상의 컴퓨터 판독 가능 저장 매체("CRSM")를 포함할 수 있다. 일부 실시예에서, 하나 이상의 메모리는 랜덤 액세스 메모리("RAM"), 플래시 RAM, 반도체 매체 등과 같은 비-일시적 매체를 포함할 수 있다. 넓게는, 제어기(132)는 플라즈마 생성 또는 기판(110)에 노출될 수 있는 다른 종류의 플라즈마 사이의 전환을 가능하게 하는 처리 이벤트의 시퀀싱을 제어할 수 있다.
예를 들면, 시스템(100)은 적어도 부분적으로는 소스 전극(126)과 기판 홀더(124) 간의 가스 혼합물 농도 및 에너지 분포를 기초로 플라즈마 챔버 내에 하나 이상의 플라즈마 영역을 형성할 수 있다. 예를 들면, 이온 농도는 소스 전극(126)에 가까울수록 높아질 수 있어서, 플라즈마는 기판 홀더(124)에 인접한 곳과 다른 특성(예, 이온 에너지, 이온 농도)을 가질 수 있다. 예를 들면, 소스 전극(126)에 근접한 플라즈마는 기판(110)으로부터 표면층(들)을 더 잘 제거할 수 있는 더 많은 에너지 이온 및 더 우수한 에칭 또는 탈착 품질을 가질 수 있다. 이온 에너지는 소스 전극(126)으로부터의 거리의 함수로서 소실될 수 있어서, 이온(및 라디칼)은 기판(110)에 보호막을 제공하거나 기판 내로 흡착되기 더 쉽다. 흡착된 이온들(및 라디칼들)은 기판(110) 내에 개별 거리로 침투되어 흡착층(미도시)을 생성할 수 있는 데, 이 흡착층은 기판(110)이 소스 전극(126)에 인접하게 위치될 때 상대적으로 높은 에칭 선택비를 나타낼 수 있는 자기 제한 에칭층일 수 있다. 기판(110)은 리프팅부(138)를 사용하여 플라즈마 챔버(102) 내에서 이동될 수 있는 데, 상기 리프팅부는 한정되는 것은 아니지만 소스 전극(126) 또는 기판 홀더(124)에 대해 접근 또는 이격되도록 기판(110)을 이동시키는 임의의 기계적, 공압적, 자기적 및/또는 전기적 수단을 포함할 수 있다. 예를 들면, 리프팅부(138)는 플라즈마 챔버 내에서 기판(110)이 적재될 수 있는 기판 지지부(미도시)를 포함할 수 있다. 기판 지지부는 기판(110)을 수직 방향으로 또는 소스 전극(126)에 대해 접근 또는 이격되게 이동시킬 수 있는 리프팅부(138)에 결합될 수 있다.
다른 실시예에서, 플라즈마 챔버(102)에 제공되는 가스 혼합물은 흡착과 탈착 사이에서 전환되도록 기판(110)의 위치와 함께 변화될 수 있다. 기판(110)에 흡착 처리 또는 탈착 처리가 적용될 지에 따라 한 곳 이상의 상이한 위치로부터 플라즈마 챔버(102) 내로 플라즈마 케미스트리가 도입될 수 있다. 예를 들면, 2차 가스 주입 지점들은 챔버 주변의 여러 상이한 위치에 분포되어, 기판(110)의 위치 및/또는 소망하는 처리가 흡착 또는 탈착 처리인지 여부에 따라 가스를 주입할 수 있다. 도 1의 실시예에서, 2차 가스 주입 지점(140)은 기판(110) 또는 기판 홀더(124)에 인접하게 위치될 수 있다. 파워 소스 어셈블리 내의 가스 경로(20)보다 기판에 더 가까이 2차 가스 주입 지점(140)을 배치함으로써, 기판(110)에 도달하는 데 필요한 시간을 감소시킬 수 있고 다른 처리 또는 플라즈마 상태 간의 전환을 더 신속하게 할 수 있다. 전환은 도 2에 예시된 하나의 대표적인 실시예에 나타낸 바와 같이 플라즈마 챔버(102) 내의 여러 다른 위치로부터 기판(110) 측으로 상이한 케미스트리를 주입함과 함께 기판(110)의 위치를 변화시키는 것에 의해 더 신속하게 일어날 수도 있다.
도 2는 기판(110)을 플라즈마 챔버(102) 내의 2개소의 다른 위치로 변화시키는 플라즈마 처리 시스템(100)의 하나의 단면도 형태의 실시예(200)를 예시한다. 고정밀 에칭의 한 가지 문제점은 흡착 및 탈착 플라즈마 상태 간의 전환 소요 시간을 포함한다. 이전의 구현예에서, 고정밀 에칭은 흡착 가능 케미스트리와 탈착 가능 케미스트리 간의 전환을 위해 플라즈마 챔버(102)의 펌핑 및 퍼징(purging)(또는 제거)을 포함하고 있었다. 그러나, 플라즈마 챔버의 퍼징 소요 시간은 형성되거나 에칭되는 박막층에 기인하여 흡착/탈착 처리 시간보다 훨씬 더 길었다. 따라서, 전환 시간의 어떤 감소도 고정밀 에칭 공정에 바람직할 것이다. 예를 들면, 처리 챔버(102)와 처리 조건은 흡착 및 탈착 영역이 존재하는 단일의 플라즈마 볼륨을 생성하도록 최적화될 수 있어서, 플라즈마 볼륨의 다른 영역들은 기판(110) 상에 흡착층을 형성한 후 해당 흡착층을 제거하는 것이 가능할 수 있다. 처리 챔버(102) 또는 기판 홀더(102)는 플라즈마 볼륨의 다른 영역들 사이에서 기판(110)을 이동시키도록 구성될 수 있다.
전환 시간을 감소시키는 한 가지 접근법은 한 세트의 처리 조건(예, 케미스트리, 파워, 압력 등)을 사용하고 단일의 파워 소스(예, 소스 전극(126))를 사용하여 흡착 및 탈착 처리를 수행하는 것일 수 있다. 예를 들면, 한 세트의 처리 조건 하에서, 이온 에너지는 처리 챔버(102) 내에서 소스 전극(126)과 기판 홀더(124) 사이에서 변할 수 있다. 처리 조건은 제1 플라즈마 영역(206) 내에 제1 이온 에너지를, 그리고 제2 플라즈마 영역(208) 내에 제2 이온 에너지를 유지하도록 최적화될 수 있다. 예를 들면, 제1 플라즈마 영역(206) 내의 이온 에너지는 기판(110)에서의 흡착이 제1 플라즈마 영역에서 더 쉽게 이루어지도록 조절될 수 있고, 제2 플라즈마 영역(208) 내의 이온 에너지는 제1 플라즈마 영역(206)에 형성되어 있는 층을 탈착시키도록 적합화될 수 있다. 도 2는 제1 플라즈마 영역(206)과 제2 플라즈마 영역(208) 및 기판(110)이 2개 영역 사이에서 이동될 수 있는 방식의 일 실시예를 보여주도록 의도된 것이다. 리프팅부(138)는 기판(110)을 기판 홀더(124)와 소스 전극(126) 사이에서 이동시키는 데 사용될 수 있는 임의의 리프팅 시스템을 포함할 수 있다. 리프팅부(138)는 한정되는 것은 아니지만 기판 홀더(124) 또는 소스 전극(126)에 대한 기판(110)의 위치를 변화시킬 수 있는 공압, 전기적, 또는 기계적 수단을 포함할 수 있다. 도 2의 실시예에서, 리프팅부(138)는 기판(110)을 제1 플라즈마 영역(206)과 제2 플라즈마 영역(208) 사이에서 그리고 제2 플라즈마 영역(208)으로부터 다시 제1 플라즈마 영역(206)으로 이동시킬 수 있다. 넓게는, 플라즈마 영역 간의 차이는, 기판(110), 소스 전극(126), 가스 주입 포트(140) 및/또는 진공 포트(들)(미도시) 간의 거리의 함수로서, 인가된 전자기 에너지의 분포(예, 소스 전극(126)으로부터 인가된), 케미스트리(예, 가스 주입 포트(들)(미도시)로부터 주입된), 및/또는 플라즈마 챔버(102) 내의 압력을 적어도 부분적으로 기초로 할 수 있는 처리 조건 또는 플라즈마 특성의 차이에 의해 영향을 받을 수 있다. 예를 들면, 단일 세트의 처리 조건(예, 소스 파워, 케미스트리, 및/또는 압력)을 이용한 플라즈마 챔버(102) 내의 변화는 챔버 내의 플라즈마 특성을 달리할 수 있으므로, 이온 에너지는 소스 전극(126)에 가까울수록 높을 수 있고 기판 홀더(124)에 근접할수록 낮을 수 있다.
일 실시예에서, 2개소의 플라즈마 영역 간의 전환은 이온 에너지의 변화에 의해 특징지어질 수 있다. 예를 들면, 제1 플라즈마 영역(206)과 제2 플라즈마 영역(208) 간의 차이는 적어도 0.5eV, 더 구체적으로는 0.5eV~100eV의 차이일 수 있다. 그러나, 플라즈마 영역의 위치를 정하는 다른 방법은 소스 전극(126)으로부터 여러 다른 위치에서 기판에 대한 흡착률 및 탈착률을 측정하는 것에 의한 실험적 수단을 통할 수 있다. 당업계의 통상적인 기술자는 다양한 처리 조건(예, 파워, 압력, 케미스트리 등)을 이용한 실험을 수행하여 고정밀 에칭에 더 바람직한 처리 결과를 낼 수 있는 플라즈마 챔버(102) 내의 위치들을 결정할 수 있으므로, 흡착 처리 또는 탈착 처리를 위해 소스 전극(126)으로부터 기판(110)의 거리가 최적화될 수 있다. 예를 들면, 소스 전극(126)으로부터 제1 플라즈마 영역(206)의 상부까지의 제1 영역 거리(210)가 흡착률 및/또는 흡착층(미도시)의 품질에 의해 결정될 수 있다. 본 실시예에서, 흡착 처리는 기판(110)이 소스 전극(126)으로부터 적어도 제1 영역 거리(210)만큼 멀리 있을 때 가능할 수 있다. 일 실시예에서, 제1 영역 거리(210)는 적어도 100 mm, 더 구체적으로는 100 mm~200 mm이다. 유사하게, 제2 플라즈마 영역(208)은 소스 전극(126)의 제2 영역 거리(212) 내에 있을 수 있다. 한 세트의 실시예에서, 제2 영역 거리(212)는 소스 전극(126)의 100 mm 이내, 더 구체적으로는 20 mm~100 mm에 있을 수 있다. 그러나, 이들 실시예들은 흡착 처리가 제1 플라즈마 영역(206) 전체에 걸쳐 일정하거나 제1 및 제2 영역 각각이 상기 제1 영역 거리(210) 내외에만 존재하도록 한정되는 것을 의미하거나 요구하지 않는다.
소정의 실시예에서, 소스 전극(126)으로부터의 기판(110)의 거리는 흡착 영역과 탈착 영역 간에 확실히 구별 가능할 수 있으므로, 흡착 처리와 탈착 처리는 동일하거나 유사한 처리 조건(예, 파워, 압력, 케미스트리 등)을 공유할 수 있다. 이 경우, 기판(110)의 표면에 대한 흡착 및 탈착 처리 간의 전환은 소스 전극(126)으로부터의 기판(110)의 거리에 의해 지배되거나 크게 영향을 받을 수 있다. 그러나, 소정의 경우, 상기 전환은 소스 전극(126)으로부터의 거리 변화 이외에 처리 조건을 다소 변화시키는 것에 의해서도 가능할 수 있다.
하나의 특정한 실시예에서, 처리 조건 중 하나 이상은 흡착 및 탈착 처리 사이에서 약 5%까지 변할 수 있다. 다른 실시예에서, 흡착 처리와 탈착 처리는 다른 처리 조건(예, 파워, 압력, 케미스트리 등)을 이용할 수 있다. 하나의 특정한 실시예에서, 처리 조건 중 하나 이상은 흡착 처리와 탈착 처리 사이에서 20%까지 만큼 변할 수 있거나, 추가적인 가스가 기판(110)에 인접하게 주입될 수 있다. 소스 전극(126)으로부터의 기판(110)의 거리는 추가적인 가스(예, 가스 포트(140))에 대한 노출을 제한하도록 최적화될 수 있으므로, 가스가 기판(110)의 전방측 표면에 도달할 가능성이 낮아진다. 전방측 표면은 소스 전극(126)에 바로 대향한다. 가스 주입 포트(미도시)의 위치 설정과 기판(110)의 위치 변화의 조합을 통해 종래 사용된 전형적인 펌핑 및 퍼징(제거) 기술보다 더 신속한 흡착 및 탈착 간의 전환이 가능해질 수 있다. 도 2의 설명에서 언급된 전술한 이들 처리 조건들은 도 3~6의 설명에서 더 상세히 설명한다.
도 2는 단지 플라즈마 챔버(102) 내의 플라즈마 특성의 국부적 차이를 예시하기 위해 플라즈마 영역을 나타낸 것임을 알아야 한다. 플라즈마 영역의 크기 및 위치는 도 2에 예시된 크기와 비율에 한정되도록 의도된 것이 아니다.
도 3 및 도 4는 기판(110)으로부터 단일층(들)을 제거하기 위해 흡착 및 탈착 특성의 조합을 포함하는 고정밀 에칭 공정을 설명하고자 의도된 도면을 포함한다. 일 실시예에서, 고정밀 에칭 공정은 흡착 처리를 이용하여 기판(110)의 표면에 자기 제한층(self-limiting layer)을 형성한 후 탈착 처리를 이용하여 흡착층을 제거하는 것에 의해 실현될 수 있다. 흡착층(306)은 적어도 부분적으로 흡착층과 하부 기판(110) 사이의 상대적으로 높은 에칭 선택비를 기초로 자기-제한하는 것으로 간주될 수 있다. 흡착 처리 중에 기판(110)에 박막층(예, 단일층(들))이 형성될 수 있으므로, 상기 층은 기판(110)의 일부를 사용하여 형성될 수 있다. 흡착 플라즈마는 기판(110)의 노출면의 조성을 변경하여 흡착층(306)을 형성하는 원자, 이온 및/또는 분자를 포함할 수 있다. 화학 흡착 이론에 따르면, 원자, 이온 및/또는 분자는 표면에 결합 또는 부착될 수 있어서, 기판(110)의 박막(예, 단일층(들))은 탈착 플라즈마에 노출시 상대적으로 높은 에칭 선택비를 가지도록 소비되거나 변경될 수 있다. 흡착층(306)의 형성 후에, 흡착 플라즈마는 도 3 및 도 4의 설명에서 기술되는 바와 같이 탈착 플라즈마로 전환될 수 있다. 탈착 플라즈마는 흡착층을 선택적으로 제거하여 하부 기판(110)을 노출시키는 이온을 사용하여 흡착층(306)에 충돌할 수 있다. 고정밀 에칭 공정은 기판(110)이 원하는 양만큼 제거될 때까지 흡착 및 탈착 처리 사이를 반복할 수 있다.
도 3은 기판(110)을 처리하는 제1 플라즈마(302)(예, 흡착)와 기판(110)을 처리하는 제2 플라즈마(304)(예, 탈착)의 대표적인 실시예의 도면이다. 플라즈마 처리 시스템(100)은 양방향 화살표(308)로 지시된 바와 같이 제1 플라즈마(302)와 제2 플라즈마(304) 사이를 전환하는 하나 이상의 방법을 구현할 수 있다. 제1 플라즈마(302)는 기판(110) 상에 흡착층(306)을 형성하는 데 사용될 수 있고, 제2 플라즈마(304)는 흡착층(306)을 제거하여 하부 기판(110)을 노출시키는 데 사용될 수 있다.
도 1의 설명으로부터 알 수 있는 바와 같이, 플라즈마 처리 시스템(100)은 플라즈마 챔버(102) 내의 가스 혼합물에 전자기 에너지를 인가하여 제1 플라즈마(302) 및/또는 제2 플라즈마(304)를 생성할 수 있다. 가스 혼합물은 반응 가스, 희석 가스 또는 이들의 조합을 포함할 수 있다. 반응 가스는 이온 및 라디칼을 생성하기 더 용이하고 희석 가스(들)는 라디칼보다는 이온을 생성하기 더 용이하다. 그러나, 가스 혼합물이 양 종류의 가스들을 포함하는 경우, 다른 가스로부터의 이온 및 라디칼의 조합이 있을 수 있다. 플라즈마 처리 시스템(100)은 기판(110)의 처리에 사용되는 이온 플럭스 및/또는 라디칼 플럭스를 제어하도록 다양한 처리 조건을 최적화할 수 있다. 도 4에 예시된 파라미터 이외에, 이온 플럭스는 기판(110)에 흡착 또는 탈착 플라즈마의 노출 여부를 결정하는 데 역할을 할 수 있다. 이온 및 라디칼의 생성은 플럭스에 대한 간단한 설명과 함께 아래에 간단히 설명될 것이다.
흡착 실시예에서, 가스 혼합물은 반응 가스 또는 반응 가스(들)와 희석 가스(들)의 조합을 포함할 수 있다. 반응 가스는 한정되는 것은 아니지만 산소-함유 가스, 질소-함유 가스, 수소-함유 가스, 탄소-함유 가스, 할로겐-함유 가스, 또는 이들의 임의의 조합을 포함할 수 있다. 희석 가스(들)(예, 아르곤, 헬륨 등)는 플라즈마 챔버(102) 내의 가스 또는 물질에 대해 불활성일 수 있는 화학적으로 불활성인 종을 포함할 수 있다. 가스 혼합물은 흡착 실시예에서 100 vol% 반응 가스(들)에서 10 vol%까지 변할 수 있다. 하나의 특정한 실시예에서, 가스 혼합물은 80~100 vol%의 반응 가스(들)(예, Cl2)를 포함할 수 있는 데, 잔부는 1종 이상의 희석 가스이다.
하나의 흡착 실시예에서, 제1 플라즈마(302)는 가스 혼합물을 전자기 에너지에 노출시키는 것으로 생성될 수 있는 흡착 라디칼(310) 및 흡착 이온(312)을 포함할 수 있다. 조합 가스 혼합체의 실시예에서, 제1 플라즈마(302)는 희석 이온(310)도 포함할 수 있다. 이상적으로, 기판(110) 측을 향하는 라디칼 플럭스는 이온 플럭스를 지배하여 기판(110) 상에 흡착층(306)의 형성을 가능하게 할 것이다. 일 실시예에서, 흡착층(306)은 가스 혼합물 및 기판(110)(예, Si)으로부터의 원자 및/또는 분자의 조성을 포함할 수 있다. 흡착층(306)의 형성 후에, 플라즈마 처리 시스템(100)은 제2 플라즈마(306)로 전환되어 흡착층(306)을 에칭하여 하부 기판(110)을 노출시킬 수 있다.
탈착 실시예에서, 제2 플라즈마(304)는 탈착 이온(316)을 포함할 수 있고, 탈착 라디칼(314)은 포함하거나 포함하지 않을 수 있다. 예를 들면, 가스 혼합물이 희석 가스(들)만을 포함하는 경우, 탈착 라디칼(314)은 존재하지 않을 수 있다. 그러나, 라디칼은 탈착 처리 중에 플라즈마 챔버 내에 반응 가스가 존재하는 경우에는 존재할 수 있다. 흡착 처리에 비해, 탈착 이온 플럭스가 훨씬 높기 때문에 기판(110)에서는 탈착 효과가 임의의 흡착 효과를 지배할 수 있다.
양자의 플라즈마는 이온 및/또는 라디칼을 포함할 수 있지만, 이들은 다른 목적으로 사용될 수 있다. 예를 들면, 흡착 중의 이온 에너지는 20eV 미만인 반면, 탈착 중의 이온 에너지는 20eV보다 클 수 있다. 또한, 흡착 이온 플럭스는 탈착 이온 플럭스보다 낮을 수 있다. 이온 플럭스 값은 기판(110)의 중심 근처와 기판(110) 표면에 인접할 수 있는 플럭스 표면적(예, 흡착 플럭스 표면적(318) 또는 탈착 플럭스 표면적(320))을 사용하여 기판(110)에 인접한 플라즈마 처리 영역에서 측정될 수 있다.
넓게 말하면, 플럭스는 플럭스 표면적을 통과할 때의 이온 또는 라디칼의 유량으로 간주될 수 있고, 단위 면적 당 물리적 성질의 단위를 가질 수 있다. 이온 플럭스(Γi)와 라디칼 플럭스(Γr)는 원자수/cm2의 단위를 가지며, 흡착 표면적(318) 또는 탈착 표면적(320)을 횡단하여 기판(110)과 충돌할 수 있는 이온 또는 라디칼의 수량 또는 농도를 나타낼 수 있다. 이온 및 라디칼은 플라즈마와 기판 사이의 전위차, 에너지, 전하, 처리 압력, 처리 온도 또는 이들의 조합에 따라 기판(110)에 끌어당겨질 수 있다.
하나의 흡착 실시예에서, 처리 가스 혼합물은 반응 가스(예, 할로겐, 산소)와 희석 가스(예, 아르곤, 헬륨, 또는 이들의 조합)를 포함할 수 있다. 흡착 라디칼(310)은 당업계의 통상의 기술자가 알고 있는 바와 같은 증착 또는 패시베이션을 통해 흡착층(306)을 형성하는 데 사용될 수 있다. 이상적으로, 라디칼 플럭스(Γr)는 이온 플럭스(Γi)보다 높아서 기판(110)에서 흡착률이 탈착률보다 높아지게 할 수 있다. 라디칼 플럭스(Γr) 및/또는 이온 플럭스(Γi)는 라디칼 플럭스(Γr) 또는 이온 플럭스(Γi)를 측정하는 임의의 공지된 기술을 이용하여 기판(100Γi)의 중심 또는 그 근처와 기판(110)의 표면 근처(예, < 10 mm)에서 측정될 수 있다. 공지된 기술의 예는 한정되는 것은 아니지만 흡착 탐침, 랭뮤어(Langmuir) 탐침, 헤어핀 탐침, 지연 필드 에너지 분석기, 광 방출 분광, 광량 측정, 질량 분광, 또는 이들의 조합을 포함할 수 있다.
제1 플라즈마(302)는 흡착 라디칼(310)의 흡착에 대해 기판(110)의 에칭을 최소화하기 위해 흡착 이온(312)의 이온 에너지를 최소화하도록 생성될 수 있다. 요약하면, 흡착층(306)은 기판(110) 표면에서 흡착률이 탈착률보다 클 때 생성될 수 있다. 흡착층(306)은 흡착 라디칼(310) 에너지가 가스 혼합물 성분 및 실리콘 기판(110)의 공칭 결합 엔탈피보다 작을 때 형성될 수 있다. 하나의 특정한 실시예에서, 기판(110)은 흡착층(306)의 생성을 위한 시재료(starting material)를 제공할 수 있는 벌크형 실리콘 기판(110)을 포함할 수 있다. 제1 플라즈마(302)는 공지된 증착, 패시베이션, 또는 산화 기술을 통해 실리콘 표면과 반응할 수 있는 흡착 라디칼(310)를 생성하는 할로겐-함유 가스(예, Clx, Fx) 또는 산소-함유 가스(예, Ox)를 포함할 수 있다.
다른 특정 실시예에서, 기판(110)은 기판(110) 내외로 돌출되는 측벽 또는 트렌치를 포함할 수 있는 패턴화된 구조체(미도시)를 포함할 수 있다. 흡착 처리는 트렌치 내에 선택적으로 흡착층(306)을 형성하도록 조절될 수 있어서, 트렌치의 바닥에서의 흡착률이 측벽 상에서의 흡착률보다 높을 수 있다. 흡착층(306)은 제1 플라즈마(302)를 이용하여 기판(110) 내외로 돌출하는 상기 구조체 상에 컨포멀하게 형성될 수도 있다. 흡착 방법은 도 5 및 도 6의 설명에서 더 상세하게 설명될 것이다.
흡착 처리 후에, 플라즈마 처리 시스템(100)은 탈착 처리로 전환될 수 있다. 탈착 이온(316)은 흡착층(306)을 제거 또는 에칭하는 데 사용되어 기판(110)으로부터 탈착 분자/원자(322)를 제거하는 것으로 형성된 탈착 영역(324)을 형성할 수 있다. 이상적으로, 이온 플럭스(Γi)는 기판(110)에서의 흡착률보다 탈착률이 높게 되도록 더 높을 수 있다. 라디칼 플럭스(Γr) 및/또는 이온 플럭스(Γi)는 라디칼 플럭스(Γr) 또는 이온 플럭스(Γi)를 측정하는 임의의 공지된 기술을 이용하여 기판(100Γi)의 중심 또는 그 근처와 기판(110)의 표면 근처(예, < 10 mm)에서 측정될 수 있다.
탈착 실시예에서, 제2 플라즈마(304)는 더 높은 이온 플럭스(Γi)를 가질 수 있어서, 기판(110)에서 탈착률은 흡착률보다 높다. 더 높은 이온 플럭스(Γi)를 획득하는 것은 한정되는 것은 아니지만 가스 혼합물, 전자기 에너지, 압력 또는 이들의 조합과 같은 처리 조건을 변화시키는 것을 포함할 수 있다. 그러나, 모든 처리 조건이 흡착과 탈착 간의 전환이 생기도록 변화되는 것이 필요한 것은 아니다. 탈착 방법은 도 5 및 도 6의 설명에서 더 상세히 설명될 것이다.
도 4는 이온 에너지, 라디칼의 흡착 계수(s), 에칭 수율(Y), 및/또는 이온 플럭스에 대한 라디칼 플럭스
Figure pct00001
로서 표현되는 이온 플럭스-라디칼 비율(RIR)의 맥락 내에서 흡착 처리(예, 제1 플라즈마(302))와 탈착 처리(예, 제2 플라즈마(304)) 간의 전환을 설명하고자 의도된 다이어그램(400)이다. 도 4는 설명의 목적으로 의도된 것으로, 단일층(들) 에칭을 위한 파라미터 모두를 포괄하는 모델을 제공하고자 의도된 것이 아니다. 다이어그램(400)은 흡착과 탈착 간의 전환이 플라즈마 생성과 관련된 하나 이상의 변수들 및 플라즈마의 성분(라디칼, 이온 등)과 이들이 기판(110)의 처리를 통해 단일층(들) 에칭을 획득하도록 변화될 수 있는 방식 간의 관계를 제어하는 것에 의해 가능할 수 있음을 보여주고자 의도된 것이다.
이온 에너지는 플라즈마 처리 영역 내의 에너지량 또는 이온의 밀도를 나타낼 수 있고, 당업계의 통상의 기술자에게 알려진 공지된 기술을 이용하여 측정될 수 있다. S/Y의 비율은 이온과 라디칼이 기판(110)에 흡착되거나 기판(110)의 일부를 제거하고 있는 지 여부의 확률을 나타낼 수 있다. S/Y 값이 높을수록 흡착이 더 쉽게 일어날 수 있음을 나타내는 반면, S/Y 값이 낮을수록 탈착이 더 쉽게 일어날 수 있음을 나타낼 수 있다. 일부 실시예에서, S가 크면(예, 흡착), Y는 상대적으로 낮을 수 있어서 플라즈마 처리 영역 내에 라디칼 플럭스가 이온 플럭스보다 더 높을 수 있음을 나타낸다. 따라서, 기판(110)의 증착 또는 패시베이션이 일어나서 적어도 기판(110)의 표면을 변화시킴으로써 에칭 선택도와 관련하여 하부 기판(110)과 상이하게 만들 수 있다. 이에 대해, 일 실시예에서, S가 상대적으로 낮으면(예, 탈착), Y가 상대적으로 높게 나타날 수 있다. 그러나, 다른 실시예에서, S는 일부의 탈착 처리 중에 비교적 높을 수 있지만, 흡착 효과는 탈착 처리 중에 Y가 훨씬 더 높은데 기인하여 최소화될 수 있다. 요약하면, 탈착률은 본 실시예에서 일어나고 있는 임의의 흡착(예, 낮은 라디칼 플럭스)보다 훨씬 더 높을 수 있다.
도 4에서, 전이 라인(402)은 플라즈마가 흡착과 탈착 특성 사이에서 전환될 수 있는 경우를 나타낸다. 본 실시예에서, 전환은 한정되는 것은 아니지만 기판(100) 표면에 인접한 이온 에너지, 라디칼의 흡착 계수(S), 에칭 수율(Y) 또는 이온 당 제거된 원자수, 및 RIR의 함수로서 설명될 수 있다. 예를 들면, 전이 라인(402)의 좌측으로, 플라즈마는 흡착 특성을 더 나타내기 쉽기 때문에 기판(110) 표면에서 증착 또는 패시베이션이 일어날 수 있다. 전이 라인(402)의 우측으로 플라즈마는 기판(110)의 일부를 제거하기가 더 쉽다. 따라서, 이온 에너지는 각각의 처리 영역 내에서의 흡착과 탈착 간의 전환 및 플라즈마 성능을 제어하는 데 있어 중요한 인자일 수 있다. 그러나, 이온 에너지는 흡착 플라즈마와 탈착 플라즈마 간의 전환을 조절하는 유일한 결정 인자가 아니다.
일 실시예에서, 플라즈마는 기판(110) 표면 상에 원하는 결과를 달성하도록 제어될 수 있는, 서로에 대해 그리고 기판(110)과 상호 작용하는 라디칼과 이온의 조합으로서 설명될 수 있다. 예를 들면, 흡착 처리는 하부 기판(110)에 대한 표면의 에칭 선택비를 증가시키도록 표면에 추가되거나 형성되는 것을 특징으로 할 수 있다. 흡착 처리 중, 라디칼에 의한 표면 피복은 활성 이온에 의해 제거되는 원자보다 더 중요할 수 있다. 따라서, 도 4의 전이 라인(402)에 의해 제시되는 바와 같이 더 높은 S/Y의 값을 가지는 동안 더 낮은 이온 에너지가 더 바람직할 수 있다. 더 짧은 시간 내에 더 많은 표면 피복을 얻기 위해 더 높은 라디칼 플럭스(Γr)가 바람직할 수 있으므로, RIR
Figure pct00002
은 비교적 더 높을 수도 있다. 따라서, 흡착 체계(regime) 내에서, S/Y는 RIR보다 클 수 있다. 그러나, 탈착 처리 중, 표면층의 제거는 표면 피복보다 더 높은 우선 순위일 수 있다. 따라서, 더 높은 이온 에너지를 가져서 활성 이온들이 기판(110)으로부터 표면 원자들을 제거하기 더 쉽게 만드는 것이 바람직할 수 있다. 이온 에너지가 증가함에 따라, 이온 플럭스(Γi), RIR 및 Y가 증가될 수 있어서 기판(110)으로부터 하나 이상의 단일층의 제거가 더 쉽게 일어남을 나타낸다. 도 4에 예시된 바와 같이, 흡착 체계(예, 전이 라인(402)의 좌측으로)는 S/Y가 RIR보다 클 때 더 존재하기 쉽다. 유사하게, 전이 라인(402)의 우측으로의 탈착 체계는 S/Y가 RIR보다 작을 때 더 존재하기 쉽다. 이와 무관하게, 탈착 중 이온 플럭스는 흡착 중의 이온 플럭스보다 높을 수 있다. 다양한 방식으로 상기 2개의 체계 간을 전환하는 것이 가능할 수 있다.
도 4는 이온과 S/Y의 관계, 흡착과 탈착 간의 전환 가능 방식 및 흡착과 탈착 간의 처리 마진이 크거나 작을 수 있는 경우의 여러 가지 잠재적인 실시예를 예시한다. 예를 들면, E0 이온 에너지(404) 실시예에서, 이온 에너지는 (S/Y)0(410)로부터 (S/Y)2(414)까지 넓은 변화에 걸쳐 흡착 체계를 유지하는 넓은 흡착 처리 마진을 허용할 정도로 낮을 수 있다. S/Y 처리 마진은 E1 이온 에너지(406)가 E2 이온 에너지(408) 측으로 증가 될 때 좁아질 수 있으므로, 적어도 부분적으로 S/Y 및 RIR에 따라 탈착이 더 쉽게 일어날 수 있다. 예를 들면, (S/Y)0(410)에서, 이온 에너지가 E1 이온 에너지(406)일 때 흡착 체계가 지배적일 수 있고, RIR는 (S/Y)0(410)보다 작을 수 있다. 그러나, RIR이 (S/Y)0(410)보다 크게 증가되면, 탈착이 더 쉽게 일어날 수 있어서 E1 이온 에너지(406)의 탈착 체계 내에 존재하는 것이 가능할 수 있다. 그러나, 다른 실시예에서, 흡착과 탈착 간의 구별은 결정하기 더 어려워질 수 있거나 처리가 전이 라인(402)에 근접할 때 더 낮은 흡착률 또는 탈착률을 가질 수 있다.
E2 이온 에너지(408)에서 작동 중에, S/Y가 대략 (S/Y)0(410)인 경우 플라즈마가 엄격하게 흡착 또는 탈착 체계에 일관적으로 작동하거나 또는 흡착률/탈착률이 전이 라인(402)에 근접하게 더 느려질 수 있음을 보장하는 것은 더 어려울 수 있다. 이러한 조건에서 작동하는 것은 바람직하진 않지만 가능할 수 있는 데, 이는 흡착/탈착 처리 마진 차이가 다른 가능한 조건의 경우보다 훨씬 더 낮기 때문이다. 유사한 처리 마진 문제가 E1 이온 에너지(406) 및 (S/Y)1(412) 실시예에 존재할 수 있다. 이상적으로, 바람직한 처리는 이전의 2개 실시예에서 예시된 것보다 전이 라인(402)으로부터 더 멀리 떨어질 수 있다. 예를 들면, (S/Y)1(412) 실시예에서, 흡착 처리 마진은 E1 이온 에너지(406)를 E0 이온 에너지(404) 측으로 낮추는 것에 의해 또는 RIR을 감소시키는 것에 의해 증가될 수 있다. 이에 대해, 탈착 처리 마진은 E1 이온 에너지(406)를 E2 이온 에너지(408) 측으로 증가시키거나 이온 플럭스를 증가시키는 것에 의해 증가될 수 있다. 이온 에너지와 S/Y는 플라즈마가 흡착 또는 탈착 체계 내에서 작동 중인지 여부를 확실하게 지시하고 있지만, 이들은 전적으로 결정적인 것은 아니며, 다른 변수(예, RIR)에 의해 영향을 받을 수 있다. 예를 들면, 흡착 및 탈착 체계는 동일한 처리 조건을 이용하는 플라즈마 챔버(102)에 동시에 존재할 수 있다. 일 실시예에서, 이온 에너지는 소스 전극(126)에 근접할수록 높을 수 있고, 플라즈마는 기판(110)이 소스 전극(126)에 가까이 이동될 때 탈착 특성을 나타낼 수 있다. 그러나, 이온 에너지는 기판 홀더(124)의 방향으로 소스 전극(126)으로부터 더 멀어지면서 낮아질 수 있다. 따라서, 기판(110)이 소스 전극(126)으로부터 멀어지게 이동됨에 따라, 흡착 특성이 기판(110)의 표면에서 일어나는 변화를 지배하는 경향이 있을 수 있다. 다른 실시예에서, 처리 조건도 기판(110)의 이동과 함께 변할 수 있다. 예를 들면, 흡착 처리 중에, 기판(110)은 반응 가스가 플라즈마 챔버(102) 내로 도입되는 동안 기판 홀더(124)에 더 가까이 위치될 수 있다. 흡착층(306)의 형성 후에, 기판(110)은 더 높은 이온 에너지 또는 이온 밀도에 노출됨으로써 흡착층(306)을 제거할 수 있다. 전술한 바와 같이, 하나의 접근법은 기판(110)을 소스 전극(126)에 더 가까이 이동시켜 흡착층(306)을 제거하는 것이다. 그러나, 흡착 처리는 기판(110)에 근접한 반응 가스의 양을 감소시키고 및/또는 소스 파워 및/또는 압력 변화를 통해 이온 에너지를 증가시키는 것에 의해 향상될 수 있다. 이것은 기판(110)을 소스 전극(26)에 더 가까이 이동시키고 기판(110)과 소스 전극(126) 사이의 공간으로 추가의 가스(들)(예, 비-반응성 가스)를 주입하여 기판(110) 표면에서의 흡착 및 탈착 간의 전환 시간을 감소시키는 것을 포함할 수 있다. 다른 실시예에서, 흡착과 탈착 처리 사이의 전환 시간은 반응 가스 유입구를 흡착 처리 위치와 진공 유출구에 가까이 위치시켜 후속하는 탈착 처리 중에 반응 가스가 소스 전극(126) 측으로 이동될 수 있는 능력을 제한하는 것에 의해 감소될 수 있다.
도 5 및 도 6은 흡착 플라즈마(예, 제1 플라즈마(302))와 탈착 플라즈마(예, 제2 플라즈마(304)) 사이를 교번하는 플라즈마 처리 시스템(100)을 사용한 반복적 처리를 이용한 기판(110)의 표면층의 제거 방법을 예시한다. 플라즈마 처리 시스템(100)은 흡착 및 탈착 조건 사이를 전환하도록 다양한 처리 및 플라즈마 파라미터를 조절할 수 있다.
하나의 접근법으로, 흡착 처리는, 가스 혼합물로부터 라디칼을 생성할 수 있고 기판(110)(예, 흡착층(306))에 더 우수한 표면 피복을 가져오는 높은 라디칼 플럭스(Γr)를 제공할 수 있는 비교적 높은 압력 및 높은 소스 파워(예, 파워 어셈블리(114)에 대한 파워)의 조합에 의해 가능해질 수 있다. 이들 처리 조건은 제1 플라즈마(302)의 전위를 감소시켜 이온 에너지 또는 이온 플럭스(Γi)를 최소화하여 흡착층(306)의 형성 중에 탈착을 방지할 수 있다. 높은 압력/파워 조합은 이온 에너지를 낮게 유지할 수 있지만, 흡착 처리가 임의의 탈착 효과를 지배할 수 있게 충분한 라디칼을 생성할 수 있다. 흥미롭게도, 모든 하이 파워 기술은 이온 에너지 제어와 관련하여 동일하지 않을 수 있다. 파워 어셈블리(114)에 RF 파워보다는 마이크로파 파워를 이용하는 것이 유리할 수 있다. 마이크로파 소스로부터의 전자 생성의 효율은 RF 파워 소스를 사용하는 것보다 훨씬 양호하다는 것이 관찰된 바 있다. 예를 들면, 마이크로파 소스 또는 표면파 소스 전자 생성 효율은 고주파수에서 우수하여, 플라즈마 내의 입자 밸런스(소스 및 손실)로 결정되는 평균 전자 에너지가 더 낮은 주파수(예, < 300 MHz)에서 구동되는 RF 소스보다 마이크로파 실시예에서 더 낮다. 마이크로파 실시예는 기판(110)으로의 더 높은 전류의 통전을 가능하게 할 수 있고, 이는 동일하거나 유사한 인가 파워에서 RF 소스(예, ICP, CCP 기술)에 비해 기판에서 낮은 피크-피크 전압(Vpp)을 가능하게 한다. 많은 이온 전류와 동시에 매우 낮은 전자 온도(Te) 및 매우 낮은 Vpp에 접근할 수 있는 것은 고유의 공간 고정밀 에칭 공정을 제공하는 마이크로파 소스의 고유 특성이다. 이렇게, 마이크로파 소스는 RF 파워 소스에 의해 인가되는 동일한 파워에 대해 더 낮은 이온 에너지를 가능하게 할 수 있다. 따라서, 다른 종류의 파워 소스(예, 마이크로파 또는 RF) 간의 유사한 파워 프로파일은 구동 주파수, 이온 에너지 또는 이들의 조합에서의 차이마다 구별 가능할 수 있다.
흡착 처리는 표면에 할로겐 원자/분자 또는 산소 원자/분자를 포화시키는 것에 의해 기판(110) 상에 얇은(예, 단일층) 흡착층(306)을 형성할 수 있다. 흡착층(306)은 하부 기판(110)에 비해 상대적으로 높은 에칭 선택비를 가질 수 있어서, 흡착층(306)은 기판(110)보다 높은 에칭률을 가질 수 있다. 따라서, 하부 기판(110)에 대한 손상을 최소화하면서 흡착층을 제거하기 위해 탈착 처리가 사용될 수 있다. 탈착 처리는 탈착된 이온(316)이 흡착층(306)을 에칭할 수 있게 하도록 제1 플라즈마(302)의 이온 에너지, 압력, 가스 혼합물, 또는 이들의 조합을 변화시키는 것을 포함할 수 있다.
하나의 접근법으로, 탈착 처리는 제2 플라즈마(304)를 생성하도록 가스 혼합물에 전자기 에너지를 인가하거나 기판(110)을 더 높은 이온 에너지를 가지는 플라즈마 챔버(102) 내의 영역에 가깝게 이동시키는 것에 의해 가능해질 수 있다. 탈착 처리는 기판(110)에 대한 에칭률 또는 에칭 프로파일을 조절하도록 가스 혼합물과 압력에 대해 변화를 주는 것을 통해서도 최적화될 수 있다. 일반적으로, 탈착 처리는 흡착 처리에 비해 더 높은 이온 플럭스(Γi)와 탈착 가스 혼합물에 반응 가스가 포함되지 않을 수 있으면 훨씬 낮은 라디칼 플럭스(Γr) 또는 거의 제로의 라디칼 플럭스(Γr)를 가질 수 있다. 탈착 처리는 흡착층(306)과 기판(110) 간의 에칭 선택비에 기인하여 자기 제한적일 수 있다. 흡착층(306)의 제거 후에, 기판(110)은 제2 플라즈마(304)(예, 흡착)로부터 제거될 수 있는 데, 제2 플라즈마(304)는 해당 제2 플라즈마(304)보다 소스 전극(126)으로부터 더 멀리 떨어질 수 있는 제1 플라즈마(302)(예, 흡착)로 다시 전환될 수 있다. 흡착과 탈착 간의 이러한 전환은 기판(110)의 원하는 부분이 제거될 때까지 반복될 수 있다. 도 5를 참조하면, 방법(500)은 전술한 흡착/탈착 처리의 일 실시예를 예시한다.
502 블록에서, 플라즈마 처리 챔버(102)는 마이크로 전자 소자의 형성에 사용될 수 있는 패턴화된 특징부 또는 요소를 포함할 수 있는 임의의 종류의 반도체 기판을 포함할 수 있는 마이크로 전자 기판(110)을 수용할 수 있다. 반도체 기판(110)은 한정되는 것은 아니지만 임의의 단일 원소 기판(예, Si), 절연체-실리콘 기판, III-V족 기판, 또는 에피택셜 실리콘 기판을 포함할 수 있다. 기판(110)은 기판 홀더(124) 내의 파워 어셈블리(114) 사이에 배치될 수 있는 기판 홀더(124) 상에 배치될 수 있다.
일 실시예에서, 기판(110)은 플라즈마 챔버(102) 내의 제1 위치에 배치될 수 있다. 도 2에 예시된 바와 같이, 기판(110)은 소스 전극(126)에 대향될 수 있고, 기판(110)의 표면 상에서 흡착 처리가 탈착 처리를 지배할 수 있는 곳에 위치될 수 있다. 흡착 처리는 해당 처리가 개시될 때 도 2에 예시된 바와 같은 제1 플라즈마 영역에서 지배적일 수 있다. 일 실시예에서, 기판(110)의 제1 위치는 소스 전극(126)으로부터 수직 또는 법선 방향으로 적어도 100 mm일 수 있다. 더 구체적으로, 하나의 특정한 실시예에서, 기판(110)의 제1 위치는 소스 전극(126)으로부터 100 mm~200 mm일 수 있다.
504 블록에서, 기판(110)의 위치를 지나, 가스 전달 시스템(104)은 플라즈마 챔버(102)에 제1 가스 혼합물 또는 화학적 조성물을 제공할 수 있다. 가스 혼합물은 기판(110) 상에 흡착층(306)을 형성하는 데 사용될 수 있는 적어도 일종의 반응 가스, 희석 가스(들), 또는 이들의 조합을 포함할 수 있다. 제1 가스 혼합물에 사용시 반응 가스는 기판(110) 또는 기판(110) 상의 막과 반응하는 적어도 일종의 화학 원소를 포함할 수 있다. 일 실시예에서, 반응 가스는 한정되는 것은 아니지만 할로겐-함유 가스(예, Clx, Fx) 또는 산소-함유 가스(예, Ox)를 포함할 수 있다. 다른 실시예에서, 가스 혼합물은 반응 가스와 함께 희석 가스(들)를 포함할 수 있다. 희석 가스는 한정되는 것은 아니지만 아르곤, 헬륨, 질소, 또는 이들의 조합을 포함할 수 있다. 하나의 특정 실시예에서, 가스 전달 시스템(104)은 10~100 vol%의 반응 가스를 포함하고 잔부가 희석 가스(들)인 가스 혼합물을 제공할 수 있다. 하나의 특정 실시예에서, 흡착 처리 가스 혼합물은 80~100%의 반응 가스(들)를 포함하고 잔부가 희석 가스(들)이다. 다른 실시예에서, 가스 혼합물은 어떤 반응 가스도 존재하지 않는 100%의 희석 가스(들)를 포함할 수 있다.
제1 가스 혼합물이 플라즈마 챔버(102) 내로 유입되면, 진공 시스템(108)을 사용하여 1 mTorr 이상의 처리 압력을 달성함으로써 초기 흡착 처리를 가능하게 할 수 있다. 제어기(132)는 처리 챔버(102) 내에 비교적 안정적인 압력을 획득하도록 가스 흐름과 펌핑 속도를 최적화할 수 있다. 압력은 기판(110)의 조성 및 흡착층(306)에 대한 바람직한 흡착률에 따라 500 mTorr까지의 범위를 가질 수 있다. 또한, 챔버 압력은 흡착 및 탈착 처리 간의 전환을 기초로 변할 수 있다. 그러나, 압력은 흡착 처리 중 원하는 플럭스 조건 및 이온 에너지에 따라 변할 수 있다. 일 실시예에서, 처리 압력은 흡착층(306)에 의한 기판(110)의 표면 피복을 조절하도록 흡착 처리 중에 변할 수도 있다. 예를 들면, 초기 압력은 적어도 1 mTorr일 수 있고, 흡착 처리 중에 더 높은 압력으로 변할 수 있다.
506 블록에서, 제1 파워 소스(122)는 파워 어셈블리(114)를 통해 제1 가스 혼합물에 마이크로파 파워를 인가할 수 있다. 파워 인가의 결과로서 생성되는 플라즈마는 도 3의 설명에서 기술된 바와 같이 흡착층(306)의 형성에 사용될 수 있다. 흡착층(306)의 형성은 기판(110)의 조성(예, Si) 및 반응 가스(예, Clx, Fx, Ox)의 측면에서 임의의 분자 또는 원자 조성을 포함할 수 있으므로, 흡착층(306)과 기판(110) 간의 에칭 선택비는 기판(110)에 대한 최소의 손상 또는 변경과 함께 후속의 탈착 처리 중에 흡착층(306)을 제거하도록 활용될 수 있다.
넓게 말하면, 마이크로파 파워는 500 W~10,000 W의 범위를 가질 수 있고, 적어도 300 MHz~10 GHz까지의 구동 주파수를 가질 수 있다. 하나의 특정 실시예에서, 구동 주파수는 500 W~10,000 W의 임의의 파워 설정에 대해 약 2.45 GHz일 수 있다. 이상적으로, 인가된 마이크로파 파워 및 구동 주파수는 제1 플라즈마(302)의 생성을 가능하게 하여야 하므로, 제1 플라즈마 영역(206) 내의 이온 에너지는 20eV 미만일 수 있고, 라디칼 플럭스는 기판(110)에 인접하거나 적어도 제1 플라즈마 처리 영역(206) 내의 이온 플럭스보다 높다. 하나의 특정 실시예에서, 제1 가스 플라즈마는 15V 이하의 플라즈마 전위를 가질 수 있다.
제1 플라즈마(302) 처리 시간은 처리 조건, 기판(110)의 조성 및 흡착층(306)의 조성에 따라 변할 수 있다. 흡착 시간은 100ms~3000ms의 범위로 변할 수 있다. 이상적으로, 1000ms 미만의 짧은 흡착 시간이 처리 사이클 시간을 고려할 때 바람직할 수 있다. 그러나, 대부분의 실시예에서, 40 mTorr 이상의 압력 한계는 500ms 미만의 처리 시간을 포함할 수 있다. 일단 흡착층(306)이 형성되면, 흡착 처리는 탈착 처리로 전환될 수 있다. 파워 및 압력 조합도 역시 흡착 처리(예, 제1 플라즈마(302))로부터 탈착 처리(예, 제2 플라즈마(304))까지 이온 에너지를 증가시키도록 최적화될 수 있다. 예를 들면, 탈착 처리 이온 에너지는 15eV보다 클 수 있으며 흡착 처리 도중에서보다 기판(110) 측으로 더 높은 이온 플럭스를 가질 수 있다. 더 구체적인 탈착 실시예에서, 이온 에너지는 20eV로부터 70eV까지의 범위를 가질 수 있지만, 기판(110)에 대한 손상 또는 원치 않는 요소의 오염을 방지하도록 가능하게는 스퍼터링 한계 미만으로 유지하여야 한다.
흡착층(306)이 형성된 후, 흡착 처리는 동일한 플라즈마 챔버(102) 내에서 탈착 처리로 전환될 수 있다. 전술한 바와 같이, 전환은 흡착층(306)의 제거에 사용될 수 있는 더 높은 이온 플럭스로의 이동에 의해 일어날 수 있다. 전환은 한정되는 것은 아니지만 파워, 압력, 케미스트리, 기판 위치 또는 이들의 조합의 처리 변화를 포함할 수 있다. 그러나, 도 5의 실시예에서는 케미스트리 및 위치 변화의 조합이 설명된다. 그러나, 다른 실시예에서, 케미스트리 및 위치의 변화는 파워 및/또는 압력의 변화와 함께 행해질 수 있다.
508 블록에서, 가스 전달 시스템(104)은 플라즈마 챔버(102)에 제2 가스 혼합물 또는 화학적 조성물을 제공할 수 있다. 제2 가스 혼합물은 기판(110)으로부터 흡착층(306)을 제거하는 데 사용될 수 있는 제2 플라즈마(304) 또는 탈착 플라즈마를 생성하는 데 사용될 수 있는 희석 가스(들)를 포함할 수 있다. 희석 가스는 한정되는 것은 아니지만 아르곤, 헬륨, 질소 또는 이들의 조합을 포함할 수 있다.
제2 가스 혼합물이 플라즈마 챔버(102) 내로 유입되면, 진공 시스템(108)을 사용하여 1 mTorr 이상의 처리 압력을 달성함으로써 탈착 처리를 가능하게 할 수 있다. 제어기(132)는 처리 챔버(102) 내에 비교적 안정적인 압력을 획득하도록 가스 흐름과 펌핑 속도를 최적화할 수 있다. 압력은 기판(110)의 조성과 흡착층(306)의 바람직한 탈착률에 따라 500 mTorr까지의 범위를 가질 수 있다.
하나의 특정 실시예에서, 가스 혼합물 사이에서의 전환은 가스의 유입 흐름을 변화시키는 것에 의해 가스 혼합물을 현장 변화시키는 것을 포함하여, 가스 혼합물은 플라즈마 챔버(102)로부터 완전히 제거되지 않을 수 있지만 가스 혼합물 농도는 흡착 처리 조건으로부터 탈착 처리 조건으로 전환되었다. 예를 들면, 흡착 처리 조건은 10 vol%보다 큰 반응 가스 혼합물 농도를 가지는 가스 혼합물을 포함할 수 있다. 제어기(132)는 탈착 처리 중에 반응 가스 혼합물 농도를 10 vol% 미만으로 감소되게 변화시키도록 가스 전달 시스템(104)으로부터의 가스 흐름을 변경할 수 있다. 일부 실시예에서, 제어기(132)는 흡착 처리(예, 제1 플라즈마(302))와 탈착 처리(예, 제2 플라즈마(304)) 사이에서 챔버 압력 및/또는 제2 소스 파워(122)를 변화시킬 수 있다. 예를 들면, 흡착 처리는 적어도 40 mTorr의 챔버 압력을 포함할 수 있고, 제어기(132)는 챔버 압력을 흡착 처리 압력과는 적어도 10%만큼 상이한 탈착 처리 압력으로 변경시키도록 가스 유량 또는 펌핑 컨덕턴스를 조절할 수 있다.
다른 실시예에서, 가스 혼합물은 흡착 및 탈착 처리 중에 동일하거나 유사한 가스 혼합물 농도(부피 단위)를 가질 수 있다. 예를 들면, 반응 가스(들) 및 희석 가스(들)은 흡착 처리와 탈착 처리 사이에 동일한 농도를 가질 수 있으므로, 가스 혼합물 농도는 상기 2개의 처리 단계 사이에서 20 vol% 이내일 수 있다. 흡착 및 탈착 처리를 위한 가스 혼합물이 동일하거나 유사하면, 소스 파워(예, 파워 어셈블리(114)에 대한 파워)가 증가될 수 있고 압력은 감소될 수 있어서 기판(110)의 표면에서 흡착과 탈착이 전환될 수 있다.
다른 실시예에서, 제1 플라즈마(302)와 제2 플라즈마(304) 간의 변화는 제1 플라즈마(302)가 제2 플라즈마(304)로의 전환 중에 소멸되지 않을 수 있다는 점에서 연속 플라즈마일 수 있다. 제어기(132)는 흡착 및 탈착 간의 전환 또는 탈착에서 흡착으로의 전환 중에 처리 챔버(102) 내에 플라즈마 상태를 유지하도록 가스 혼합물, 압력, 파워, 또는 이들의 조합을 변화시킬 수 있다. 그러나, 다른 실시예에서, 탈착 가스 혼합물의 유입 또는 탈착 가스 혼합물에 대한 파워의 인가 이전에 제1 플라즈마(302)가 소멸되어(예, 가스 혼합물에 대해 파워가 인가되지 않음) 흡착 가스 혼합물이 퍼지 제거될 수 있다. 하나의 특정예에서, 퍼지 제거 시간은 4초 미만일 수 있으므로, 퍼지 제거 시간은 제1 플라즈마(302)의 소멸로부터 플라즈마 챔버(102) 내로 탈착 가스 혼합물의 도입까지 측정될 수 있다. 그러나, 소정의 실시예에서, 퍼지 제거 시간은 제1 플라즈마(302)의 소멸과 제2 플라즈마(304)의 개시 사이로 측정될 수 있다.
다른 실시예에서, 퍼지 제거 시간은 가스 혼합물에 전자기 에너지를 제공하는 파워 서플라이(106) 내에 과도 조건을 포함할 수 있다. 과도 조건은 파워 서플라이(106)가 온/오프 작동시 또는 인가된 파워가 작동 오프되지 않고 상이한 설정값 사이에서 변화될 때 파워 스파이크(power spikes)를 포함할 수 있다. 파워 서플라이(106)에 대한 임의의 과도적 문제(예, 오버슈트, 언더슈트, 또는 안정화 시간)는 플라즈마 처리 시스템 분야의 통상의 기술자가 구현할 수 있는 회로, 필터 또는 소프트웨어에 의해 관리될 수 있다. 당업계의 통상의 기술자는 과도기가 흡착 및/또는 탈착 처리 중에 파워 서플라이(106)의 온 및 오프 시간보다 훨씬 작게 되도록 과도 제어 능력을 설계할 수 있다.
다른 실시예에서, 제2 가스 혼합물의 사용은 흡착 플라즈마로부터 탈착 플라즈마로의 전환에 사용되지 않을 수 있다. 이 실시예는 흡착층(306)의 형성 및 제거를 위해 상이한 이온 에너지 또는 플라즈마 전위를 활용할 수 있다. 전술한 바와 같이, 이 처리는 기판 홀더(124)보다 소스 전극(126)에 인접할수록 이온 에너지가 높아지는 것에 기인하여 가능할 수 있다. 이 방식으로, 흡착층(306)은 기판(110)이 기판 홀더(124)에 근접할 때 형성된 후 기판(110)이 소스 전극(126)에 근접하게 이동될 때 제거될 수 있다. 본 실시예는 도 6의 설명에서 설명될 것이다.
510 블록에서, 리프팅부(138)는 기판(110)을 플라즈마 챔버(102) 내에서 제1 위치(예, 제1 플라즈마 영역(206))으로부터 제2 위치(예, 제2 플라즈마 영역(208))로 이동시킬 수 있다. 기판(110)의 이동은 흡착/탈착 전환 중에 생기는 다른 처리 변화와 부합될 수 있는 다양한 방식으로 구현될 수 있다. 처리 변화는 한정되는 것은 아니지만 가스 혼합물, 압력, 파워 또는 이들의 임의의 조합을 포함할 수 있다. 일 실시예에서, 제1 위치로부터 제2 위치로의 이동은 플라즈마 챔버(102)에 대한 제2 가스 혼합물의 유입 이전, 도중 또는 이후에 일어날 수 있다. 예를 들면, 상기 이동은 소스 전극(126)에 가까이 이동되는 기판(110)에 대한 반응 가스의 충격을 제한하도록 제2 가스 혼합물이 플라즈마 챔버(102) 내로 주입된 후에 일어날 수 있다. 소스 전극(126)에 가까운 더 높은 에너지 레벨은 반응 화학 물질이 기판(110)에 대해 바람직하지 않은 충격을 제공하도록 할 수 있다. 이 경우, 기판(110)을 높은 에너지 반응 이온, 원자 또는 분자에 노출시키지 않도록 덜 반응적인 케미스트리(예, 제2 가스 혼합물)가 충분한 레벨로 희석 또는 퍼지 제거된 후까지 기판(110)의 이동을 지연한다. 또한, 기판(110)의 이동은 제2 가스 혼합물의 주입 중에 임의의 압력 또는 파워 변화가 안정화될 때까지 지연될 수 있다. 그러나, 다른 실시예에서, 기판(110)의 이동은 플라즈마 챔버(102)로의 제2 가스 혼합물의 도입 이전 또는 도중에 일어날 수 있다.
넓게 말하면, 제2 위치는 적어도 부분적으로 이온 에너지, 라디칼의 흡착 계수(S), 에칭 수율(Y), 이온 플럭스에 대한 라디칼 플럭스
Figure pct00003
로서 표현되는 이온 플럭스-라디칼 비율(RIR) 또는 이들의 임의의 조합을 기초로 흡착과 탈착 간의 전환이 일어날 수 있는 챔버 내의 임의의 위치일 수 있다. 일반적으로, 재2 위치는 소스 전극(126)의 표면으로부터 100 mm 이내에 위치될 수 있지만, 이 제한은 절대적이지 않으며 당업계의 통상의 기술자가 전이 라인(402)을 가로질러 흡착 및 탈착 조건 사이에서 이동시킬 수 있는 조건에 의존한다. 그러나, 하나의 특정 실시예에서, 제2 위치는 소스 전극(126)의 표면으로부터 20 mm~100 mm, 더 구체적으로 30 mm~70 mm 떨어져 위치될 수 있다.
512 블록에서, 흡착층(306)은 도 3의 설명에서 기술된 바와 같이 제1 플라즈마(302)(예, 흡착)가 제2 플라즈마(304)(예, 탈착)로 전환시 제거되기 시작할 수 있다. 제2 가스 혼합물은 기판(110) 측을 향할 수 있는 높은 이온 밀도 및 플럭스를 포함할 수 있다. 흡착층(306)의 일부는 하부 기판(110)이 노출될 때까지 제거될 수 있다. 당업계의 통상의 기술자는 흡착층(306)과 하부 기판(110) 간의 선택비를 달성하기 위해 처리 조건(예, 파워, 압력 등)을 선택할 수 있을 것이다.
도 6은 기판(110) 상에 흡착층(306)을 형성하는 흡착 플라즈마(예, 제1 플라즈마(302))와 기판(110)으로부터 흡착층(306)을 제거하는 탈착 플라즈마(예, 제2 플라즈마(304))를 생성하는 다른 방법(600)의 흐름도이다. 일 실시예에서, 흡착 및 탈착 플라즈마는 플라즈마 챔버(102) 내에 동시에 생성될 수 있다. 소정의 처리 조건에서, 플라즈마 특성은 처리 조건이 변하지 않거나 약간의 변화로 기판(110)을 여러 가지 다른 방식으로 처리하는 데 사용될 수 있는 개별 영역을 형성하도록 챔버 내에서 충분히 변할 수 있다. 흡착 및 탈착 처리가 가능하도록 상기 2개 영역 사이에서 기판을 전달하기 위해 리프팅부(138)가 사용될 수 있다. 도 1의 설명에서 전술한 바와 같이, 플라즈마는 동일한 처리 조건을 사용하거나 사용하지 않을 수 있는 여러 상이한 종류의 하드웨어를 사용하는 다양한 기술을 활용하여 생성될 수 있다. 넓게 말하면, 청구범위는 본 개시 내용에서 기술되지 않을 수 있는 하드웨어를 사용할 수 있는 실시예들을 포괄할 수 있다. 예를 들면, 방법(600)에 기술되는 플라즈마 특성은 다수의 하드웨어 및/또는 처리 조건의 실시예를 포함할 수 있다. 따라서, 청구범위는 여기에서 설명되는 하드웨어 및/또는 처리 조건의 실시예에 한정되지 않을 수 있다.
602 블록에서, 플라즈마 처리 챔버(102)는 마이크로 전자 소자의 형성에 사용될 수 있는 패턴화된 특징부 또는 요소를 포함할 수 있는 임의의 종류의 반도체 기판을 포함할 수 있는 기판(110)을 수용할 수 있다. 반도체 기판(110)은 한정되는 것은 아니지만 임의의 단일 원소 기판(예, Si), 절연체-실리콘 기판, III-V족 기판, 또는 에피택셜 실리콘 기판을 포함할 수 있다. 기판(110)은 기판 홀더(124) 내의 파워 어셈블리(114) 사이에 배치될 수 있는 기판 홀더(124) 상에 배치될 수 있다.
일 실시예에서, 기판(110)은 플라즈마 챔버(102) 내의 제1 위치에 배치될 수 있다. 도 2에 예시된 바와 같이, 기판(110)은 소스 전극(126)에 대향될 수 있고, 기판(110)의 표면 상에서 흡착 처리가 탈착 처리를 지배할 수 있는 곳에 위치될 수 있다. 흡착 처리는 해당 처리가 개시될 때 도 2에 예시된 바와 같은 제1 플라즈마 영역에서 지배적일 수 있다. 일 실시예에서, 기판(110)의 제1 위치는 소스 전극(126)으로부터 수직 또는 법선 방향으로 적어도 100 mm일 수 있다. 더 구체적으로, 하나의 특정한 실시예에서, 기판(110)의 제1 위치는 소스 전극(126)으로부터 100 mm~200 mm일 수 있다.
604 블록에서, 플라즈마 챔버(102)는 제1 플라즈마 영역(206) 및 제2 플라즈마 영역(208)과 다른 위치로 주입될 수 있는 가스를 가스 전달 시스템(104)으로부터 수용할 수 있다. 일 실시예에서, 가스는 반응 가스(예, Cl, Br, CFx, F, O, 또는 이들의 조합)와 흡착 및 탈착 처리를 가능하게 할 수 있는 희석 가스(예, Ar 또는 N2)를 포함할 수 있다. 전술한 바와 같이, 반응 및 희석 가스의 조합을 포함하는 가스 혼합물은 흡착층(306)을 형성하는 데 사용될 수 있다. 예를 들면, 흡착층(306)은 비교적 낮은 플라즈마 전위(예, < 15V)에서 높은 농도의 반응 가스가 사용되면 더 형성되기 용이하다. 이를 가능하게 하는 하나의 접근법은 반응 가스를 제1 플라즈마 영역(204)에 가깝게 주입함으로써 높은 반응 가스 농도의 확률을 높여 흡착을 가능하게 하는 것일 수 있다.
일 실시예에서, 반응 가스는 처리 전체에 걸쳐 정상 상태로 주입될 수 있고, 제1 플라즈마 영역(206)과 제2 플라즈마 영역(208) 사이의 플라즈마 차이는 다른 처리 조건(예, 파워, 압력, 기판 위치 등)에 의해 유도될 수 있다. 그러나, 반응 가스의 정상류(steady flow)는 흡착/탈착 처리의 실시에 필요치 않다. 다른 경우, 기판이 제1 플라즈마 영역(206)으로부터 제2 플라즈마 영역(208)으로 이동시 처리 가스의 가스 유량이 변화될 수 있다. 일 실시예에서, 반응 가스 유량은 플라즈마 챔버(102) 내의 반응 가스의 농도를 낮추도록 감소될 수 있다. 대안적으로, 플라즈마 챔버(102) 내의 반응 가스의 농도를 낮추도록 희석 가스 유량이 증가될 수 있다. 가스 유량은 도 5의 설명에서 기술된 바와 같이 동일하거나 유사한 방식으로 수치 사이에서 변할 수 있다.
606 블록에서, 플라즈마는 표면파 플라즈마 소스(예, 파워 소스(106))로부터 플라즈마 챔버(102) 내의 가스에 펄스형 파워를 인가하는 것에 의해 생성될 수 있다. 이 경우, 챔버 내의 플라즈마는 플라즈마 챔버(102)에 대해 고유한 전위차 또는 이온 에너지차를 가질 수 있다. 예를 들면, 전위 또는 이온 에너지는 플라즈마 챔버(102)의 상이한 영역 내에서 다른 크기를 가질 수 있다. 소스 전극(126)으로부터 전송된 파워는 제1 플라즈마 영역(206)과 제2 플라즈마 영역(208)을 형성할 수 있으므로, 기판(110)을 이들 영역 내에 배치시, 처리 결과가 변할 것이다. 전술한 바와 같이, 제1 플라즈마 영역(206)은 기판(110) 내로 이온 또는 분자의 흡착을 유도함으로써 흡착층(306)을 형성할 수 있다. 이에 대해, 기판(110)이 제2 플라즈마 영역(208) 내에 배치되면, 제2 영역(208) 내의 플라즈마는 하부 기판(110)에 대해 선택적인 방식으로 흡착층(306)을 탈착 또는 제거할 수 있다. 도 2에 예시된 바와 같이, 제1 플라즈마 영역(206)과 제2 플라즈마 영역(208)은 플라즈마 챔버(102) 내에서 서로 대향될 수 있다. 따라서, 기판(110)이 리프팅부(138)를 통해 이동될 때, 처리 조건은 적어도 부분적으로 그때 기판(110)이 존재하는 영역에 따라 흡착과 탈착 사이에서 전환될 수 있다. 일 실시에에서, 플라즈마 파워 처리 조건은 도 5의 설명에서 기술된 플라즈마 파워 조건과 동일하거나 유사할 수 있다.
608 블록에서, 흡착층(306)의 형성 후에, 기판(110)은 하부 기판(110)을 노출시키게 되는 탈착 처리를 개시하도록 제1 플라즈마 영역(206)으로부터 제2 플라즈마 영역(208)으로 이동할 수 있다. 일 실시예에서, 이동 도중 또는 이후의 처리 조건은 기판(110)이 제1 플라즈마 영역(206) 내에 위치되었을 때의 처리 조건과 동일하거나 유사할 것이다. 이 경우, 플라즈마 특성의 변화는 적어도 부분적으로 플라즈마 챔버(102) 내의 파워 분포에 기초할 수 있으므로, 소스 전극(126)에 가까운 플라즈마는 기판 홀더(124)에 인접하거나 제1 플라즈마 영역(206) 내의 플라즈마보다 전위 또는 이온 에너지가 높을 것이다. 그러나, 다른 실시예에서, 처리 조건은 기판(110)이 플라즈마 챔버(102) 내에서 이동시 변할 수 있다.
다른 실시예에서, 펄스형 파워의 진폭 및/또는 주파수는 기판(110)이 제1 플라즈마 영역(206)과 제2 플라즈마 영역(208) 사이에서 이동될 때 변할 수 있다. 펄스형 파워는 도 1~5의 설명에서 기술된 파워 범위 내에서 변할 수 있다. 다른 실시예에서, 플라즈마 챔버(102) 내의 압력은 기판(110)이 제1 플라즈마 영역(206)과 제2 플라즈마 영역(208) 사이에서 이동될 때 변할 수 있다. 압력은 도 1~5의 설명에서 기술된 압력 범위 내에서 변할 수 있다. 다른 실시예에서, 플라즈마 챔버(102) 내의 가스 혼합물의 조성은 기판(110)이 제1 플라즈마 영역(206)과 제2 플라즈마 영역(208) 사이에서 이동될 때 변할 수 있다. 가스 혼합물 조성은 도 1~5의 설명에서 기술된 가스 혼합물 조성 범위 내에서 변할 수 있다. 다른 실시예에서, 처리 조건(예, 파워, 압력, 가스 혼합물 조성)의 조합은 기판(110)이 제1 플라즈마 영역(206)과 제2 플라즈마 영역(208) 사이에서 이동될 때 변할 수 있다. 처리 조건은 도 1~5의 설명에서 기술된 처리 조건 범위 내에서 변할 수 있다.
도 6의 실시예에서, 기판(110)은 기판(110)이 원하는 양으로 제거될 때까지 소정 시간에 걸쳐 흡착 플라즈마(예, 제1 플라즈마(302))와 탈착 플라즈마(예, 제2 플라즈마(304)) 사이에서 교차로 처리될 수 있다. 흡착 또는 탈착 처리 각각의 반복은 각각의 반복마다 동일한 플라즈마 조건을 포함할 수 있지만, 각각의 반복은 여기에 개시된 임의의 실시예에 설명된 처리 조건 경계 내에서 변할 수 있다.
요약 부분이 아닌 상세한 설명 부분이 청구범위를 해석하는데 이용된다는 것을 이해해야 한다. 요약 부분은 본 개시의 하나 이상의 실시형태(모든 실시형태는 아님)를 설명하며, 이에 따라, 본 개시 및 첨부의 청구범위를 어떻게든 제한하는 것으로 의도되지 않는다.
본 개시 내용은 하나 이상의 실시예의 설명에 의해 예시되었고, 상기 실시예들은 상당히 상세하게 설명되었지만, 이들 실시예는 첨부된 청구항들의 범위를 상기와 같은 상세한 내용으로 제한하거나 어떻게든 한정하고자 의도된 것이 아니다. 당업자에게는 추가의 장점 및 수정이 용이하게 보여질 것이다. 따라서, 보다 넓은 관점에서의 본 발명은 예시되고 기술된 특정 세부 사항, 대표적인 장치와 방법 및 예시적인 예에 한정되지 않는다. 따라서, 포괄적인 본 발명의 사상의 범주를 벗어나지 않고 이러한 세부 사항으로부터 벗어날 수 있다.

Claims (20)

  1. 기판을 처리하는 방법으로서:
    상기 기판을 플라즈마 처리 챔버 내의 제1 위치에 배치하는 단계;
    적어도 제1 성분과 제2 성분을 포함하는 제1 화학 조성물을 상기 플라즈마 처리 챔버 내에 수용하는 단계;
    상기 제1 화학 조성물에 표면파 플라즈마 소스로부터의 파워를 인가하여 상기 기판 상에 층을 형성하는 플라즈마를 생성하는 단계;
    상기 제1 화학 조성물과 상이한 제2 화학 조성물을 상기 플라즈마 처리 챔버 내에 수용하는 단계;
    상기 기판을 상기 플라즈마 처리 챔버 내의 제2 위치로 이동시키는 단계;
    상기 제2 화학 조성물을 이용하여 상기 기판으로부터 상기 층을 제거하는 단계
    를 포함하는 것을 특징으로 하는 방법.
  2. 제1항에 있어서, 상기 플라즈마는 15V 이하의 전위를 가지는 것을 특징으로 하는 방법.
  3. 제1항에 있어서, 상기 제2 화학 조성물을 수용하는 단계는 상기 플라즈마 처리 챔버 내로의 상기 제1 화학 조성물의 적어도 하나의 성분의 유량을 감소시키는 단계를 포함하는 것을 특징으로 하는 방법.
  4. 제3항에 있어서, 상기 적어도 하나의 성분은 상기 제2 위치보다는 상기 제1 위치에 근접하게 상기 플라즈마 처리 챔버 내로 주입되는 것을 특징으로 하는 방법.
  5. 제1항에 있어서, 상기 제1 위치는 표면파 플라즈마 소스의 전극으로부터 100 mm를 초과하여 이격된 위치를 포함하며, 상기 제2 위치는 상기 전극으로부터의 거리가 20 mm와 100 mm의 사이에 있는 것을 특징으로 하는 방법.
  6. 제1항에 있어서, 상기 제1 화학 조성물은 Cl, Br, CFx, F, 또는 O 라디칼을 포함하는 1종 이상의 반응성 원소를 포함하는 것을 특징으로 하는 방법.
  7. 제6항에 있어서, 상기 제2 화학 조성물은 Ar, N2 및 상기 제1 화학 조성물에서보다 낮은 농도의 반응성 원소를 포함하는 것을 특징으로 하는 방법.
  8. 제1항에 있어서, 상기 플라즈마는 상기 층의 형성 중에 1eV~20eV의 이온 에너지를 갖는 것을 특징으로 하는 방법.
  9. 제8항에 있어서, 상기 플라즈마는 상기 층의 제거 중에 10eV~100eV의 이온 에너지를 갖는 것을 특징으로 하는 방법.
  10. 기판을 처리하는 방법으로서:
    상기 기판을 플라즈마 처리 챔버 내에 배치하는 단계;
    상기 플라즈마 처리 챔버 내에 가스를 수용하는 단계;
    상기 플라즈마 처리 챔버의 제1 영역에 15V 이하의 흡착 전위를 가지는 제1 플라즈마를 생성하는 단계;
    상기 플라즈마 처리 챔버의 제2 영역에 상기 흡착 전위보다 큰 탈착 전위를 가지는 제2 플라즈마를 생성하는 단계;
    상기 기판을 상기 플라즈마 처리 챔버 내의 상기 제1 영역과 상기 제2 영역 사이에서 이동시키는 단계
    를 포함하는 것을 특징으로 하는 방법.
  11. 제10항에 있어서, 상기 기판이 상기 제1 영역에 위치될 때 상기 기판 상에 층을 형성하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  12. 제11항에 있어서, 상기 기판이 상기 제2 영역에 위치될 때 상기 기판으로부터 상기 층을 제거하는 단계를 더 포함하는 특징으로 하는 방법.
  13. 제10항에 있어서, 상기 기판을 이동시키는 단계는 상기 기판이 상기 제1 영역과 상기 제2 영역 사이에서 이동될 때 펄스화된 파워의 크기를 변화시키는 단계를 포함하는 것을 특징으로 하는 방법.
  14. 제10항에 있어서, 상기 기판을 이동시키는 단계는 상기 기판이 상기 제1 영역과 상기 제2 영역 사이에서 이동될 때 상기 플라즈마 처리 챔버 내의 압력을 변화시키는 단계를 포함하는 것을 특징으로 하는 방법.
  15. 제10항에 있어서, 상기 가스는 Cl, Br, CFx, F, O, Ar 또는 N2 가스 중 2종 이상의 가스의 혼합물을 포함하는 것을 특징으로 하는 방법.
  16. 제15항에 있어서, 상기 기판을 이동시키는 단계는 상기 기판이 상기 제1 영역과 상기 제2 영역 사이에서 이동될 때 상기 혼합물의 농도를 변화시키는 단계를 포함하는 것을 특징으로 하는 방법.
  17. 제15항에 있어서, 상기 가스를 수용하는 단계는 상기 플라즈마 처리 챔버 내로 Ar 또는 N2가 주입되는 곳보다 상기 제1 영역에 가깝게 상기 Cl, Br, CFx, F, 또는 O를 주입하는 단계를 포함하는 것을 특징으로 하는 방법.
  18. 제10항에 있어서, 상기 플라즈마 처리 챔버의 제1 영역은 상기 플라즈마 처리 챔버의 제2 영역에 대향하는 것을 특징으로 하는 방법.
  19. 제10항에 있어서, 상기 제1 플라즈마를 생성하는 단계는 상기 가스에 표면파 플라즈마 소스로부터의 제1의 펄스화된 파워를 인가하는 단계를 포함하는 것을 특징으로 하는 방법.
  20. 제10항에 있어서, 상기 제2 플라즈마를 생성하는 단계는 상기 가스에 표면파 플라즈마 소스로부터의 제2의 펄스화된 파워를 인가하는 단계를 포함하며, 상기 제2의 펄스화된 파워는 상기 제1의 펄스화된 파워와 유사한 것을 특징으로 하는 방법.
KR1020177023715A 2015-01-26 2016-01-26 기판의 고정밀 에칭 방법 및 시스템 KR102549283B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201562107918P 2015-01-26 2015-01-26
US62/107,918 2015-01-26
US201562137635P 2015-03-24 2015-03-24
US62/137,635 2015-03-24
PCT/US2016/014894 WO2016123090A1 (en) 2015-01-26 2016-01-26 Method and system for high precision etching of substrates

Publications (2)

Publication Number Publication Date
KR20170106468A true KR20170106468A (ko) 2017-09-20
KR102549283B1 KR102549283B1 (ko) 2023-06-28

Family

ID=56432799

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020177023715A KR102549283B1 (ko) 2015-01-26 2016-01-26 기판의 고정밀 에칭 방법 및 시스템

Country Status (4)

Country Link
US (1) US9881804B2 (ko)
KR (1) KR102549283B1 (ko)
TW (1) TWI632607B (ko)
WO (1) WO2016123090A1 (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10147588B2 (en) * 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070026540A1 (en) * 2005-03-15 2007-02-01 Nooten Sebastian E V Method of forming non-conformal layers
KR20080071525A (ko) * 2007-01-30 2008-08-04 어플라이드 머티어리얼스, 인코포레이티드 웨이퍼 후면 폴리머 제거와 웨이퍼 전면 제거제 플라즈마를위한 프로세스
US20090072401A1 (en) * 2007-09-19 2009-03-19 International Business Machines Corporation Methods to mitigate plasma damage in organosilicate dielectrics using a protective sidewall spacer
US20100197113A1 (en) * 2005-11-04 2010-08-05 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of semiconductor device
KR20120117872A (ko) * 2010-01-15 2012-10-24 도쿄엘렉트론가부시키가이샤 스위쳐블 중성빔 소스

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5368685A (en) 1992-03-24 1994-11-29 Hitachi, Ltd. Dry etching apparatus and method
JPH09129607A (ja) 1995-11-01 1997-05-16 Canon Inc マイクロ波プラズマエッチング装置及び方法
KR100382720B1 (ko) 2000-08-30 2003-05-09 삼성전자주식회사 반도체 식각 장치 및 이를 이용한 반도체 소자의 식각 방법
CN102256431B (zh) 2004-06-21 2014-09-17 东京毅力科创株式会社 等离子体处理装置和方法
US7740705B2 (en) 2006-03-08 2010-06-22 Tokyo Electron Limited Exhaust apparatus configured to reduce particle contamination in a deposition system
JP5041713B2 (ja) * 2006-03-13 2012-10-03 東京エレクトロン株式会社 エッチング方法およびエッチング装置、ならびにコンピュータ読取可能な記憶媒体
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
US7938081B2 (en) 2006-09-12 2011-05-10 Tokyo Electron Limited Radial line slot antenna having a conductive layer
US7967996B2 (en) * 2007-01-30 2011-06-28 Applied Materials, Inc. Process for wafer backside polymer removal and wafer front side photoresist removal
US7605008B2 (en) * 2007-04-02 2009-10-20 Applied Materials, Inc. Plasma ignition and complete faraday shielding of capacitive coupling for an inductively-coupled plasma
KR101515150B1 (ko) 2008-04-07 2015-04-27 참엔지니어링(주) 플라즈마 처리 장치 및 플라즈마 처리 방법
JP2011517087A (ja) 2008-04-07 2011-05-26 チャーム エンジニアリング シーオー エルティーディー プラズマ処理装置及びプラズマ処理方法
JP5714004B2 (ja) 2009-06-26 2015-05-07 東京エレクトロン株式会社 プラズマ処理方法
US20110061810A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20120190211A1 (en) 2009-09-17 2012-07-26 Tokyo Electron Limited Film forming method, semiconductor device manufacturing method, insulating film and semiconductor device
US20110139748A1 (en) 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
KR101494995B1 (ko) 2011-02-08 2015-02-23 가부시키가이샤 알박 라디칼 에칭 장치 및 방법
US8617411B2 (en) 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching
US9301383B2 (en) 2012-03-30 2016-03-29 Tokyo Electron Limited Low electron temperature, edge-density enhanced, surface wave plasma (SWP) processing method and apparatus

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070026540A1 (en) * 2005-03-15 2007-02-01 Nooten Sebastian E V Method of forming non-conformal layers
US20100197113A1 (en) * 2005-11-04 2010-08-05 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of semiconductor device
KR20080071525A (ko) * 2007-01-30 2008-08-04 어플라이드 머티어리얼스, 인코포레이티드 웨이퍼 후면 폴리머 제거와 웨이퍼 전면 제거제 플라즈마를위한 프로세스
US20090072401A1 (en) * 2007-09-19 2009-03-19 International Business Machines Corporation Methods to mitigate plasma damage in organosilicate dielectrics using a protective sidewall spacer
KR20120117872A (ko) * 2010-01-15 2012-10-24 도쿄엘렉트론가부시키가이샤 스위쳐블 중성빔 소스

Also Published As

Publication number Publication date
TWI632607B (zh) 2018-08-11
KR102549283B1 (ko) 2023-06-28
WO2016123090A1 (en) 2016-08-04
TW201639028A (zh) 2016-11-01
US9881804B2 (en) 2018-01-30
US20160218011A1 (en) 2016-07-28

Similar Documents

Publication Publication Date Title
US10483127B2 (en) Methods for high precision plasma etching of substrates
TWI731031B (zh) 用以提高基板處理系統之電漿中的電子密度位準之系統及方法
KR102279670B1 (ko) 이온 가속기를 갖는 듀얼 챔버 플라즈마 에칭기
KR102280914B1 (ko) 증강된 플라즈마 프로세싱 시스템의 플라즈마-향상된 에칭
JP4794449B2 (ja) ナローギャップ容量結合リアクタのrfパルシング技術
KR20180051663A (ko) 원자 레벨 레졸루션 및 플라즈마 프로세싱 제어를 위한 방법들
US20190304798A1 (en) Methods for cyclic etching of a patterned layer
US11361945B2 (en) Plasma processing apparatus, processing system, and method of etching porous film
US20210025060A1 (en) Apparatus for processing substrate
KR102185192B1 (ko) 플라즈마 에칭 방법
KR102104867B1 (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치
KR102549283B1 (ko) 기판의 고정밀 에칭 방법 및 시스템
KR20230127373A (ko) 피처리체를 처리하는 방법
KR101776848B1 (ko) 원자층 식각 장비 및 이를 이용한 원자층 식각 방법
KR20230100215A (ko) 기판 처리 장치 및 기판 처리 방법
KR20050100866A (ko) 반도체 제조설비의 건식식각장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant