JP2000323458A - プラズマ処理方法及び装置 - Google Patents

プラズマ処理方法及び装置

Info

Publication number
JP2000323458A
JP2000323458A JP11128185A JP12818599A JP2000323458A JP 2000323458 A JP2000323458 A JP 2000323458A JP 11128185 A JP11128185 A JP 11128185A JP 12818599 A JP12818599 A JP 12818599A JP 2000323458 A JP2000323458 A JP 2000323458A
Authority
JP
Japan
Prior art keywords
antenna
plasma processing
vacuum vessel
center
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP11128185A
Other languages
English (en)
Other versions
JP3482904B2 (ja
Inventor
Tomohiro Okumura
智洋 奥村
Masaki Suzuki
正樹 鈴木
Takuya Matsui
卓也 松井
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Holdings Corp
Original Assignee
Matsushita Electric Industrial Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Matsushita Electric Industrial Co Ltd filed Critical Matsushita Electric Industrial Co Ltd
Priority to JP12818599A priority Critical patent/JP3482904B2/ja
Priority to TW089108609A priority patent/TW506004B/zh
Priority to KR10-2000-0024624A priority patent/KR100394484B1/ko
Priority to US09/569,056 priority patent/US6355573B1/en
Publication of JP2000323458A publication Critical patent/JP2000323458A/ja
Application granted granted Critical
Publication of JP3482904B2 publication Critical patent/JP3482904B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Toxicology (AREA)
  • General Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

(57)【要約】 【課題】 均一なプラズマを発生させることができるプ
ラズマ処理方法及び装置を提供する。 【解決手段】 真空容器1内に、ガス供給装置2から所
定のガスを導入しつつ、排気装置としてのポンプ3によ
り排気を行い、真空容器1内を所定の圧力に保ちなが
ら、アンテナ用高周波電源4により100MHzの高周
波電力をアンテナ5に供給することにより、真空容器1
内にプラズマが発生し、基板電極6上に載置された基板
7に対してエッチング、堆積、表面改質等のプラズマ処
理を行うことができる。アンテナ5へ供給される高周波
電圧は、分配器9によって同位相で分配され、給電ピン
10により、アンテナ5の中心とも周辺とも異なる複数
の部位へ給電される。また、アンテナ5の中心付近と真
空容器1の基板7に対向する面1’とが、ショートピン
11により短絡されている。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】この発明は、半導体等の電子
デバイスやマイクロマシンの製造に利用されるドライエ
ッチング、スパッタリング、プラズマCVD等のプラズ
マ処理方法及び装置に関し、特にVHF帯またはUHF
帯の高周波電力を用いて励起するプラズマを利用するプ
ラズマ処理方法及び装置に関するものである。
【0002】
【従来の技術】半導体等の電子デバイスの微細化に対応
するために、高密度プラズマの利用が重要であることに
ついて、特開平8−83696号公報に述べられている
が、最近は、電子密度が高くかつ電子温度の低い、低電
子温度プラズマが注目されている。
【0003】Cl2やSF6等のように負性の強いガス、
言い換えれば、負イオンが生じやすいガスをプラズマ化
したとき、電子温度が3eV程度以下になると、電子温
度が高いときに比べてより多量の負イオンが生成され
る。この現象を利用すると、正イオンの入射過多によっ
て微細パターンの底部に正電荷が蓄積されることによっ
て起きる、ノッチと呼ばれるエッチング形状異常を防止
することができ、極めて微細なパターンのエッチングを
高精度に行うことができる。
【0004】また、シリコン酸化膜等の絶縁膜のエッチ
ングを行う際に一般的に用いられるCxFyやCxHy
Fz(x、y、zは自然数)等の炭素及びフッ素を含む
ガスをプラズマ化したとき、電子温度が3eV程度以下
になると、電子温度が高いときに比べてガスの解離が抑
制され、とくにF原子やFラジカル等の生成が抑えられ
る。F原子やFラジカル等はシリコンをエッチングする
速度が早いため、電子温度が低い方が対シリコンエッチ
ング選択比の大きい絶縁膜エッチングが可能になる。
【0005】また、電子温度が3eV以下になると、イ
オン温度やプラズマ電位も低下するので、プラズマCV
Dにおける基板へのイオンダメージを低減することがで
きる。
【0006】電子温度の低いプラズマを生成できる技術
として現在注目されているのは、VHF帯またはUHF
帯の高周波電力を用いるプラズマ源である。
【0007】図10は、2周波励起式平行平板型プラズ
マ処理装置の断面図である。図10において、真空容器
1内にガス供給装置2から所定のガスを導入しつつ排気
装置としてのポンプ3により排気を行い、真空容器1内
を所定の圧力に保ちながら、対向電極用高周波電源16
により100MHzの高周波電力を対向電極17に供給
すると、真空容器1内にプラズマが発生し、基板電極6
上に載置された基板7に対してエッチング、堆積、表面
改質等のプラズマ処理を行うことができる。このとき、
図10に示すように、基板電極6にも基板電極用高周波
電源8により高周波電力を供給することで、基板7に到
達するイオンエネルギーを制御することができる。な
お、対向電極17は、絶縁リング18により、真空容器
と絶縁されている。
【0008】
【発明が解決しようとする課題】しかしながら、図10
に示した従来の方式では、プラズマの均一性を得ること
が難しいという問題点があった。
【0009】図11は、図10のプラズマ処理装置にお
いて、イオン飽和電流密度を、基板7の直上20mmの
位置において測定した結果である。プラズマ発生条件
は、ガス種とガス流量がCl2=100sccm、圧力
が1.5Pa、高周波電力が2kWである。図11か
ら、プラズマが偏っていることがわかる。
【0010】このようなプラズマの不均一は、高周波電
力の周波数が50MHz以下の場合には見られなかった
現象である。プラズマの電子温度を下げるためには、5
0MHz以上の高周波電力を用いる必要があるが、この
周波数帯では、対向電極とプラズマとが容量的または誘
導的に結合することによってプラズマが生成されるとい
う効果に加えて、対向電極から放射される電磁波がプラ
ズマの表面を伝搬することによってプラズマが生成され
るという効果が現れる。この周波数帯では、対向電極の
大きさと電磁波の波長が近いため、対向電極に発生する
電磁界分布として許容されるモードが多数存在するよう
になり、偏った電磁界分布が対向電極上に生じてしま
う。このため、対向電極とプラズマとの容量的または誘
導的な結合に偏りが生じるとともに、対向電極から放射
される電磁波も偏り、その結果、プラズマが偏ってしま
うものと考えられる。
【0011】本発明は、上記従来の問題点に鑑み、均一
なプラズマを発生させることができるプラズマ処理方法
及び装置を提供することを目的としている。
【0012】
【課題を解決するための手段】本願の第1発明のプラズ
マ処理方法は、真空容器内にガスを供給しつつ真空容器
内を排気し、真空容器内を所定の圧力に制御しながら、
真空容器内の基板電極に載置された基板に対向して設け
られたアンテナに、周波数50MHz乃至3GHzの高
周波電力を印加することにより、真空容器内にプラズマ
を発生させ、基板を処理するプラズマ処理方法であっ
て、アンテナの中心付近と真空容器とを短絡し、アンテ
ナの中心とも周辺とも異なる一部位に高周波電圧を給電
した状態で基板を処理することを特徴とする。
【0013】本願の第1発明のプラズマ処理方法におい
て、好適には、アンテナが真空容器内に設けられ、アン
テナと真空容器の間に誘電体が挟まれている状態で、誘
電体の中心付近に設けられた貫通穴を介してアンテナと
真空容器とを短絡し、誘電体の中心とも周辺とも異なる
一部位に設けられた貫通穴を介してアンテナに高周波電
圧を給電することが望ましい。
【0014】また、好適には、高周波電圧を給電する部
位を複数とし、かつ、高周波電圧を給電する部位の各々
がアンテナの中心に対してほぼ等配置され、かつ、高周
波電圧を給電する部位の各々に、同位相の高周波電圧を
給電することが望ましい。
【0015】また、アンテナと真空容器の間に誘電体を
挟む場合、アンテナの大きさと、誘電体の誘電率と、ア
ンテナと真空容器を短絡するための導体の太さとが、ア
ンテナにTM01モードの電磁界分布をもたらすよう設計
されていることが望ましい。
【0016】本願の第2発明のプラズマ処理方法は、真
空容器内にガスを供給しつつ真空容器内を排気し、真空
容器内を所定の圧力に制御しながら、真空容器内の基板
電極に載置された基板に対向して設けられたアンテナ
に、周波数50MHz乃至3GHzの高周波電力を印加
することにより、真空容器内にプラズマを発生させ、基
板を処理するプラズマ処理方法であって、アンテナの中
心とも周辺とも異なる一部位と真空容器とを短絡し、ア
ンテナの中心付近に高周波電圧を給電した状態で基板を
処理することを特徴とする。
【0017】本願の第2発明のプラズマ処理方法におい
て、好適には、アンテナが真空容器内に設けられ、アン
テナと真空容器の間に誘電体が挟まれている状態で、誘
電体の中心付近に設けられた貫通穴を介してアンテナに
高周波電圧を給電し、誘電体の中心とも周辺とも異なる
一部位に設けられた貫通穴を介してアンテナと真空容器
とを短絡することが望ましい。
【0018】また、好適には、真空容器と短絡する部位
を複数とし、かつ、真空容器と短絡する部位の各々がア
ンテナの中心に対してほぼ等配置されていることが望ま
しい。
【0019】また、アンテナと真空容器の間に誘電体を
挟む場合、アンテナの大きさと、誘電体の誘電率と、ア
ンテナに高周波電圧を給電するための導体の太さとが、
アンテナにTM01モードの電磁界分布をもたらすよう設
計されていることが望ましい。
【0020】本願の第1または第2発明のプラズマ処理
方法において、好適には、アンテナの表面が絶縁カバー
により覆われていることが望ましい。
【0021】また、好適には、アンテナと真空容器との
間に設けられた環状でかつ溝状のプラズマトラップによ
って、基板上のプラズマ分布が制御された状態で基板を
処理することが望ましい。
【0022】本願の第1または第2発明のプラズマ処理
方法において、アンテナが基板と逆方向に凸形のドーム
状であってもよく、また、アンテナが基板の方向に凸形
のドーム状であってもよい。
【0023】また、好適には、アンテナの周辺から中心
に向かってスロット(切り込み)を設けることが望まし
い。
【0024】本願の第1または第2発明のプラズマ処理
方法は、とくに、真空容器内に直流磁界が存在しない場
合に効果的なプラズマ処理方法である。
【0025】本願の第3発明のプラズマ処理装置は、真
空容器と、真空容器内にガスを供給するためのガス供給
装置と、真空容器内を排気するための排気装置と、真空
容器内に基板を載置するための基板電極と、基板電極に
対向して設けられたアンテナと、アンテナに周波数50
MHz乃至3GHzの高周波電力を供給することのでき
る高周波電源とを備えたプラズマ処理装置であって、ア
ンテナの中心付近と真空容器とが短絡され、アンテナの
中心とも周辺とも異なる一部位に高周波電圧を給電する
ことを特徴とする。
【0026】本願の第3発明のプラズマ処理装置におい
て、好適には、アンテナが真空容器内に設けられ、アン
テナと真空容器の間に誘電体が挟まれ、誘電体の中心付
近に設けられた貫通穴を介してアンテナと真空容器とが
短絡され、誘電体の中心とも周辺とも異なる一部位に設
けられた貫通穴を介してアンテナに高周波電圧を給電す
ることが望ましい。
【0027】また、好適には、高周波電圧を給電する部
位を複数とし、かつ、高周波電圧を給電する部位の各々
がアンテナの中心に対してほぼ等配置され、かつ、高周
波電圧を給電する部位の各々に、同位相の高周波電圧を
給電することが望ましい。
【0028】また、アンテナと真空容器の間に誘電体を
挟む場合、アンテナの大きさと、誘電体の誘電率と、ア
ンテナと真空容器を短絡するための導体の太さとが、ア
ンテナにTM01モードの電磁界分布をもたらすよう設計
されていることが望ましい。
【0029】本願の第4発明のプラズマ処理装置は、真
空容器と、真空容器内にガスを供給するためのガス供給
装置と、真空容器内を排気するための排気装置と、真空
容器内に基板を載置するための基板電極と、基板電極に
対向して設けられたアンテナと、アンテナに周波数50
MHz乃至3GHzの高周波電力を供給することのでき
る高周波電源とを備えたプラズマ処理装置であって、ア
ンテナの中心とも周辺とも異なる一部位と真空容器とが
短絡され、アンテナの中心付近に高周波電圧を給電する
ことを特徴とする。
【0030】本願の第4発明のプラズマ処理装置におい
て、好適には、アンテナが真空容器内に設けられ、アン
テナと真空容器の間に誘電体が挟まれ、誘電体の中心付
近に設けられた貫通穴を介してアンテナに高周波電圧を
給電し、誘電体の中心とも周辺とも異なる一部位に設け
られた貫通穴を介してアンテナと真空容器とが短絡され
ていることが望ましい。
【0031】また、好適には、真空容器と短絡する部位
を複数とし、かつ、真空容器と短絡する部位の各々がア
ンテナの中心に対してほぼ等配置されていることが望ま
しい。
【0032】また、アンテナと真空容器の間に誘電体を
挟む場合、アンテナの大きさと、誘電体の誘電率と、ア
ンテナに高周波電圧を給電するための導体の太さとが、
アンテナにTM01モードの電磁界分布をもたらすよう設
計されていることが望ましい。
【0033】本願の第3または第4発明のプラズマ処理
装置において、好適には、アンテナの表面が絶縁カバー
により覆われていることが望ましい。
【0034】また、好適には、アンテナと真空容器との
間に、環状でかつ溝状のプラズマトラップを設けること
が望ましい。
【0035】本願の第3または第4発明のプラズマ処理
装置において、アンテナが基板と逆方向に凸形のドーム
状であってもよく、また、アンテナが基板の方向に凸形
のドーム状であってもよい。
【0036】また、好適には、アンテナの周辺から中心
に向かってスロット(切り込み)を設けることが望まし
い。
【0037】本願の第3または第4発明のプラズマ処理
装置は、とくに、真空容器内に直流磁界を印加するため
のコイルまたは永久磁石を備えていない場合に効果的な
プラズマ処理装置である。
【0038】
【発明の実施の形態】以下、本発明の第1実施形態につ
いて、図1〜図4を参照して説明する。
【0039】図1に、本発明の第1実施形態において用
いたプラズマ処理装置の断面図を示す。図1において、
真空容器1内に、ガス供給装置2から所定のガスを導入
しつつ、排気装置としてのポンプ3により排気を行い、
真空容器1内を所定の圧力に保ちながら、アンテナ用高
周波電源4により100MHzの高周波電力を真空容器
1内に設けられたアンテナ5に供給することにより、真
空容器1内にプラズマが発生し、基板電極6上に載置さ
れた基板7に対してエッチング、堆積、表面改質等のプ
ラズマ処理を行うことができる。また、基板電極6に高
周波電力を供給するための基板電極用高周波電源8が設
けられており、基板7に到達するイオンエネルギーを制
御することができるようになっている。アンテナ5へ供
給される高周波電圧は、分配器9によって分配され、給
電ピン10により、アンテナ5の中心とも周辺とも異な
る複数の部位へ同位相で給電される。また、アンテナ5
の中心付近と真空容器1の基板7に対向する面1’と
が、ショートピン11により短絡されている。アンテナ
5と真空容器1との間に誘電体12が挟まれ、給電ピン
10及びショートピン11は、誘電体12に設けられた
貫通穴を介してそれぞれアンテナ5と分配器9、アンテ
ナ5と真空容器1’とを接続している。また、アンテナ
5の表面は、絶縁カバー13により覆われている。
【0040】図2に、アンテナ5の平面図を示す。図2
に示すように、給電ピン10は、3ヶ所に設けられてお
り、それぞれの給電ピン10がアンテナ5の中心に対し
て等配置されている。
【0041】アンテナ5の大きさ、誘電体12の誘電
率、ショートピン11の太さは、アンテナ5にTM01
ードの電磁界分布をもたらすよう設計されている。アン
テナ5にTM01モードの電磁界分布をもたらすようなア
ンテナ5の大きさ、誘電体12の誘電率、ショートピン
11の太さの組み合わせは無数に存在するが、例えば、
アンテナ5の直径を235mm、ショーピン11の直径
を23.5mm、誘電体12の誘電率を20とすれば、
アンテナ5にTM01モードの電磁界分布をもたらすこと
ができる。なお、TM01モードの電磁界分布とは、図3
に示すように、アンテナ5の中心に対して対称な分布を
もっている。
【0042】図4は、イオン飽和電流密度を、基板7の
直上20mmの位置において測定した結果である。プラ
ズマ発生条件は、ガス種とガス流量がCl2=100s
ccm、圧力が1.5Pa、高周波電力が2kWであ
る。図4から、図11で見られたようなプラズマの偏り
は無く、均一なプラズマが発生していることがわかる。
【0043】このように、従来例の図10で示したプラ
ズマ処理装置と比較してプラズマの均一性が改善した理
由は、アンテナ5にTM01モードの電磁界分布をもたら
したためである。すなわち、アンテナ5とプラズマとの
容量的または誘導的な結合が、アンテナ5の中心に対し
て同心円状に生じ、また、電磁波がアンテナ5の中心に
対して対称に放射されるためである。
【0044】以上述べた本発明の第1実施形態におい
て、3つの給電ピン10を用いてアンテナ5に給電する
場合について説明したが、給電ピン10は1つでもよ
い。しかし、TM01モード以外の不要な電磁界分布を抑
制するために、給電ピン10を複数設けることが望まし
い。
【0045】次に、本発明の第2実施形態について、図
5を参照して説明する。
【0046】図5に、本発明の第2実施形態において用
いたプラズマ処理装置の断面図を示す。図5において、
真空容器1内に、ガス供給装置2から所定のガスを導入
しつつ、排気装置としてのポンプ3により排気を行い、
真空容器1内を所定の圧力に保ちながら、アンテナ用高
周波電源4により100MHzの高周波電力を真空容器
1内に設けられたアンテナ5に供給することにより、真
空容器1内にプラズマが発生し、基板電極6上に載置さ
れた基板7に対してエッチング、堆積、表面改質等のプ
ラズマ処理を行うことができる。また、基板電極6に高
周波電力を供給するための基板電極用高周波電源8が設
けられており、基板7に到達するイオンエネルギーを制
御することができるようになっている。アンテナ5へ供
給される高周波電圧は、給電ピン10により、アンテナ
5の中心付近へ給電される。また、アンテナ5の中心と
も周辺とも異なる複数の部位と真空容器1の基板7に対
向する面1’とが、ショートピン11により短絡されて
いる。アンテナ5と真空容器1との間に誘電体12が挟
まれ、給電ピン10及びショートピン11は、誘電体1
2に設けられた貫通穴を介してそれぞれアンテナ5とア
ンテナ用高周波電源4、アンテナ5と真空容器1’とを
接続している。また、アンテナ5の表面は、絶縁カバー
13により覆われている。
【0047】アンテナ5の平面図は図2と同様である
が、給電ピン10とショートピン11の位置関係は逆に
なる。すなわち、ショートピン11は3ヶ所に設けられ
ており、それぞれのショートピン11がアンテナ5の中
心に対して等配置されている。
【0048】アンテナ5の大きさ、誘電体12の誘電
率、給電ピン10の太さは、アンテナ5にTM01モード
の電磁界分布をもたらすよう設計されている。アンテナ
5にTM01モードの電磁界分布をもたらすようなアンテ
ナ5の大きさ、誘電体12の誘電率、給電ピン10の太
さの組み合わせは無数に存在するが、例えば、アンテナ
5の直径を235mm、給電ピン10の直径を23.5
mm、誘電体12の誘電率を20とすれば、アンテナ5
にTM01モードの電磁界分布をもたらすことができる。
【0049】本発明の第2実施形態においても、図4と
同様に、偏りが無く均一なイオン飽和電流密度が得られ
た。
【0050】このように、従来例の図10で示したプラ
ズマ処理装置と比較してプラズマの均一性が改善した理
由は、アンテナ5にTM01モードの電磁界分布をもたら
したためである。すなわち、アンテナ5とプラズマとの
容量的または誘導的な結合が、アンテナ5の中心に対し
て同心円状に生じ、また、電磁波がアンテナ5の中心に
対して対称に放射されるためである。
【0051】以上述べた本発明の第2実施形態におい
て、3つのショートピン11を用いてアンテナ5と真空
容器1を短絡する場合について説明したが、ショートピ
ン11は1つでもよい。しかし、TM01モード以外の不
要な電磁界分布を抑制するために、ショートピン11を
複数設けることが望ましい。
【0052】以上述べた本発明の実施形態においては、
本発明の適用範囲のうち、真空容器の形状、アンテナの
形状及び配置、誘電体の形状及び配置等に関して様々な
バリエーションのうちの一部を例示したに過ぎない。本
発明の適用にあたり、ここで例示した以外にも様々なバ
リエーションが考えられることは、いうまでもない。
【0053】また、以上述べた本発明の実施形態におい
て、アンテナに100MHzの高周波電力を供給する場
合について説明したが、周波数はこれに限定されるもの
ではなく、50MHz乃至3GHzの周波数を用いるプ
ラズマ処理方法及び装置において、本発明は有効であ
る。
【0054】また、以上述べた本発明の実施形態におい
て、アンテナと真空容器との間に誘電体が挟まれ、給電
ピン及びショートピンが、誘電体に設けられた貫通穴を
介してアンテナと分配器、真空容器、またはアンテナ用
高周波電源とを接続している場合について説明したが、
誘電体を分割された複数の部材により構成する方式や、
電磁的な結合を用いて給電する方式などが考えられる。
このような構成も、本発明の適用範囲と見なすことがで
きる。
【0055】また、以上述べた本発明の実施形態におい
て、アンテナにTM01モードの電磁界分布をもたらすよ
うに、アンテナの大きさ、誘電体の誘電率、ショートピ
ンまたは給電ピンの太さを設計した場合について説明し
たが、誘電体の厚さが十分大きく、アンテナの周波数帯
域が広い場合には,厳密にTM01モードを励起するよう
に設計する必要はない。すなわち、アンテナの大きさ、
誘電体の誘電率、ショートピンまたは給電ピンの太さと
して、広範囲の値が許容されると考えられる。
【0056】また、以上述べた本発明の実施形態におい
て、アンテナの表面が絶縁カバーにより覆われている場
合について説明したが、絶縁カバーは無くてもよい。た
だし、絶縁カバーが無いと、アンテナを構成する物質に
よる基板の汚染等の問題が発生する可能性があるため、
汚染に敏感な処理を行う際には、絶縁カバーを設けた方
がよい。また、絶縁カバーが無い場合は、アンテナとプ
ラズマとの容量的な結合の割合が増大し、基板中央部の
プラズマ密度が高まる傾向があるため、使用するガス種
やガス圧力によっては、絶縁カバーが無い場合の方が均
一なプラズマ分布を得られることもある。
【0057】また、以上述べた本発明の実施形態におい
て、アンテナの近くに固体表面で囲まれた空間が存在し
ない場合について説明したが、図6に示す本発明の第3
実施形態のように、アンテナ5と真空容器1との間に、
環状でかつ溝状のプラズマトラップ14を設けてもよ
い。このような構成では、アンテナ5から放射された電
磁波がプラズマトラップ14で強められ、また、低電子
温度プラズマではホローカソード放電が起きやすい傾向
があるため、固体表面で囲まれたプラズマトラップ14
で高密度のプラズマ(ホローカソード放電)が生成しや
すくなる。したがって、真空容器1内では、プラズマ密
度がプラズマトラップ14で最も高くなり、拡散によっ
て基板7近傍までプラズマが輸送されることで、より均
一なプラズマが得られる。
【0058】また、以上述べた本発明の実施形態におい
て、アンテナが平板状である場合について説明したが、
図7に示す本発明の第4実施形態のように、アンテナ5
が基板7と逆方向に凸形のドーム状であってもよく、ま
た、図8に示す本発明の第5実施形態のように、アンテ
ナ5が基板7の方向に凸形のドーム状であってもよい。
【0059】また、以上述べた本発明の実施形態におい
て、アンテナにスロット(切り込み)が設けられていな
い場合について説明したが、図9に示す本発明の第6実
施形態ように、アンテナ5の周辺から中心に向かってス
ロット15が設けられていてもよい。図3で示したよう
に、TM01モードの電流は、アンテナ5の中心に対称に
流れるため、スロット15によって電流の流れは妨げら
れない。一方、アンテナ5の中心に対称でない電流が流
れるモードに対しては、電流を妨げる効果があるため、
このようなモードを抑制するのに有効である。
【0060】また、以上述べた本発明の実施形態におい
て、真空容器内に直流磁界が存在しない場合について説
明したが、高周波電力がプラズマ中に浸入できるように
なるほどの大きな直流磁界が存在しない場合、例えば、
着火性の改善のために数十ガウス程度の小さな直流磁界
を用いる場合においても、本発明は有効である。しか
し、本発明は、真空容器内に直流磁界が存在しない場合
にとくに有効である。
【0061】
【発明の効果】以上の説明から明らかなように、本願の
第1発明のプラズマ処理方法によれば、真空容器内にガ
スを供給しつつ真空容器内を排気し、真空容器内を所定
の圧力に制御しながら、真空容器内の基板電極に載置さ
れた基板に対向して設けられたアンテナに、周波数50
MHz乃至3GHzの高周波電力を印加することによ
り、真空容器内にプラズマを発生させ、基板を処理する
プラズマ処理方法であって、アンテナの中心付近と真空
容器とを短絡し、アンテナの中心とも周辺とも異なる一
部位に高周波電圧を給電した状態で基板を処理するた
め、均一なプラズマを発生させることができ、基板を均
一に処理することができる。
【0062】また、本願の第2発明のプラズマ処理方法
によれば、真空容器内にガスを供給しつつ真空容器内を
排気し、真空容器内を所定の圧力に制御しながら、真空
容器内の基板電極に載置された基板に対向して設けられ
たアンテナに、周波数50MHz乃至3GHzの高周波
電力を印加することにより、真空容器内にプラズマを発
生させ、基板を処理するプラズマ処理方法であって、ア
ンテナの中心とも周辺とも異なる一部位と真空容器とを
短絡し、アンテナの中心付近に高周波電圧を給電した状
態で基板を処理するため、均一なプラズマを発生させる
ことができ、基板を均一に処理することができる。
【0063】また、本願の第3発明のプラズマ処理装置
によれば、真空容器と、真空容器内にガスを供給するた
めのガス供給装置と、真空容器内を排気するための排気
装置と、真空容器内に基板を載置するための基板電極
と、基板電極に対向して設けられたアンテナと、アンテ
ナに周波数50MHz乃至3GHzの高周波電力を供給
することのできる高周波電源とを備えたプラズマ処理装
置であって、アンテナの中心付近と真空容器とが短絡さ
れ、アンテナの中心とも周辺とも異なる一部位に高周波
電圧を給電するため、均一なプラズマを発生させること
ができ、基板を均一に処理することができる。
【0064】また、本願の第4発明のプラズマ処理装置
によれば、真空容器と、真空容器内にガスを供給するた
めのガス供給装置と、真空容器内を排気するための排気
装置と、真空容器内に基板を載置するための基板電極
と、基板電極に対向して設けられたアンテナと、アンテ
ナに周波数50MHz乃至3GHzの高周波電力を供給
することのできる高周波電源とを備えたプラズマ処理装
置であって、アンテナの中心とも周辺とも異なる一部位
と真空容器とが短絡され、アンテナの中心付近に高周波
電圧を給電するため、均一なプラズマを発生させること
ができ、基板を均一に処理することができる。
【図面の簡単な説明】
【図1】本発明の第1実施形態で用いたプラズマ処理装
置の構成を示す断面図
【図2】本発明の第1実施形態で用いたアンテナの平面
【図3】TM01モードの電磁界分布を示す平面図
【図4】本発明の第1実施形態における、イオン飽和電
流密度の測定結果を示す図
【図5】本発明の第2実施形態で用いたプラズマ処理装
置の構成を示す断面図
【図6】本発明の第3実施形態で用いたプラズマ処理装
置の構成を示す断面図
【図7】本発明の第4実施形態で用いたプラズマ処理装
置の構成を示す断面図
【図8】本発明の第5実施形態で用いたプラズマ処理装
置の構成を示す断面図
【図9】本発明の第6実施形態で用いたアンテナの平面
【図10】従来例で用いたプラズマ処理装置の構成を示
す断面図
【図11】従来例における、イオン飽和電流密度の測定
結果を示す図
【符号の説明】
1 真空容器 2 ガス供給装置 3 ポンプ 4 アンテナ用高周波電源 5 アンテナ 6 基板電極 7 基板 8 基板電極用高周波電源 9 分配器 10 給電ピン 11 ショートピン 12 誘電体 13 絶縁カバー
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) H05H 1/46 H05H 1/46 B A (72)発明者 松井 卓也 大阪府門真市大字門真1006番地 松下電器 産業株式会社内 Fターム(参考) 4K030 FA04 HA07 JA18 JA19 KA30 KA45 4K057 DA20 DD03 DE01 DE06 DM18 DM29 DM33 DM40 DN01 5F004 AA01 BA20 BB11 BB18 BC08 CA06 DA00 DA01 DA02 DA03 DA04 DA15 DA16 DA18 5F045 AA08 BB01 DP03 DQ10 EH02 EJ02 EK05

Claims (29)

    【特許請求の範囲】
  1. 【請求項1】 真空容器内にガスを供給しつつ真空容器
    内を排気し、真空容器内を所定の圧力に制御しながら、
    真空容器内の基板電極に載置された基板に対向して設け
    られたアンテナに、周波数50MHz乃至3GHzの高
    周波電力を印加することにより、真空容器内にプラズマ
    を発生させ、基板を処理するプラズマ処理方法であっ
    て、アンテナの中心付近と真空容器とを短絡し、アンテ
    ナの中心とも周辺とも異なる一部位に高周波電圧を給電
    した状態で基板を処理することを特徴とするプラズマ処
    理方法。
  2. 【請求項2】 アンテナが真空容器内に設けられ、アン
    テナと真空容器の間に誘電体が挟まれている状態で、誘
    電体の中心付近に設けられた貫通穴を介してアンテナと
    真空容器とを短絡し、誘電体の中心とも周辺とも異なる
    一部位に設けられた貫通穴を介してアンテナに高周波電
    圧を給電することを特徴とする、請求項1記載のプラズ
    マ処理方法。
  3. 【請求項3】 高周波電圧を給電する部位を複数とし、
    かつ、高周波電圧を給電する部位の各々がアンテナの中
    心に対してほぼ等配置され、かつ、高周波電圧を給電す
    る部位の各々に、同位相の高周波電圧を給電することを
    特徴とする、請求項1記載のプラズマ処理方法。
  4. 【請求項4】 アンテナの大きさと、誘電体の誘電率
    と、アンテナと真空容器を短絡するための導体の太さと
    が、アンテナにTM01モードの電磁界分布をもたらすよ
    う設計されていることを特徴とする、請求項2記載のプ
    ラズマ処理方法。
  5. 【請求項5】 真空容器内にガスを供給しつつ真空容器
    内を排気し、真空容器内を所定の圧力に制御しながら、
    真空容器内の基板電極に載置された基板に対向して設け
    られたアンテナに、周波数50MHz乃至3GHzの高
    周波電力を印加することにより、真空容器内にプラズマ
    を発生させ、基板を処理するプラズマ処理方法であっ
    て、アンテナの中心とも周辺とも異なる一部位と真空容
    器とを短絡し、アンテナの中心付近に高周波電圧を給電
    した状態で基板を処理することを特徴とするプラズマ処
    理方法。
  6. 【請求項6】 アンテナが真空容器内に設けられ、アン
    テナと真空容器の間に誘電体が挟まれている状態で、誘
    電体の中心付近に設けられた貫通穴を介してアンテナに
    高周波電圧を給電し、誘電体の中心とも周辺とも異なる
    一部位に設けられた貫通穴を介してアンテナと真空容器
    とを短絡することを特徴とする、請求項5記載のプラズ
    マ処理方法。
  7. 【請求項7】 真空容器と短絡する部位を複数とし、か
    つ、真空容器と短絡する部位の各々がアンテナの中心に
    対してほぼ等配置されていることを特徴とする、請求項
    5記載のプラズマ処理方法。
  8. 【請求項8】 アンテナの大きさと、誘電体の誘電率
    と、アンテナに高周波電圧を給電するための導体の太さ
    とが、アンテナにTM01モードの電磁界分布をもたらす
    よう設計されていることを特徴とする、請求項5記載の
    プラズマ処理方法。
  9. 【請求項9】 アンテナの表面が絶縁カバーにより覆わ
    れていることを特徴とする、請求項1または5記載のプ
    ラズマ処理方法。
  10. 【請求項10】 アンテナと真空容器との間に設けられ
    た環状でかつ溝状のプラズマトラップによって、基板上
    のプラズマ分布が制御された状態で基板を処理すること
    を特徴とする、請求項1または5記載のプラズマ処理方
    法。
  11. 【請求項11】 アンテナが基板と逆方向に凸形のドー
    ム状であることを特徴とする、請求項1または5記載の
    プラズマ処理方法。
  12. 【請求項12】 アンテナが基板の方向に凸形のドーム
    状であることを特徴とする、請求項1または5記載のプ
    ラズマ処理方法。
  13. 【請求項13】 アンテナの周辺から中心に向かってス
    ロット(切り込み)を設けたことを特徴とする、請求項
    1または5記載のプラズマ処理方法。
  14. 【請求項14】 真空容器内に直流磁界が存在しないこ
    とを特徴とする、請求項1または5記載のプラズマ処理
    方法。
  15. 【請求項15】 真空容器と、真空容器内にガスを供給
    するためのガス供給装置と、真空容器内を排気するため
    の排気装置と、真空容器内に基板を載置するための基板
    電極と、基板電極に対向して設けられたアンテナと、ア
    ンテナに周波数50MHz乃至3GHzの高周波電力を
    供給することのできる高周波電源とを備えたプラズマ処
    理装置であって、アンテナの中心付近と真空容器とが短
    絡され、アンテナの中心とも周辺とも異なる一部位に高
    周波電圧を給電することを特徴とするプラズマ処理装
    置。
  16. 【請求項16】 アンテナが真空容器内に設けられ、ア
    ンテナと真空容器の間に誘電体が挟まれ、誘電体の中心
    付近に設けられた貫通穴を介してアンテナと真空容器と
    が短絡され、誘電体の中心とも周辺とも異なる一部位に
    設けられた貫通穴を介してアンテナに高周波電圧を給電
    することを特徴とする、請求項15記載のプラズマ処理
    装置。
  17. 【請求項17】 高周波電圧を給電する部位を複数と
    し、かつ、高周波電圧を給電する部位の各々がアンテナ
    の中心に対してほぼ等配置され、かつ、高周波電圧を給
    電する部位の各々に、同位相の高周波電圧を給電するこ
    とを特徴とする、請求項15記載のプラズマ処理装置。
  18. 【請求項18】 アンテナの大きさと、誘電体の誘電率
    と、アンテナと真空容器を短絡するための導体の太さと
    が、アンテナにTM01モードの電磁界分布をもたらすよ
    う設計されていることを特徴とする、請求項16記載の
    プラズマ処理装置。
  19. 【請求項19】 真空容器と、真空容器内にガスを供給
    するためのガス供給装置と、真空容器内を排気するため
    の排気装置と、真空容器内に基板を載置するための基板
    電極と、基板電極に対向して設けられたアンテナと、ア
    ンテナに周波数50MHz乃至3GHzの高周波電力を
    供給することのできる高周波電源とを備えたプラズマ処
    理装置であって、アンテナの中心とも周辺とも異なる一
    部位と真空容器とが短絡され、アンテナの中心付近に高
    周波電圧を給電することを特徴とするプラズマ処理装
    置。
  20. 【請求項20】 アンテナが真空容器内に設けられ、ア
    ンテナと真空容器の間に誘電体が挟まれ、誘電体の中心
    付近に設けられた貫通穴を介してアンテナに高周波電圧
    を給電し、誘電体の中心とも周辺とも異なる一部位に設
    けられた貫通穴を介してアンテナと真空容器とが短絡さ
    れていることを特徴とする、請求項19記載のプラズマ
    処理装置。
  21. 【請求項21】 真空容器と短絡する部位を複数とし、
    かつ、真空容器と短絡する部位の各々がアンテナの中心
    に対してほぼ等配置されていることを特徴とする、請求
    項19記載のプラズマ処理装置。
  22. 【請求項22】 アンテナの大きさと、誘電体の誘電率
    と、アンテナに高周波電圧を給電するための導体の太さ
    とが、アンテナにTM01モードの電磁界分布をもたらす
    よう設計されていることを特徴とする、請求項20記載
    のプラズマ処理装置。
  23. 【請求項23】 アンテナの表面が絶縁カバーにより覆
    われていることを特徴とする、請求項15または19記
    載のプラズマ処理装置。
  24. 【請求項24】 アンテナと真空容器との間に、環状で
    かつ溝状のプラズマトラップを設けたことを特徴とす
    る、請求項15または19記載のプラズマ処理装置。
  25. 【請求項25】 アンテナが基板と逆方向に凸形のドー
    ム状であることを特徴とする、請求項15または19記
    載のプラズマ処理装置。
  26. 【請求項26】 アンテナが基板の方向に凸形のドーム
    状であることを特徴とする、請求項15または19記載
    のプラズマ処理装置。
  27. 【請求項27】 アンテナの周辺から中心に向かってス
    ロット(切り込み)を設けたことを特徴とする、請求項
    15または19記載のプラズマ処理装置。
  28. 【請求項28】 真空容器内に直流磁界を印加するため
    のコイルまたは永久磁石を備えていないことを特徴とす
    る、請求項15または19記載のプラズマ処理装置。
  29. 【請求項29】 アンテナは、板状であることを特徴と
    する請求項15記載のプラズマ処理装置。
JP12818599A 1999-05-10 1999-05-10 プラズマ処理方法及び装置 Expired - Fee Related JP3482904B2 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP12818599A JP3482904B2 (ja) 1999-05-10 1999-05-10 プラズマ処理方法及び装置
TW089108609A TW506004B (en) 1999-05-10 2000-05-05 Plasma processing method and apparatus
KR10-2000-0024624A KR100394484B1 (ko) 1999-05-10 2000-05-09 플라즈마 처리 방법 및 장치
US09/569,056 US6355573B1 (en) 1999-05-10 2000-05-10 Plasma processing method and apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP12818599A JP3482904B2 (ja) 1999-05-10 1999-05-10 プラズマ処理方法及び装置

Publications (2)

Publication Number Publication Date
JP2000323458A true JP2000323458A (ja) 2000-11-24
JP3482904B2 JP3482904B2 (ja) 2004-01-06

Family

ID=14978551

Family Applications (1)

Application Number Title Priority Date Filing Date
JP12818599A Expired - Fee Related JP3482904B2 (ja) 1999-05-10 1999-05-10 プラズマ処理方法及び装置

Country Status (4)

Country Link
US (1) US6355573B1 (ja)
JP (1) JP3482904B2 (ja)
KR (1) KR100394484B1 (ja)
TW (1) TW506004B (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002058441A1 (fr) * 2001-01-18 2002-07-25 Tokyo Electron Limited Dispositif plasma et procede de generation de plasma
JP2006179499A (ja) * 2006-02-20 2006-07-06 Matsushita Electric Ind Co Ltd 整合回路、プラズマ処理方法及び装置
JP2008305736A (ja) * 2007-06-11 2008-12-18 Tokyo Electron Ltd プラズマ処理装置、プラズマ処理装置の使用方法およびプラズマ処理装置のクリーニング方法
KR20120117872A (ko) * 2010-01-15 2012-10-24 도쿄엘렉트론가부시키가이샤 스위쳐블 중성빔 소스

Families Citing this family (144)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3379506B2 (ja) * 2000-02-23 2003-02-24 松下電器産業株式会社 プラズマ処理方法及び装置
KR200206254Y1 (ko) * 2000-06-27 2000-12-01 최대규 플라즈마 건식 가스 세정기
US6830653B2 (en) * 2000-10-03 2004-12-14 Matsushita Electric Industrial Co., Ltd. Plasma processing method and apparatus
US6962879B2 (en) * 2001-03-30 2005-11-08 Lam Research Corporation Method of plasma etching silicon nitride
TWI241868B (en) * 2002-02-06 2005-10-11 Matsushita Electric Ind Co Ltd Plasma processing method and apparatus
WO2003077299A1 (fr) * 2002-03-08 2003-09-18 Tokyo Electron Limited Dispositif a plasma
US7569497B2 (en) * 2002-07-30 2009-08-04 Tokyo Electron Limited Method and apparatus for forming insulating layer
US7323400B2 (en) * 2004-08-30 2008-01-29 Micron Technology, Inc. Plasma processing, deposition and ALD methods
TW200830941A (en) * 2007-01-15 2008-07-16 Jehara Corp Plasma generating apparatus
KR100907438B1 (ko) * 2007-01-15 2009-07-14 (주)제이하라 플라즈마 발생장치
WO2008088110A1 (en) * 2007-01-15 2008-07-24 Jehara Corporation Plasma generating apparatus
US20090151636A1 (en) * 2007-11-16 2009-06-18 Applied Materials, Inc. Rpsc and rf feedthrough
US20090218315A1 (en) * 2008-02-28 2009-09-03 Steven Shannon Method and system for controlling center-to-edge distribution of species within a plasma
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
WO2015094596A1 (en) * 2013-12-17 2015-06-25 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN105390362B (zh) * 2015-10-29 2017-06-23 上海华力微电子有限公司 用于更换压力控制阀上的o型圈的***及方法
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10923818B2 (en) 2017-09-21 2021-02-16 City University Of Hong Kong Dual-fed dual-frequency hollow dielectric antenna
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN109633362A (zh) * 2019-01-30 2019-04-16 努比亚技术有限公司 智能终端设计电路、智能终端及智能终端短路检测方法

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3105403B2 (ja) 1994-09-14 2000-10-30 松下電器産業株式会社 プラズマ処理装置
JPH10134996A (ja) * 1996-10-31 1998-05-22 Nec Corp プラズマ処理装置

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002058441A1 (fr) * 2001-01-18 2002-07-25 Tokyo Electron Limited Dispositif plasma et procede de generation de plasma
US7243610B2 (en) 2001-01-18 2007-07-17 Tokyo Electron Limited Plasma device and plasma generating method
JP2006179499A (ja) * 2006-02-20 2006-07-06 Matsushita Electric Ind Co Ltd 整合回路、プラズマ処理方法及び装置
JP2008305736A (ja) * 2007-06-11 2008-12-18 Tokyo Electron Ltd プラズマ処理装置、プラズマ処理装置の使用方法およびプラズマ処理装置のクリーニング方法
KR20120117872A (ko) * 2010-01-15 2012-10-24 도쿄엘렉트론가부시키가이샤 스위쳐블 중성빔 소스
KR101989629B1 (ko) * 2010-01-15 2019-06-14 도쿄엘렉트론가부시키가이샤 스위쳐블 중성빔 소스

Also Published As

Publication number Publication date
KR20000077195A (ko) 2000-12-26
US6355573B1 (en) 2002-03-12
TW506004B (en) 2002-10-11
KR100394484B1 (ko) 2003-08-09
JP3482904B2 (ja) 2004-01-06

Similar Documents

Publication Publication Date Title
JP3482904B2 (ja) プラズマ処理方法及び装置
JP3438696B2 (ja) プラズマ処理方法及び装置
JP3374796B2 (ja) プラズマ処理方法及び装置
US6653791B1 (en) Method and apparatus for producing uniform process rates
US6518705B2 (en) Method and apparatus for producing uniform process rates
US6439154B2 (en) Plasma processing apparatus for semiconductors
TWI734185B (zh) 電漿處理裝置
TW201833976A (zh) 雙頻率表面波電漿源
JP4013674B2 (ja) プラズマドーピング方法及び装置
CN110770880B (zh) 等离子处理装置
JP3417328B2 (ja) プラズマ処理方法及び装置
US7481904B2 (en) Plasma device
JP2000164394A (ja) プラズマ処理装置
US20030166343A1 (en) Plasma etching method
JP3485013B2 (ja) プラズマ処理方法及び装置
US6432730B2 (en) Plasma processing method and apparatus
KR101932859B1 (ko) 플라즈마 소스 및 이를 이용한 플라즈마 발생장치
JP3374828B2 (ja) プラズマ処理方法及び装置
JPH1074597A (ja) プラズマ発生装置およびプラズマ処理装置
JP2002280198A (ja) プラズマ処理装置及び方法
JP2000195843A (ja) プラズマ処理方法及び装置
JPH06120140A (ja) 半導体製造方法および装置
JPH11330049A (ja) プラズマ処理方法及び装置
JPH1174251A (ja) プラズマ処理方法及び装置
JPH11241189A (ja) 誘導結合放電エッチング装置

Legal Events

Date Code Title Description
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20071017

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081017

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091017

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091017

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101017

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111017

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121017

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131017

Year of fee payment: 10

LAPS Cancellation because of no payment of annual fees