KR101900527B1 - 반도체 프로세싱을 위한 e-빔 강화된 디커플링 소스 - Google Patents

반도체 프로세싱을 위한 e-빔 강화된 디커플링 소스 Download PDF

Info

Publication number
KR101900527B1
KR101900527B1 KR1020137029903A KR20137029903A KR101900527B1 KR 101900527 B1 KR101900527 B1 KR 101900527B1 KR 1020137029903 A KR1020137029903 A KR 1020137029903A KR 20137029903 A KR20137029903 A KR 20137029903A KR 101900527 B1 KR101900527 B1 KR 101900527B1
Authority
KR
South Korea
Prior art keywords
substrate
delete delete
plasma
substrate support
electron beam
Prior art date
Application number
KR1020137029903A
Other languages
English (en)
Other versions
KR20140024375A (ko
Inventor
패트릭 홀랜드 존
엘. 지. 벤트젝 피터
하미트 싱
준 시나가와
아키라 코시시
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/084,325 external-priority patent/US20120258555A1/en
Priority claimed from US13/104,923 external-priority patent/US8900402B2/en
Priority claimed from US13/357,044 external-priority patent/US20120258607A1/en
Priority claimed from US13/356,962 external-priority patent/US9111728B2/en
Priority claimed from US13/357,003 external-priority patent/US9177756B2/en
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Priority claimed from PCT/US2012/032920 external-priority patent/WO2012142038A1/en
Publication of KR20140024375A publication Critical patent/KR20140024375A/ko
Application granted granted Critical
Publication of KR101900527B1 publication Critical patent/KR101900527B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/08Apparatus, e.g. for photomechanical printing surfaces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • General Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

반도체 기판 프로세싱 시스템은 프로세싱 챔버 및 프로세싱 챔버에 기판을 지지하도록 정의된 기판 지지부를 포함한다. 시스템은 또한 프로세싱 챔버로부터 분리된 것으로 정의된 플라즈마 챔버를 포함한다. 플라즈마 챔버는 플라즈마를 발생시키도록 정의된다. 시스템은 또한 프로세싱 챔버에 플라즈마 챔버를 유체로 연결하는 복수의 유체 송신 통로들을 포함한다. 복수의 유체 송신 통로들은 플라즈마 챔버로부터 프로세싱 챔버로 플라즈마의 반응성 성분들을 공급하도록 정의된다. 시스템은 프로세싱 챔버 내의 이온-대-라디칼 밀도 비율을 차례로 제어하기 위해 프로세싱 챔버 내의 전자 에너지 분포를 제어하도록 프로세싱 챔버로 전자들을 주입하기 위한 전자 주입 디바이스를 더 포함한다. 일 실시예에서, 전자 빔 소스는 기판 지지부 위 및 그에 걸쳐 프로세싱 챔버를 통해 전자 빔을 송신하도록 정의된다.

Description

반도체 프로세싱을 위한 E-빔 강화된 디커플링 소스{E-BEAM ENHANCED DECOUPLED SOURCE FOR SEMICONDUCTOR PROCESSING}
반도체 디바이스 제작의 박막 프로세싱을 위해 이용된 플라즈마 들은 플라즈마에서 이온과 라디칼 밀도들을 별개로 제어하기 위한 능력 부재로 인해 건식 에칭을 위한 가장 바람직한 조건을 종종 달성할 수 없다. 예를 들어, 몇몇 애플리케이션들에서, 플라즈마 에칭을 위한 바람직한 조건들은 라디칼 밀도를 일정한 레벨로 유지하면서, 동시에 플라즈마 내에서 이온 밀도를 증가함으로써 달성될 것이다. 그러나, 이러한 타입의 독립적인 라디칼 밀도 대 이온 밀도 제어는 박막 프로세싱을 위해 통상적으로 사용된 공통적인 플라즈마 소스를 사용하여 달성될 수 없다. 본 발명은 이러한 문맥 내에 있다.
일 실시예에서, 반도체 기판 프로세싱 시스템이 개시된다. 시스템은 프로세싱 챔버와 프로세싱 챔버 내에 기판을 지지하도록 정의된 기판 지지부를 포함한다. 시스템은 프로세싱 챔버로부터 분리된 것으로 정의된 플라즈마 챔버를 또한 포함한다. 플라즈마 챔버는 플라즈마를 발생시키도록 정의된다. 시스템은 프로세싱 챔버에 플라즈마 챔버를 유체로 연결하는 복수의 유체 송신 통로들을 또한 포함한다. 복수의 유체 송신 통로들은 플라즈마 챔버로부터 프로세싱 챔버로 플라즈마의 반응성 성분들을 공급하도록 정의된다. 시스템은, 전자 빔을 발생시키고 프로세싱 챔버를 통해 기판 지지부 위 및 기판 지지부에 걸쳐 전자빔을 송신하도록 정의된 전자 빔 소스를 더 포함한다.
일 실시예에서, 반도체 기판을 프로세싱하기 위한 방법이 개시된다. 방법은 프로세싱 영역에 대해 노출되는 기판 지지부 상에 기판을 배치시키기 위한 작동을 포함한다. 방법은 프로세싱 영역으로부터 분리된 플라즈마 발생 영역에서 플라즈마를 발생시키기 위한 동작을 또한 포함한다. 방법은 플라즈마 발생 영역으로부터 프로세싱 영역으로 플라즈마의 반응성 성분들을 공급하기 위한 동작을 또한 포함한다. 방법은 기판 위의 프로세싱 영역으로 전자들을 주입하기 위한 동작을 더 포함하고, 그에 의해, 주입된 전자들은 기판의 프로세싱에 영향을 주기 위해 프로세싱 영역 내의 이온 밀도를 변경한다.
일 실시예에서, 반도체 기판 프로세싱 시스템이 개시된다. 시스템은 프로세싱 챔버 및 프로세싱 챔버에 기판을 지지하도록 정의된 기판 지지부를 포함한다. 시스템은 프로세싱 챔버로부터 분리된 것으로 정의된 플라즈마 챔버를 또한 포함한다. 플라즈마 챔버는 플라즈마를 발생시키기 위해 정의된다. 시스템은 프로세싱 챔버에 플라즈마 챔버를 유체로 연결하는 복수의 유체 송신 통로들을 또한 포함한다. 복수의 유체 송신 통로들은 플라즈마 챔버로부터 프로세싱 챔버로 플라즈마의 반응성 성분들을 공급하도록 정의된다. 시스템은 기판 지지부로부터 분리된 프로세싱 챔버 내에 배치된 전극을 더 포함한다. 전력 공급부는 전극에 전기적으로 연결된다. 전력 공급부는 전극으로부터 프로세싱 챔버로 전자들을 자유롭게 하기 위해 (liberate) 전극에 전기 전력을 공급하도록 정의된다.
일 실시예에서, 본 발명의 일 실시예에 따른 반도체 기판을 프로세싱하기 위한 방법이 개시된다. 방법은 프로세싱 영역에 대한 노출부 내의 기판 지지부 상에 기판을 배치시키기 위한 동작을 포함한다. 방법은 프로세싱 영역으로부터 분리된 플라즈마 발생 영역에서 플라즈마를 발생시키기 위한 동작을 또한 포함한다. 방법은 플라즈마 발생 영역으로부터 프로세싱 영역으로 플라즈마의 반응성 성분들을 공급하기 위한 동작을 또한 포함한다. 방법은 기판 지지부로부터 분리된 프로세싱 영역 내에 배치된 하나 이상의 전극들에 전력을 공급하기 위한 동작을 더 포함한다. 하나 이상의 전극들에 공급된 전력은 기판의 프로세싱에 영향을 주기 위해 프로세싱 영역 내의 이온 밀도를 변경하도록 하나 이상의 전극들로부터 프로세싱 영역 안으로 전자들을 주입한다.
일 실시예에서, 반도체 기판 프로세싱 시스템이 개시된다. 시스템은 프로세싱 챔버 및 프로세싱 챔버에 기판을 지지하도록 정의된 기판 지지부를 포함한다. 시스템은 프로세싱 챔버로부터 분리된 것으로 정의된 플라즈마 챔버를 또한 포함한다. 플라즈마 챔버는 플라즈마를 발생시키기 위해 정의된다. 시스템은 프로세싱 챔버에 플라즈마 챔버를 유체로 연결하는 복수의 유체 송신 통로를 또한 포함한다. 복수의 유체 송신 통로들은 플라즈마 챔버로부터 프로세싱 챔버로 플라즈마의 반응성 성분들을 공급하도록 정의된다. 시스템은 복수의 유체 송신 통로들 내에서 추가적인 플라즈마를 발생시키기 위해, 복수의 유체 송신 통로들에 전력을 전달하도록 정의된 복수의 전력 전달 컴포넌트들을 더 포함한다. 복수의 유체 송신 통로들은 프로세싱 챔버에 추가적인 플라즈마의 반응성 성분들을 공급하도록 정의된다.
일 실시예에서, 방법은 반도체 기판을 프로세싱하도록 개시된다. 방법은 프로세싱 영역에 대한 노출부 내의 기판 지지부 상에 기판을 배치시키기 위한 작동을 포함한다. 방법은 프로세싱 영역으로부터 분리된 플라즈마 발생 영역에서 플라즈마를 발생시키기 위한 동작을 또한 포함한다. 방법은 플라즈마 발생 영역으로부터 복수의 유체 송신 통로들을 통해 프로세싱 영역으로 플라즈마의 반응성 성분들을 공급하기 위한 동작을 또한 포함하고, 그에 의해, 플라즈마의 반응성 성분들은 기판의 프로세싱에 영향을 준다. 방법은 복수의 유체 송신 통로들에서 추가적인 플라즈마를 발생시키기 위한 동작을 더 포함한다. 방법은 복수의 유체 송신 통로들로부터 프로세싱 영역으로 추가적인 플라즈마의 반응성 성분들을 공급하기 위한 동작을 또한 포함하고, 그에 의해, 추가적인 플라즈마의 반응성 성분들은 기판의 프로세싱에 영향을 준다.
본 발명의 다른 양태들 및 이점들은 본 발명을 예로서 설명하는 첨부되는 도면들과 함께 취해진, 다음의 상세한 설명으로부터 더 명백해질 것이다.
도 1은 본 발명의 일 실시예에 따른, 기판 프로세싱 챔버로부터 분리된 것으로 정의된 플라즈마 챔버를 이용하는 반도체 기판 프로세싱 시스템의 간략화된 개략도를 도시한다.
도 2는 본 발명의 일 실시예에 따른, 튜브 홀 지름의 함수로서 기판 프로세싱 챔버에서 1.0E11cc-1 이온 밀도를 획득하도록 필요한 이온 소스 영역 내의 이온 밀도의 플롯을 도시하며, 여기서, 튜브들이 이온 소스 영역과 기판 프로세싱 챔버 사이의 운송 수단들을 나타낸다.
도 3a는 본 발명의 일 실시예에 따른, 플라즈마-구동된 기판 프로세싱 시스템의 수직 단면도를 도시한다.
도 3b는 본 발명의 일 실시예에 따른, 도 3a에 참조된 바와 같은 수평 단면도A-A를 도시한다.
도 3c는 본 발명의 일 실시예에 따른, 상단판에 걸친 유체 송신 통로들 사이의 간격이 감소되는, 도 3b의 수평 단면도의 변화를 도시한다.
도 3d는 본 발명의 일 실시예에 따른, 상단판에 걸친 유체 송신 통로들 사이의 간격이 증가되는, 도 3b의 수평 단면도의 변화를 도시한다.
도 3e는 본 발명의 일 실시예에 따른, 상단판에 걸친 유체 송신 통로들 사이의 간격이 불균일한, 도 3b의 수평 단면도의 변화를 도시한다.
도 3f는 본 발명의 일 실시예에 따른, 전자 빔 공급부가 공통 방향으로, 기판 지지부 위에 및 기판 지지부에 걸쳐, 기판 프로세싱 영역을 통하여 복수의 공간적으로 분리된 전자 빔들을 송신하도록 정의된 시스템 구성 내의 기판 지지부의 상면도를 도시한다.
도 3g는 본 발명의 일 실시예에 따른, 복수의 전자 빔 소스들이 각각의 복수의 방향들로, 기판 지지부 위에 및 기판 지지부에 걸쳐, 기판 프로세싱 영역을 통하여 복수의 공간적으로 분리된 전자 빔들을 송신하도록 정의된 시스템 구성 내의 기판 지지부의 상면도를 도시한다.
도 3h는 본 발명의 일 실시예에 따른, 도 3g의 복수의 전자 빔 소스들의 작동을 위한 래스터화된 시간적인 시퀀스를 도시한다.
도 4a는 본 발명의 일 실시예에 따른, 중공 캐소드 디바이스로서 정의된 예시적인 전자 빔 소스를 도시한다.
도 4b는 본 발명의 일 실시예에 따른, 도전성 그리드의 정면도를 도시한다.
도 5a는 본 발명의 일 실시예에 따른, DC-바이어스된 표면 전자 빔 소스를 구현하는 플라즈마-구동된 기판 프로세싱 시스템의 변화를 도시한다.
도 5b는 본 발명의 일 실시예에 따른, 전극의 근접도를 도시한다.
도 6a는 본 발명의 일 실시예에 따른, 평면의 DC-바이어스된 표면 전자 빔 소스를 구현하는 플라즈마-구동된 기판 프로세싱 시스템의 변화를 도시한다.
도 6b는 본 발명의 일 실시예에 따른, 평면 전극의 근접도를 도시한다.
도 7은 본 발명의 일 실시예에 따른, 추가적인 이온 발생 영역들로서 유체 송신 통로들을 사용하는 플라즈마-구동된 기판 프로세싱 시스템의 변화를 도시한다.
도 8은 본 발명의 일 실시예에 따른, 반도체 기판을 프로세싱하기 위한 방법의 흐름도를 도시한다.
도 9는 본 발명의 일 실시예에 따른, 반도체 기판을 프로세싱하기 위한 방법의 흐름도를 도시한다.
도 10은 본 발명의 일 실시예에 따른, 반도체 기판을 프로세싱하기 위한 방법의 흐름도를 도시한다.
다음의 설명에서, 복수의 특정한 세부사항들이 본 발명의 완전한 이해를 제공하도록 기재된다. 그러나, 본 발명이 이들 특정한 세부사항들 중 몇몇 또는 전부 없이도 실시될 수 있다는 것은 당업자에게 명백할 것이다. 다른 예시들에서, 잘 알려진 프로세스 동작들은 본 발명을 불필요하게 모호하게 하지 않기 위해 상세하게 설명되지 않았다.
박막 반도체 프로세싱을 위해 사용된 플라즈마 소스들은 종종, 플라즈마에서 이온 및 라디칼 밀도들을 별개로 조정하기 위한 능력부재로 인해 건식 에칭을 위한 가장 바람직한 조건을 달성할 수 없다. 복수의 애플리케이션들에서, 플라즈마 에칭을 위한 바람직한 조건들은, 실질적으로 일정한 레벨로 라디칼 밀도를 유지하면서, 동시에 이온 밀도들을 증가함으로써 달성될 것이다. 그러나, 기껏해야 박막 프로세싱을 위해 사용된 종래의 플라즈마 소스들을 통한 이러한 타입의 조정을 달성하는 것은 어렵다.
반도체 프로세싱 플라즈마 내의 이온 밀도과 라디칼 밀도의 별개의 제어를 제공하는 것의 개념은, 디커플링된 이온/라디칼 플라즈마 소스를 제공하는 것으로서 여기서 지칭된다. 디커플링된 이온/라디칼 플라즈마 소스를 제공하기 위한 일 개념은 분리된 플라즈마 소스들로부터 이온들 및 라디칼들을 주입하는 것이다. 다양한 실시예들에서, 이들 분리된 플라즈마 소스들은 공간적으로 분리되거나 시간적으로 분리될 수 있으며, 즉, 상이한 시간들에서 주로 이온 또는 주로 라디칼들을 발생시키기 위해 정의될 수 있다. 공간적 분리, 시간적 분리, 또는 이들의 조합을 사용하는 디커플링된 이온/라디칼 플라즈마 소스들의 예시들은, 2011년 5월 10일 출원된, 제목이 "복수의 디커플링된 플라즈마 소스들을 갖는 반도체 프로세싱 시스템"인, 공동 계류중인 미국 특허 출원 번호 제 13/104,923호에 설명된다.
반도체 기판의 몇몇 프로세싱을 제공하도록 플라즈마의 라디칼 종에 의존하는 플라즈마-구동된 기판 프로세싱 시스템은, 환경적 요건들, 즉, 플라즈마 챔버 및 기판 프로세싱 챔버의 압력, 온도, 가스 조성, 가스 유동율, 전력 공급부 사이의 차이들로 인해 기판 프로세싱 챔버로부터 분리된 플라즈마 챔버에서 플라즈마를 발생시킬 수 있다. 도 1은 본 발명의 일 실시예에 따른, 기판 프로세싱 챔버 (103) 로부터 분리된 것으로 정의된 플라즈마 챔버 (101) 를 이용하는 반도체 기판 프로세싱 시스템 (100) 의 간략화된 개략도를 도시한다. 시스템 (100) 에서, 플라즈마 발생 챔버 (101) 는 복수의 유체 송신 통로들 (105) 에 의해 기판 프로세싱 챔버 (103) 에 유체로 연결된다. 이러한 방식으로, 플라즈마 발생 챔버 (101) 내에서 발생된 플라즈마의 반응성 종들은 화살표들 (107) 에 의해 표시된 바와 같이, 기판 프로세싱 챔버 (103) 로 유체 송신 통로들 (105) 을 통해 이동한다. 일 실시예에서, 유체 송신 통로들 (105) 중 몇몇은 플라즈마 발생 챔버 (355) 로부터의 이온 추출을 증가시키기 위해 추가적인 전자 발생을 제공하도록 정의된 에너자이징가능 (energizable) 영역을 포함하도록 정의된다. 기판 프로세싱 챔버 (103) 로 진입할 시에, 플라즈마의 반응성 종들은 예정된 방식으로 기판 (109) 을 프로세싱하도록 기판 (109) 과 상호작용한다.
일 실시예에서, 여기에 사용된 바와 같은 용어 "기판"은 반도체 웨이퍼를 지칭한다. 그러나, 다른 실시예들에서, 여기에 사용된 바와 같은 용어 "기판"이 사파이어, GaN, GaAs 또는 SiC, 또는 다른 기판 물질들로 형성된 기판들을 지칭할 수 있고, 유리 패널들/기판들, 금속 박들, 금속 시트들, 폴리머 물질들 등등을 포함할 수 있음을 이해해야 한다. 또한, 다양한 실시예들에서, 여기서 지칭된 바와 같은 "기판"은 형태, 형상, 및/또는 크기에서 변할 수 있다. 예를 들어, 몇몇 실시예들에서, 여기서 지칭된 바와 같은 "기판"은 200mm (밀리미터) 반도체 웨이퍼, 300mm 반도체 웨이퍼, 또는 450mm 반도체 웨이퍼에 대응할 수 있다. 또한, 몇몇 실시예들에서, 여기서 지칭된 바와 같은 "기판"은 다른 형상들 중에서, 평판 디스플레이 등등을 위한 직사각형 기판과 같은, 비-원형 기판에 대응할 수 있다. 여기서 지칭된 바와 같은 "기판"은 기판 (109) 으로서 다양한 예시적인 실시예의 도면들에 나타난다.
대부분의 플라즈마 프로세싱 애플리케이션들에서, 기판 (109) 을 프로세싱하도록 플라즈마의 이온 종들과 라디칼 종들 모두를 이용하는 것이 바람직하다. 라디칼 종들이 전기적으로 중성이기 때문에, 라디칼 종들은 프로세스 가스의 흐름과 함께 플라즈마 발생 챔버 (101) 로부터 유체 송신 통로들 (105) 을 통해 기판 프로세싱 챔버 (103) 로 이동할 수 있다. 그러나, 이온 종들이 전기적으로 대전되고, 물질 표면과 접촉할 때 전기적으로 중성화될 수 있기 때문에, 플라즈마 발생 챔버 (101) 로부터 유체 송신 통로들 (105) 을 통해 기판 프로세싱 챔버 (103) 로의 이온들의 제어되고 효율적인 전달을 달성하는 것은 어려울 수 있다.
원격 소스로부터 기판 프로세싱 영역으로의 이온들의 주입이 문제가 있을 수 있다는 것은 이해되어야 한다. 위에서 언급한 바와 같이, 만약 이온 소스가 기판 프로세싱 영역으로부터 공간적으로 분리된다면, 이온들은 이온 소스와 기판 프로세싱 영역 사이의 운송 수단들을 통해 수송되어야 한다. 상이한 실시예들에서, 운송 수단들은 복수의 상이한 방식들로 정의될 수 있다. 예를 들어, 일 실시예에서, 이온 소스는 기판 프로세싱 챔버로부터 물리적으로 분리된 챔버에서 발생되고, 운송 수단들은 튜브들의 어레이에 의해 정의된다. 다른 실시예에서, 이온 소스를 발생시키기 위한 챔버는 기판 프로세싱 챔버로부터 판 어셈블리에 의해 분리되고, 운송 수단들은 판 어셈블리를 통해 형성된 복수의 스루-홀들 (through-holes) 에 의해 정의된다. 운송 수단들의 상기-언급된 예시들은 단지 예로서 제공된다는 것은 이해되어야 한다. 다른 실시예들에서, 이온/라디칼 소스, 즉, 플라즈마가 발생된 영역과 기판 프로세싱 영역 사이에 하나 이상의 유체 송신 통로들을 운송 수단들이 제공하는 한, 운송 수단들은 다른 방식들로 정의될 수 있다.
기껏해야, 2차 기판 프로세싱 챔버에서 달성가능한 이온 플럭스는 이온 소스 영역 내의 이온밀도와 보옴 (Bohm) 속도의 곱 (product) 이며, 여기서, 보옴 속도가 이온 소스 영역 내의 표면 덮개 (sheath) 의 가장자리의 이온들의 속도를 나타낸다. 표면 덮개는 이온 소스 플라즈마와 접촉하고 전기장에 있는 물질 표면 전면의 영역을 나타낸다. 그 후, 단위 시간당 기판 프로세싱 챔버에 이용가능한 이온들의 총 수는, 이온 소스 영역과 기판 프로세싱 챔버 사이의 운송 수단들 (유체 송신 통로들) 의 총 흐름 면적과 곱해진, 이온 소스 영역, 즉, 플라즈마 발생 챔버 내의 이온 플럭스의 상기 곱이다.
이온 소스 영역으로부터 주입된 이온들로 인한 플라즈마 프로세싱 챔버 내의 벽들에 대한 여분의 이온 플럭스가 운송 수단들을 통해 이온 소스 영역으로부터 주입된 이온 플럭스와 동일한 밸런스 수학식이 존재하며, 다음과 같다:
Figure 112013102642182-pct00001
여기서, nupper=이온 소스 영역 내의 이온들의 밀도수, Δn=이온 소스 영역으로부터 기판 프로세싱 챔버 내의 이온들의 농도 수의 증가분, vbohm _ upper = 이온 소스 영역 내의 이온들의 보옴 속도, Aopen = 이온 소스 영역과 기판 프로세싱 챔버 사이의 운송 수단들의 총 면적, Aloss _ lower = 기판 프로세싱 챔버의 벽들의 총 면적, 및 vbohm_lower = 기판 프로세싱 챔버 내의 이온들의 보옴 속도.
보옴 속도는 수학식 2에 의해 주어진다.
Figure 112013102642182-pct00002
여기서, vbohm = 이온의 보옴 속도, Ts = 이온의 온도 (eV), 그리고 mi = 이온의 질량 (amu).
수학식 1에 따르면, 기판 프로세싱 챔버 내의 이온 밀도를 최대화하는 것은 다음 중 하나 이상에 의해 달성될 수 있다: 1) 이온 소스 영역 내의 이온들의 밀도수를 증가, 즉, nupper 증가, 2) 이온 소스 내의 전자 온도를 증가, 즉, vbohm _ upper 증가, 그리고 3) 이온 소스와 기판 프로세싱 챔버 사이의 운송 수단들에서의 이온 손실들을 최소화.
이온 소스 영역과 기판 프로세싱 챔버 사이의 운송 수단들의 총 흐름 면적은 꽤 작을 수 있다. 예를 들어, 작은 튜브 지름들 또는 작은 수의 작은 지름의 홀들은 고압 이온 소스 영역과 저압 기판 프로세싱 챔버 사이의 적절한 압력 차이를 유지하도록 필요할 수 있다. 그러므로, 큰 가스 밀도들, 즉, 높은 가스 압력들이 충분한 양의 전자 생산을 달성하도록 이온 소스 영역에서 필요할 수 있기 때문에, 이온 소스 영역과 기판 프로세싱 챔버 사이의 운송 수단들의 흐름 영역을 간단하게 증가시키는 것은 실현가능하지 않다.
부가적으로, 이온 소스 영역과 기판 프로세싱 챔버 사이의 운송 수단들의 작은 흐름 면적을 보상하도록 필요한 정도까지 이온 소스 영역 내의 이온 수 밀도와 전자 온도를 증가시키는 것은 어려울 수 있다. 도 2는 본 발명의 일 실시예에 따른, 튜브 홀 지름의 함수로서 기판 프로세싱 챔버 내의 1.0E11cc-1 이온 밀도를 획득하도록 필요한 이온 소스 영역 내의 이온 밀도의 플랏을 도시하며, 여기서, 튜브들이 이온 소스 영역과 기판 프로세싱 챔버 사이의 운송 수단들을 나타낸다. 도 2에 도시된 바와 같이, 만약 1.0E11cc-1 의 이온 밀도들이 기판 프로세싱 챔버 내의 기판 위에 필요했다면, 1.0E12cc-1 와 비슷한 이온 소스 영역 내의 이온 밀도를 갖는 것은 필요할 수 있다. 2mm (밀리미터) 보다 작은 지름을 갖는 튜브 운송 수단들을 갖는 기판 프로세싱 챔버에서 1.0E11cc-1 와 비슷한 이온 밀도 레벨을 달성하는 것은 매우 특수화되고 종종 비실시적인 환경들에서 가능할 수 있다.
기판 프로세싱 챔버에서 이온 플럭스와 라디칼 플럭스를 별개로 제어하기 위한 부가적인 문제는, 특히 기판 프로세싱 챔버가 낮은 온도에서 동작될 때, 낮은 전자 온도의 존재 시에 이온 플럭스를 발생시킨다는 것이다. 예를 들어, 기판 위에 에피택시얼 (epitaxial) 층을 형성하는 원자층 증착 프로세스인 원자층 에칭 (ALE) 프로세스와 같이, 기판에 대해 노출되는 매우 낮은 전자 온도를 유지함으로써 기판에 최소한의 "손상"을 요구하는 프로세스에서 이온 플럭스를 발생시키는 것은 어려울 수 있다. 예시로써, 박막이 낮은 전자 온도에서 증착되었던 ALE 프로세스에는 더 높은 전자 온도를 요구하는 물질의 단일층을 제거하기 위한 프로세싱 단계가 후속한다는 것을 고려한다. 이 예시에서, 앞의 ALE 프로세스 단계의 낮은 전자 온도가 주어지면, 단일층 제거 프로세스 단계를 달성하도록 이온 플럭스를 조정하는 것은 어려울 수 있다.
기판 프로세싱 챔버에서 전자 에너지 분포 함수 (EEDF) 를 제어하기 위한 능력을 갖는 것은 그 자체가 기판 프로세싱 챔버 내의 라디칼 밀도에 관하여 이온 밀도의 분리된 (디커플링된) 제어를 제공하는 수단들이라는 것이 이해되어야 한다. 좀더 구체적으로, 낮은 에너지 해리 프로세스들을 피하고, 더 높은 에너지 이온화 또는 해리성 이온화 프로세스들을 선호하는 전자들의 패밀리들을 "선택"하도록 EEDF를 제어하기 위한 능력을 갖는 것은, 기판 프로세싱 챔버 내의 라디칼 플럭스에 관한 이온 플럭스를 증가시킬 수 있거나, 기판 프로세싱 챔버 내의 유익하지 않은 라디칼들의 플럭스에 관한 이온 플럭스를 증가시킬 수 있다.
몇몇의 플라즈마-구동된 기판 프로세싱 시스템 실시예들은 이온과 라디칼 제어를 위한 멀티플렉싱된 이온과 라디칼 소스들을 활용하는 플라즈마 소스들 내의 적절하고 큰 이온 플럭스를 제공하도록 여기에 개시되어 있다. 또한, 여기에 개시된 플라즈마-구동된 기판 프로세싱 시스템 실시예들은 ALE와 같이, 그러한 큰 이온 플럭스를 요구할 수 있는 애플리케이션들에서 비-손상 이온과 전자 에너지들을 갖는 큰 이온 플럭스를 달성하도록 제공된다.
기판 프로세싱 챔버로의 전자 빔 주입은 전하 추가를 통한 "벌크" 전자 온도와 플라즈마 전위를 낮추도록 작동한다. 그러므로, 기판 프로세싱 챔버 내의 EEDF는 전자 빔 주입을 통해 변경될 수 있다. 좀더 구체적으로, 기판 프로세싱 영역으로의 전자 빔 주입은, 낮은 에너지 전자 충격 프로세스들, 예를 들어, 해리성 전자 충격 프로세스들의 레이트를 떨어뜨리는 효과를 갖는다. 약 100eV (전자볼트) 위의 전자 에너지들에서, 대전된 입자 생산을 포함하는 전자 상호 작용 프로세스들은 대전된 입자 생산 없이 전자 상호 작용 프로세스들보다 훨씬 큰 단면을 갖는다. 그러므로, 고-에너지 전자들 또는 빔-주입된 전자들의 패밀리는 고-에너지 전자 상호 작용 프로세스들을 통해 플라즈마 방전을 유지할 수 있다. 여기에 개시된 플라즈마-구동된 기판 프로세싱 시스템 실시예들은 기판에 이용 가능한 이온 플럭스를 최대화하고 기판 프로세싱 챔버 내의 이온과 라디칼 플럭스 제어의 디커플링을 제공하기 위한 다양한 타입들의 전자 주입 기술들을 구현한다.
도 3a는 본 발명의 일 실시예에 따른, 플라즈마-구동된 기판 프로세싱 시스템 (300) 의 수직 단면도를 도시한다. 시스템 (300) 은 상단 구조 (301B), 바닥 구조 (301C), 상단 구조 (301B) 와 바닥 구조 (301C) 사이에서 연장하는 측벽들 (301A) 에 의해 형성된 챔버 (301) 를 포함한다. 챔버 (301) 소스는 기판 (109) 이 기판 지지부 (303) 상에 고정된 방식으로 홀딩되고 플라즈마 (359) 의 반응성 성분들 (325) 에 노출되는 기판 프로세싱 영역 (302) 을 둘러싼다. 기판 프로세싱 영역 (302) 은 상단판 (315) 에 의해 플라즈마 발생 챔버 (355) 로부터 분리된다. 동작 동안에, 플라즈마 (359) 의 반응성 성분들 (325) 은 화살표들 (361) 에 의해 표시된 바와 같이 기판 프로세싱 영역 (302) 에 도달하도록 상단판 (315) 내의 복수의 유체 송신 통로들 (316) 을 통해 이동한다.
다양한 실시예들에서, 챔버 측벽들 (301A), 상단 구조 (301B), 및 바닥 구조 (301C) 는, 챔버 (301) 물질들이 그들이 플라즈마 프로세싱 동안에 노출될 압력 차이들 및 온도들을 구조적으로 견딜 수 있고 플라즈마 프로세싱 환경과 화학적으로 호환가능한 한, 예시로써, 스테인리스 스틸 또는 알루미늄과 같은, 상이한 물질들로부터 형성될 수 있다. 또한, 일 실시예에서, 챔버 측벽들 (301A), 상단 구조 (301B), 및 바닥 구조 (301C) 는 전기적으로 도전성 물질로 형성되고 전기 접지 (357) 에 전기적으로 연결된다.
도 3a의 실시예에서, 플라즈마 발생 챔버 (355) 는 상단판 (315) 위에 형성된다. 플라즈마 발생 챔버 (355) 는 상단판 (315) 을 통해 프로세스 가스 소스 (319) 와 유체 송신 통로들 (316) 의 각각 모두와 유체 연통된다. 또한, 시스템 (300) 은 플라즈마 (359) 로 플라즈마 발생 챔버 (355) 내의 프로세스 가스를 변환하도록 배치된 코일 어셈블리 (351) 를 포함한다. 시스템 (300) 에서, 챔버 상단판 (301B) 은 코일 어셈블리 (351) 로부터 플라즈마 발생 챔버 (355) 로의 RF (무선 주파수) 전력의 송신에 적합한 윈도우 (353) 를 포함한다. 일 실시예에서, 윈도우 (353) 는 석영으로부터 형성된다. 다른 실시예에서, 윈도우 (353) 는 탄화 규소와 같은, 세라믹 물질로부터 형성된다.
일 실시예에서, RF 전력은 하나 이상의 RF 전력 소스들 (391a-391n) 로부터 코일 어셈블리 (351) 에 전달된다. 각각의 RF 전력 소스들 (391a-391n) 은 코일 어셈블리 (351) 로의 효율적인 RF 전력 송신을 보장하도록 각각의 매칭 회로 (393) 를 통해 연결된다. 복수의 RF 전력 소스들 (391a-391n) 의 경우, 복수의 RF 전력 소스들 (391a-391n) 의 각각이 RF 전력 주파수 및/또는 진폭에 관하여 독립적으로 제어될 수 있음을 이해해야 한다. 일 실시예에서, 하나 이상의 RF 전력 소스들 (391a-391n) 은 2MHz, 27MHz, 60MHz, 400kHz, 또는 이들의 조합 중 어느 하나의 주파수를 갖는 RF 전력을 공급하도록 정의된다.
도 3a의 유도성 전력 전달 시스템이 예시로써 도시되는 것은 이해되어야 한다. 다른 실시예에서, 플라즈마 발생 챔버 (355) 는 상이한 방식들로 플라즈마 (359) 를 발생시키기 위해 정의될 수 있다. 예를 들어, 일 실시예에서, 플라즈마 발생 챔버 (355) 는, 프로세스 가스 소스 (319) 로부터 전달된 프로세스 가스를 플라즈마 (359) 로 변환하도록, 전력 (직류 (DC), RF, 또는 이들의 조합 중 어느 하나) 이 일 쌍의 전극들 사이에서 챔버 (355) 를 통해 송신되도록, 챔버 (355) 의 플라즈마 (359) 발생 영역이 하나 이상의 전력 공급부들에 전기적으로 연결된 일쌍의 이격된 전극들 사이에 노출되는 용량성 결합된 챔버로서 정의될 수 있다. 또 다른 실시예에서, 플라즈마 발생 챔버 (355) 는 마이크로파-구동된 챔버로서 정의될 수 있다.
플라즈마 (359) 의 발생을 위한 특정한 전력 전달 실시예에 관계 없이, 시스템 (300) 의 동작 동안에, 프로세스 가스 소스 (319) 에 의해 공급된 프로세스 가스들이 플라즈마 발생 챔버 (355) 내에서 플라즈마 (359) 로 변형된다는 것이 이해되어야 한다. 그 결과, 플라즈마 (359) 의 반응성 성분들 (325) 은, 기판 지지부 (303) 상에 배치된 경우, 플라즈마 발생 챔버 (355) 로부터 상단판 (315) 의 복수의 유체 송신 통로들 (316) 을 통해 기판 지지부 (303) 위의 기판 프로세싱 영역 (302) 으로 및 기판 상으로 이동한다.
일 실시예에서, 상단판 (315) 의 유체 송신 통로들로부터 기판 프로세싱 영역 (302) 으로 진입할 시에, 프로세스 가스들은 주변의 관들 (327) 을 통해 흐르고, 화살표들 (381) 에 의해 표시된 바와 같이, 배출 펌프 (331) 에 의해 배출 포트들 (329) 을 통하여 배출된다. 일 실시예에서, 흐름 스로틀링 디바이스 (333) 는 기판 프로세싱 영역 (302) 으로부터 프로세스 가스들의 유동율을 제어하도록 제공된다. 또한, 일 실시예에서, 흐름 스로틀링 디바이스 (333) 는 화살표들 (335) 에 의해 표시된 바와 같이 주변의 관들 (327) 로부터 멀리 그리고 주변의 관들 (327) 을 향해 이동가능한 링 구조로서 정의된다.
일 실시예에서, 플라즈마 발생 챔버 (355) 는 약 일 토르 (T) 까지의 내부 압력으로 동작하도록 정의된다. 또한, 일 실시예에서, 기판 프로세싱 영역 (302) 은 약 1밀리토르 (mT) 로부터 약 100mT로 연장하는 압력 범위 내에서 동작된다. 예를 들어, 일 실시예에서, 시스템 (300) 은 약 1000scc/sec (초당 표준 세제곱 센티미터) 의 프로세스 가스 스루풋 유동율, 및 약 10 밀리초 (ms) 의 기판 프로세싱 영역 (302) 내의 반응성 성분들 (325) 의 체류 시간과 함께, 약 10mT의 압력을 기판 프로세싱 영역 (302) 에 제공하도록 동작된다. 위의 예시적인 동작 조건들이 시스템 (300) 으로 달성될 수 있는 본질적으로 무한한 수의 동작 조건들 중 하나를 나타낸다는 것이 이해되어야 한다. 위의 예시적인 동작 조건들은 시스템 (300) 의 가능한 동작 조건들 상에 대한 임의의 제한을 함축하거나 나타내지 않는다.
기판 지지부 (303) 는 기판 프로세싱 영역 (302) 에 대해 노출되는 기판 (109) 을 지지하도록 배치된다. 기판 지지부 (303) 는 기판 (109) 에 대한 플라즈마 프로세싱 동작들의 실행 동안에 상부에 기판을 홀딩하도록 정의된다. 도 3a의 예시적인 실시예들에서, 기판 지지부 (303) 는 챔버 (301) 의 벽 (301A) 에 부착된 캔틸레버된 아암 (cantilevered arm) (305) 에 의해 홀딩된다. 그러나, 다른 실시예들에서, 기판 지지부 (303) 는 챔버 (301) 내에 배치된 챔버 (301) 의 바닥판 (301C) 또는 다른 부재에 부착될 수 있다. 다양한 실시예들에서, 기판 지지부 (303) 는, 기판 지지부 (303) 물질이 플라즈마 프로세싱 동안에 노출될 그것이 압력 차이들과 온도들을 견딜 수 있고 플라즈마 프로세싱 환경과 화학적으로 호환가능한 한, 예시로서, 스테인리스 스틸, 알루미늄 또는 세라믹과 같은 상이한 물질들로부터 형성될 수 있다.
일 실시예에서, 기판 지지부 (303) 는 기판 지지부 (303) 를 향해, 및 그에 의해 기판 지지부 (303) 위에 홀딩된 기판 (109) 을 향해 이온들을 끌어당기기 위한 전기장을 발생시키기 위한 바이어스 전극 (307) 을 포함한다. 좀더 구체적으로, 기판 지지부 (303) 내의 전극 (307) 은 기판 지지부 (303) 와 상단판 (315) 사이의 기판 프로세싱 영역 (302) 에 걸쳐 바이어스 전압을 인가하도록 정의된다. 전극 (307) 에 의해 발생된 바이어스 전압은, 유체 송신 통로들 (316) 을 통해 기판 프로세싱 영역 (302) 안으로 그리고 기판 (109) 을 향하여 플라즈마 발생 챔버 (355) 내에 형성된 이온들을 끌어당기는 것을 제공한다.
일 실시예에서, 기판 지지부 (303) 는, 냉각 유체가 기판 (109) 의 온도 제어를 유지하도록 플라즈마 프로세싱 동작들 동안 흘려질 수 있는 복수의 냉각 채널들 (309) 을 포함한다. 또한, 일 실시예에서, 기판 지지부 (303) 는 기판 지지부 (303) 에 관하여 기판 (109) 을 리프트 및 하강시키도록 정의된 복수의 리프팅 (lifting) 핀들 (311) 을 포함할 수 있다. 일 실시예에서, 도어 (door) 어셈블리 (313) 는 챔버 (301) 내로/로부터 기판 (109) 의 삽입 및 제거를 가능하게 하도록 챔버 벽 (301A) 내에 배치된다. 부가적으로, 일 실시예에서, 기판 지지부 (303) 는 플라즈마 프로세싱 동작들 동안 기판 지지부 (303) 상에 기판 (109) 을 단단하게 홀딩하기 위한 정전기장을 발생시키기 위해 탑재된 정전 척으로서 정의된다.
상단판 (315) 은, 기판 지지부 (303) 상에 위치결정될 때 기판 (109) 으로부터 이격되고 그 위에 위치결정되기 위해, 기판 지지부 (303) 로부터 이격되고 그 위에 있는 챔버 (301) 내에 배치된다. 기판 프로세싱 영역 (302) 은 기판 지지부 (303) 상에 위치결정될 때, 기판 (109) 상에 존재하도록, 상단판 (315) 과 기판 지지부 (303) 사이에 존재한다.
일 실시예에서, 기판 지지부 (303) 는 화살표들 (383) 에 의해 표시된 바와 같이, 상단판 (315) 과 기판 지지부 (303) 사이의 기판 프로세싱 영역 (302) 에 걸쳐 수직으로 측정된 바와 같은 프로세스 갭 (gap) 거리가 약 1cm로부터 약 10cm까지 연장하는 범위 내에서 조정가능하도록, 수직 방향으로 이동가능하다. 일 실시예에서, 기판 지지부 (303) 는 약 5cm의 프로세스 갭 거리를 제공하도록 조정된다. 또한, 일 실시예에서, 상단판 (315) 에 관한 기판 지지부 (303) 의 수직 위치 및 기판 지지부 (303) 에 고나한 상단판 (315) 의 수직 위치는 플라즈마 프로세싱 동작의 실행 동안 또는 플라즈마 프로세싱 동작들 사이 중 어느 하나에서 조정가능하다.
프로세스 갭 거리의 조정은 유체 송신 통로들 (316) 로부터 발산하는 이온 플럭스의 동적 범위의 조정을 제공한다. 상세하게, 기판 (109) 에 도달하는 이온 플럭스는 프로세스 갭 거리를 증가시킴으로써 감소될 수 있고, 프로세스 갭 거리를감소시킴으로써 증가될 수 있다. 일 실시예에서, 프로세스 갭 거리가 기판 (109) 에서 이온 플럭스에서의 조정을 달성하도록 조정될 때, 플라즈마 발생 챔버 (355) 를 통한 프로세스 가스 유동율은 일치하여 조정될 수 있으며, 그에 의해, 기판 (109) 에서 라디칼 플럭스의 제어에서의 독립 레벨을 제공한다. 부가적으로, 유체 송신 통로들 (316) 로부터 기판 프로세싱 영역 (302) 으로 발산하는 이온과 라디칼 플럭스들과 결합한 프로세스 갭 거리가 기판 (109) 에 걸쳐 및 기판 (109) 에서의 실질적으로 균일한 이온 밀도와 라디칼 밀도를 위해 제공하도록 제어되는 것이 이해되어야 한다.
상단판 (315) 을 통한 유체 송신 통로들 (316) 의 구성이 어떻게 플라즈마 (359) 의 반응성 성분들 (325) 이 기판 프로세싱 영역 (302) 내에 분배되는지에 영향을 줄 수 있다는 것이 이해되어야 한다. 일 실시예에서, 유체 송신 통로들 (316) 은 하부 기판 지지부 (303) 에 관하여 실질적으로 균일하게 분배된 방식으로 상단판 (315) 을 통해 형성된다. 도 3b는 본 발명의 일 실시예에 따른, 도 3a에서 참조된 바와 같은 수평 단면도 A-A를 도시한다. 도 3b에 도시된 바와 같이, 유체 송신 통로들 (316) 은 하부 기판 지지부 (303) 에 관하여 실질적으로 균일하게 분배된 방식으로 상단판 (315) 을 통해 형성된다.
상단판 (315) 에 걸친 유체 송신 통로들 (316) 사이의 간격이 상이한 실시예들 사이에서 변경될 수 있음을 인식해야 한다. 도 3c는 본 발명의 일 실시예에 따른, 상단판 (315) 에 걸친 유체 송신 통로들 (316) 사이의 간격이 감소되는, 도 3b의 수평 단면도의 변화를 도시한다. 도 3d는 본 발명의 일 실시예에 따른, 상단판 (315) 에 걸친 유체 송신 통로들 (316) 사이의 간격이 증가되는, 도 3b의 수평 단면도의 변화를 도시한다. 도 3e는 본 발명의 일 실시예에 따른, 상단판 (315) 에 걸쳐 유체 송신 통로들 (316) 사이의 간격이 불균일한, 도 3b의 수평 단면도의 변화를 도시한다.
일 예시적인 실시예에서, 상단판 (315) 을 통한 유체 송신 통로들 (316) 의 총 수는 약 50으로부터 약 200까지 연장하는 범위 내에 있다. 일 예시적인 실시예에서, 상단판 (315) 을 통한 유체 송신 통로들 (316) 의 총 수는 약 100 이다. 그러나, 상단판 (315) 을 통한 유체 송신 통로들 (316) 의 수와 구성에 대한 위에-언급된 예시적인 실시예들이 본 발명의 설명을 용이하게 하기 위해 예시로써 제공된다는 것이 이해되어야 한다. 다른 실시예들에서, 유체 송신 통로들 (316) 의 본질적으로 임의의 수 및 구성은, 기판 (109) 상에 바람직한 플라즈마 프로세싱 결과를 달성하도록, 기판 프로세싱 영역 (302) 내의 반응성 성분들 (325), 즉, 라디칼들 및/또는 이온들의 적절한 혼합물과 분배를 제공하도록 필요에 따라 상단판 (315) 을 통해 정의되고 배열될 수 있다.
도 3a의 플라즈마-구동된 기판 프로세싱 시스템 (300) 은 전자 빔 (367) 을 발생시키고 전자 빔 (367) 을 기판 지지부 (303) 에 걸쳐 및 그 위로 기판 프로세싱 영역 (302) 을 통해 송신하도록 정의된 적어도 하나의 전자 빔 소스 (363) 를 더 포함한다. 각각의 전자 빔 소스 (363) 는, 전력이 독립적으로 제어된 방식으로 각각의 전자 빔 소스 (363) 에 공급될 수 있도록, 전력 공급부 (389) 로부터 전력을 수신하도록 전기적으로 연결된다. 전자 빔 소스 (363) 의 타입에 의존하여, 전력 공급부 (389) 는 DC 전력, RF 전력, 또는 이들의 조합을 전자 빔 소스들 (363) 로 송신하도록 정의될 수 있다.
일 실시예에서, 각각의 전자 빔 소스 (363) 는 기판 (109) 을 지지하도록 정의된 기판 지지부 (303) 의 표면에 실질적으로 평행한 궤적을 따라 전자 빔 (367) 을 송신하도록 정의된다. 또한, 각각의 전자 빔 소스 (363) 는 하나 또는 복수의 전자 빔들 (367) 을 발생시키고 송신하도록 정의될 수 있다. 동작 동안에, 전자 빔 소스 (363) 는 아르곤과 같은 이온 발생 가스가 기판 프로세싱 영역 (302) 을 통해 흘려질 때 기판 프로세싱 영역 (302) 를 통해 전자 빔 (367) 을 송신하도록 동작된다. 일 실시예에서, 이온 발생 가스는 프로세스 가스 소스 (319) 로부터 공급된 프로세스 가스 혼합물의 컴포넌트이고, 기판 프로세싱 영역 (302) 으로 상단판 (315) 내의 유체 송신 통로들 (316) 을 통해 흐른다.
전자 빔 소스 (363) 에 의해 제공된 바와 같은 기판 프로세싱 영역 (302) 내로의 전자 빔 (367) 주입은, 전자 빔 (367) 의 근처의 기판 프로세싱 영역 (302) 내에서, 대전된 입자 생산, 즉, 이온 생산의 증가를 야기한다. 기판 프로세싱 영역 (302) 내로의 전자 빔 (367) 주입은, 프로세스 가스의 전자 충격 해리를 통해 라디칼들과 비교해서 전자 충격 이온화 이벤트들을 통한 실질적으로 더 복수의 이온들을 생성하기 위해 최적화된다. 일 실시예에서, 해리에 관한 이온화를 위한 이러한 선호도를 확립 방법은, 전자 빔 (367) 소스의 위치의 최적화, 프로세싱 영역 (302) 으로 주입된 복수의 전자들의 최적화, 및/또는 전자 빔 (367) 의 에너지의 최적화 중 하나 이상을 포함할 수 있다. 따라서, 기판 프로세싱 영역 (302) 을 통한 및 그것으로의 전자 빔 (367) 주입이 라디칼 밀도에 실질적으로 영향을 주지 않으면서, 이온 밀도의 증가의 공간적 및 시간적 제어를 위해 제공하며, 그에 의해, 기판 프로세싱 영역 (302) 내에서의 라디칼 밀도 제어로부터 이온 밀도 제어의 효율적인 디커플링을 제공함을 인식해야 한다.
또한, 도 3a의 실시예는 기판 지지부 (303) 위와 기판 지지부 (303) 의 주변 외부에 위치결정된 복수의 도전성 그리드들 (365) 을 포함한다. 도전성 그리드들 (365) 은 독립적으로 제어된 방식으로 도전성 그리드들 (365) 의 각각에 인가된 제어된 전압 레벨을 갖기 위해, 전력 공급부 (387) 에 전기적으로 연결된다. 특별한 실시예에 의존하여, 전력 공급부 (387) 는 DC 전력, RF 전력, 또는 그들의 조합을 도전성 그리드들 (365) 에 송신하도록 정의될 수 있다.
일 실시예에서, 도전성 그리드들 (365) 은 각각의 전자 빔 소스 (363) 의 전자 빔 출구 위에 및 출구에 위치결정된다. 이 실시예에서, 도전성 그리드 (365) 에 대한 전력은, 도전성 그리드 (365) 가 위치결정된 전자 빔 소스 (363) 로부터의 전자 빔 (367) 송신을 향상시키거나 적어도 억제하지 않기 위해 제어될 수 있다. 그리고, 양전하는, 주어진 양으로 대전된 도전성 그리드 (365) 가 활성 전자 빔 소스 (363) 에 의해 송신된 전자 빔 (367) 에 대한 전기적인 싱크로서 기능하도록, 활성 전자 빔 소스 (363) 로부터 떨어진 기판 지지부 (303) 의 먼 쪽상에 위치결정된 주어진 도전성 그리드 (365) 에 인가될 수 있다.
이전에 언급된 바와 같이, 시스템 (300) 은 하나 이상의 전자 빔 소스들 (363) 을 포함할 수 있다. 도 3f는 본 발명의 일 실시예에 따른, 전자 빔 소스 (363) 가 공통 방향으로, 기판 지지부 (303) 에 걸쳐 및 위로, 기판 프로세싱 영역 (302) 을 통하여 복수의 공간적으로 분리된 전자 빔들 (367) 을 송신하도록 정의된 시스템 (300) 구성 내의 기판 지지부 (303) 의 상면도를 도시한다. 전자 빔 소스 (363) 는 연속적인 또는 펄스된 방식 중 어느 하나로 전자 빔들 (367) 을 송신하도록 정의되고 동작될 수 있다. 또한, 전자 빔 소스 (363) 는, 전자 빔들 (367) 이 주어진 시간에서 기판 지지부 (303) 의 일부에 걸쳐 단일한 공통 방향으로 송신되도록, 공간적으로 세그먼트화된 방식으로 전자 빔들 (367) 을 송신하도록 정의되고 작동될 수 있다. 이러한 경우에서, 전자 빔 소스 (363) 는, 전자 빔 (367) 이 시간적으로-평균된 실질적으로 균일한 방식으로 기판 지지부 (303) (그리고 그 위에 배치된 기판 (109)) 의 전체에 걸쳐 집합적으로 송신되도록, 시간적으로 멀티플렉싱된 방식으로 공간적으로 세그먼트화된 전자 빔들 (367) 을 송신하도록 정의되고 동작될 수 있다. 이러한 방식으로, 전자 빔들 (367) 은 기판 지지부 (303) 와 그 위에 배치된 기판 (109) 에 걸쳐 실질적으로 균일한 이온 발생 효과를 집합적으로 제공한다.
도 3f의 실시예에서, 제 1 도전성 그리드 (365A) 는 전자 빔 소스 (363) 의 전자 빔 출구 위에 배치된다. 제 1 도전성 그리드 (365A) 는 전자 빔 소스 (363) 로부터 전자 빔 (367) 의 송신을 용이하게/향상시키기 위해 전력 공급될 수 있다. 또한, 이 실시예에서, 제 2 도전성 그리드 (365B) 는 전자 빔 소스 (363) 로부터 기판 지지부 (303) 반대편의 위치에 배치된다. 제 2 도전성 그리드 (365B) 는 양의 전하를 수신하기 위해 전력 공급부 (387) 에 전기적으로 연결된다. 이 방식으로, 제 2 도전성 그리드 (365B) 는 전자 빔 소스 (363) 로부터 기판 프로세싱 영역 (302) 에 걸쳐 단일 공통 방향으로 송신된 전자 빔들 (367) 에 대한 전기적인 싱크로서 기능한다.
도 3g는 본 발명의 일 실시예에 따른, 복수의 전자 빔 소스들 (363) 이 각각의 복수의 방향들로, 기판 지지부 (303) 에 걸쳐 및 그 위로, 기판 프로세싱 영역 (302) 을 통하여 복수의 공간적으로 분리된 전자 빔들 (367) 을 송신하도록 정의된 시스템 (300) 구성 내의 기판 지지부 (303) 의 상면도를 도시한다. 각각의 전자 빔 소스 (363) 는 연속적인 또는 펄스된 방식 중 어느 하나로 그것의 전자 빔들 (367) 을 송신하도록 정의되고 동작될 수 있다. 또한, 전자 빔 소스들 (363) 은, 전자 빔들 (367) 이 주어진 시간에서 선택된 수의 전자 빔 소스들 (363) 로부터 송신되도록, 공간적으로 래스터화된 방식으로 전자 빔들 (367) 을 송신하도록 정의되고 동작될 수 있다. 이 경우에, 전자 빔 소스들 (363) 중 하나 이상은 주어진 시간에서 동작될 수 있다. 또한, 이러한 실시예에서, 전자 빔 소스들 (363) 은, 전자 빔들 (367) 이 시간적으로-평균된 실질적으로 균일한 방식으로 기판 지지부 (303) (그리고 그 위에 배치된 기판 (109)) 의 전체에 걸쳐 집합적으로 송신되도록, 시간적으로 멀티플렉싱된 방식으로 공간적으로 래스터화된 전자 빔들 (367) 을 전송하도록 정의되고 동작될 수 있다. 일 실시예에서, 전자 빔 소스들 (363) 의 각각은 기판 지지부 (303) 의 중심 위치 위에 그것의 전자 빔 (367) 을 송신하도록 정의되고 동작된다.
부가적으로, 도 3g의 실시예에서, 도전성 그리드들 (365) 의 각각은, 도전성 그리드들 (365) 의 각각이 독립적으로 제어된 방식으로 전기적으로 (양 또는 음 중 어느 하나로) 충전될 수 있도록, 전력 (387) 에 전기적으로 연결된다. 일 실시예에서, 활성 전자 빔 소스 (363) 의 전자 빔 출구 위에 배치된 도전성 그리드 (365) 는 전자 빔 (367) 의 송신을 향상시키거나 전자 빔 (367) 의 송신을 억제하지 않기 위해 전기적으로 충전된다. 그리고, 활성 전자 빔 소스 (363) 로부터 기판 지지부 (303) 의 반대편에 위치결정된 다른 도전성 그리드 (365) 는, 이러한 도전성 그리드 (365) 가 활성 전자 빔 소스 (363) 로부터 기판 프로세싱 영역 (302) 에 걸쳐 송신된 전자 빔 (367) 에 대한 전기적 싱크로서 기능하도록, 양의 전기전하를 공급받는다.
도 3h는 본 발명의 일 실시예에 따른, 도 3g의 복수의 전자 빔 소스들 (363) 의 동작을 위한 래스터화된 시간적인 시퀀스를 도시한다. 도 3h에 도시된 바와 같이, 전자 빔 소스들 (363) 은 복수의 공간적으로 분리된 전자 빔들 (367) 을 순차적으로 송신하도록 정의된다. 예를 들어, 일 시간 (시간 1) 에, 제 1 전자 빔 소스 (363) 는 기판 지지부 (303) 에 걸쳐 그것의 전자 빔들 (367) 을 송신하도록 동작된다. 다음 시간 (시간 2) 에, 제 1 전자 빔 소스에 인접한 제 2 전자 빔 소스 (363) 는 기판 지지부 (303) 에 걸쳐 그것의 전자 빔들 (367) 을 송신하도록 동작된다. 복수의 전자 빔 소스들 (363) 중 나머지 전자 빔 소스들은 기판 지지부 (303) 에 걸쳐 그들의 전자 빔들 (367) 을 송신하기 위해 연속하는 시간들에서 순차적인 방식으로 동작된다. 결국, 마지막 전자 빔 소스 (363) 는 마지막 시간 (시간 16) 에서 기판 지지부 (303) 에 걸쳐 그것의 전자 빔들 (367) 을 송신하도록 동작된다. 그 후, 필요에 따라, 전자 빔 소스 (363) 동작의 래스터화된 시간적인 시퀀스가 반복될 수 있다. 다른 실시예들에서, 전자 빔 소스들 (363) 이 본질적으로 임의의 순서로, 예를 들어, 비-순차적인 순서, 그리고 본질적으로 임의의 시간 주기동안, 기판 프로세싱 영역 (302) 내의 이온 밀도 에 바람직한 효과를 달성하도록 활성화될 수 있다는 것이 이해되어야 한다.
도 3g 와 도 3h에 도시된 전자 빔 소스들 (363) 의 수가 예시로써 제공된다는 것이 이해되어야 한다. 일 실시예에서, 36 개의 분리된 전자 빔 소스들 (363) 은 기판 지지부 (303) 의 주변부 주위에 배치되며, 36 개의 전자 빔 소스들 (363) 의 인접한 전자 빔 소스들이 기판 지지부 (303) 의 중심에 관하여 약 10도 의 각도 차이 (θ) 로 기판 지지부 (303) 에 걸쳐 그들 각각의 전자 빔들을 송신하도록 서로 이격된다. 다른 실시예들에서, 상이한 수의 전자 빔 소스들 (363) 은 실질적으로 균일하게 이격된 방식으로 기판 지지부 (303) 의 주변부 주위에 배치될 수 있다. 기판 지지부 (303) 의 주변부 주위에 배치된 전자 빔 들의 특정한 수에 관계없이, 전자 빔 소스들 (363) 이, 전자 빔들 (367) 이 시간적으로-평균된 실질적으로 균일한 방식으로 기판 지지부 (303) (그리고 그 위에 배치된 기판 (109)) 의 전체에 걸쳐 집합적으로 송신되도록, 시간적으로 멀티플렉싱된 방식으로 그들 각각의 공간적으로 래스터화된 전자 빔들 (367) 을 송신하도록 배치되고 동작될 수 있다는 것이 이해되어야 한다. 이러한 방식으로, 전자 빔들 (367) 은 기판 지지부 (303), 및 그 위에 배치된 기판 (109) 에 걸쳐 실질적으로 균일한 이온 발생 효과를 집합적으로 제공한다.
다양한 실시예들에서, 전자 빔 소스들 (363) 은 상이한 타입들의 전자 빔 소스들로서 정의될 수 있다. 예를 들어, 몇몇 실시예들에서, 전자 빔 소스 (363) 는 중공 캐소드 디바이스들, 전자 사이클로트론 공진 디바이스들, 레이저-구동된 디바이스들, 마이크로파-구동된 디바이스들, 유도성 결합된 플라즈마 발생 디바이스들, 및 용량성 결합된 플라즈마 발생 디바이스들 중 하나 이상으로서 정의된다. 전자 빔 소스들 (363) 중 위에-언급된 타입들이 예시로써 제공된다는 것이 이해되어야 한다. 다른 실시예들에서, 기판 프로세싱 영역 (302) 내의 이온 밀도에 대한 바람직한 효과 및 기판 (109) 상의 대응하는 플라즈마 프로세싱 결과를 달성하기 위해, 전자 빔 소스들 (363) 이 기판 프로세싱 영역 (302) 을 통해 요구된 전자 빔들 (367) 을 발생시키고 송신하도록 정의되는 한, 실질적으로 임의의 타입의 전자 빔 소스들 (363) 은 시스템 (300) 내에서 사용될 수 있다.
도 4a는 본 발명의 일 실시예에 따른, 중공 캐소드 디바이스 (401) 로서 정의된 예시적인 전자 빔 소스 (363) 를 도시한다. 중공 캐소드 디바이스 (401) 는 기판 지지부 (303) 위와 기판 지지부 (303) 의 주변 외부에 위치결정된다. 중공 캐소드 디바이스 (401) 는 기판 지지부 (303) 위의 기판 프로세싱 영역 (302) 을 향하여 배향된 출구 영역 (407) 을 갖는다. 중공 캐소드 디바이스 (401) 는 둘러싼 챔버 물질들로부터 전기적으로 그리고 RF 격리되기 위해 시스템 (300) 내에 배치될 수 있다. 일 실시예에서, 중공 캐소드 디바이스 (401) 는 중공 캐소드 디바이스 (401) 의 내부 캐비터 반대 측면들 상에 배치된 일 쌍의 전극들 (403A, 403B) 을 포함한다. 전극들 (403A, 403B) 중 하나 또는 모두 전자 빔 전력 소스 (389) 로부터 전력을 수신하기 위해 전기적으로 연결된다. 전자 빔 전력 소스 (389) 는 DC 전력 공급부 (389A), RF 전력 공급부 (389B), 또는 이들의 조합을 포함하도록 정의될 수 있다. RF 전력 공급부 (389B) 는 전극들 (403A 및/또는 403B) 로부터 송신된 RF 전력의 반사를 최소화하도록 임피던스 (impedance) 매칭을 제공하기 위해 매칭 회로 (389C) 를 통하여 전극들 (403A 및/또는 403B) 에 연결된다.
일 실시예에서, 전극들 (403A, 403B) 은, 하나의 전극 (403A) 이 중공 캐소드 디바이스 (401) 의 전자 빔 (367) 출구로부터 내부의 중공 캐소드 디바이스 (401) 반대편에 배치되고, 다른 전극 (403B) 이 중공 캐소드 디바이스 (401) 의 출구의 옆에 배치되도록 위치결정된다. 그러나, 다른 실시예들에서, 전극들 (403A, 403B) 이 중공 캐소드 디바이스 (401) 의 내부 캐비터 내의 다른 위치들 및/또는 배향들에 배치될 수 있다는 것이 이해되어야 한다. 부가적으로, 다른 실시예들에서, 중공 캐소드 디바이스 (401) 는, 전력 전달 컴포넌트들이 중공 캐소드 디바이스 (401) 의 내부 내의 프로세스 가스에 전력을 운반할 수 있는 한, 플라즈마로 프로세스 가스를 변환하도록, 전극들 (403A, 403B) 이외의 전력 전달 컴포넌트들을 구현하도록 정의될 수 있다. 예를 들어, 일 실시예에서, 중공 캐소드 디바이스 (401) 의 벽들은, 전기적으로 전도성이고, 전력 전달 컴포넌트들의 기능을 제공한다. 다른 예시적인 실시예에서, 전력 전달 컴포넌트들은 중공 캐소드 디바이스 (401) 에 근접하게 배치된 코일들로서 구현된다.
또한, 중공 캐소드 디바이스 (401) 는, 전자 빔 발생을 위한 프로세스 가스가 제어된 방식으로 전자 빔 가스 공급부 (388) 로부터 중공 캐소드 디바이스 (401) 의 내부로 흘려보내질 수 있도록, 전자 빔 가스 공급부 (388) 에 연결된다. 중공 캐소드 디바이스 (401) 의 내부로 진입할 시에, 프로세스 가스는 전극들 (403A, 403B), 또는 다른 타입의 전력 전달 컴포넌트로부터 발산하는 전력에 의해 플라즈마 (405) 로 변환된다. 일 실시예에서, 2MHz, 27MHz, 60MHz, 400kHz, 또는 이들의 조합 중 어느 하나의 주파수를 갖는 RF 전력은 프로세스 가스를 플라즈마 (405) 로 변환하기 위해, 전극들 (403A, 403B), 또는 다른 타입의 전력 전달 컴포넌트에 송신된다.
부가적으로, 일 실시예에서, 중공 캐소드 디바이스 (401) 는 중공 캐소드 디바이스 (401) 의 내부 캐비터로부터 전자 추출을 향상시키기 위해 에너자이징된 전자 빔 (367) 출구 영역 (407) 을 구현하도록 정의된다. 일 실시예에서, 에너자이징가능한 출구 영역 (407) 그 자체는 다른 중공 캐소드로서 정의된다. 이러한 실시예의 일 버전에서, 출구 영역 (407) 은 DC 전력, RF 전력, 또는 이들의 조합 중 어느 하나에 의해 전력 공급될 수 있는 전극에 의해 한정 (circumscribe) 된다. 플라즈마 (405) 로부터의 반응성 성분들이 에너자이징가능한 출구 영역 (407) 을 통해 흐를 때, 전극으로부터 발산하는 전력은 출구 영역 (407) 내에서 빠른 전자들을 자유롭게 할 것이며, 이는 중공 캐소드 디바이스 (401) 로부터 송신된 전자 빔 (367) 을 향상시킬 것이다.
일 실시예에서, 도전성 그리드 (365) 는 중공 캐소드 디바이스 (401) 의 전자 빔 (367) 출구 영역 (407) 위에 배치된다. 좀더 구체적으로, 도전성 그리드 (365) 는, 중공 캐소드 디바이스 (401) 의 내부 캐비터 내의 플라즈마 (405) 로부터의 전자들의 추출을 용이하게 하기 위해 기판 지지부 (303) 위의 중공 캐소드 디비아스 (401) 의 출구 영역 (407) 과 기판 프로세싱 영역 (302) 사이에 배치된다. 도 4b는 본 발명의 일 실시예에 따른, 도전성 그리드의 정면도를 도시한다. 일 실시예에서, 도전성 그리드 (365) 는 도전성 그리드 전력 (387) 로부터 전력을 수신하기 위해 전기적으로 연결된다. 전력 소스 (387) 는 DC 전력 공급부 (387A), RF 전력 공급부 (387B), 또는 이들의 조합을 포함하도록 정의될 수 있다. RF 전력 공급부 (387B) 는 도전성 그리드 (365) 로부터의 송신된 RF 전력의 반사를 최소화도록 임피던스 매칭을 제공하기 위해 매칭 회로 (387C) 를 통하여 도전성 그리드 (365) 에 연결된다.
부가적으로, 일 실시예에서, 도전성 그리드 (365) 는 도전성 그리드 (365) 의 청결 상태를 유지하는데 사용될 수 있는, 도전성 그리드 (365) 의 독립적인 온도 제어를 제공하도록 히터 (409) 에 연결된다. 일 실시예에서, 도전성 그리드 (365) 는 중공 캐소드 디바이스 (401) 의 내부 캐비티 내에서 플라즈마 (405) 로부터 전자 플럭스를 추출하기 위한 추출 그리드로서 동작한다. 부가적으로, 일 실시예에서, 도전성 그리드 (365) 는, 도전성 그리드 (365) 상의 전기 전하의 극성이 펄스들 사이에서 양과 음 사이에서 교번되도록, 펄스된 방식으로 동작될 수 있다. 이러한 실시예에서, 도전성 그리드 (365) 는 양 전하 펄스를 공급받을 때 플라즈마 (405) 로부터 전자 플럭스를 추출하도록, 그리고 음 전하 펄스를 공급받을 때 플라즈마 (405) 로부터 이온들을 추출하도록 동작한다. 따라서, 이러한 실시예에서, 도전성 그리드 (365) 는 이온 추출 모드와 전자 추출 모드 사이에서 교번하는 방식으로 펄스될 수 있다. 또한, 도전성 그리드의 이 펄스는 기판 프로세싱 영역 (302) 내의 주기적인 평균된 널 (null) 전류, 및 이온 구동된 이온화 프로세스들에 대한 접근을 제공한다. 부가적으로, 중공 캐소드 디바이스 (401) 의 출구 (407) 로부터 기판 지지부 (303) 반대편에 배치된 다른 도전성 그리드 (365) 는, 중공 캐소드 디바이스 (401) 에 의해 송신된 전자 빔 (367) 에 대한 전기적 싱크를 제공하기 위해 양전하를 갖도록 동작될 수 있다.
도 5a는 본 발명의 일 실시예에 따른, DC-바이어스된 표면 전자 빔 소스 (503) 를 구현하는 플라즈마-구동된 기판 프로세싱 시스템 (300) 의 변화를 도시한다. 도 5a의 시스템 (300A) 은 전자 빔 소스들 (363) 과 도전성 그리드들 (365) 대신에 DC-바이어스된 전자 빔 소스 (503) 를 포함한다. 설명의 용이함을 위해, DC-바이어스된 전자 빔 소스 (503) 는 이하 전극 (503) 으로서 지칭된다. 전극 (503) 은, 전극 (503) 의 표면이 기판 프로세싱 영역 (302) 에 노출되도록, 전기적으로 절연하는 부재 (501) 내에 배치된다. 또한, 전극 (503) 은 기판 지지부 (303) 로부터 분리된 프로세싱 챔버 (301) 내에 배치된다. 일 실시예에서, 전극 (503) 은 프로세싱 챔버 (301) 의 기판 프로세싱 영역 (302) 내에서 기판 지지부 (303) 의 주위 외부와 기판 지지부 (303) 위에 배치된 도전성 밴드로서 정의된다. 일 실시예에서, 전극 (503) 은 기판 지지부 (303) 주위의 기판 프로세싱 영역 (302) 을 한정하는 밴드 또는 스트랩 (strap) 으로서 정의된다.
시스템 (300A) 에서, 전극 (503) 은 전력 공급부 (505) 에 전기적으로 연결된다. 일 실시예에서, 전력 공급부 (505) 는 전극 (503) 을 향해 기판 프로세싱 영역 (302) 내에서 이온들을 끌어당기고 전극 (503) 으로부터 기판 프로세싱 영역 (302) 으로 전자들을 자유롭게 하도록 전기적 전력을 전극 (503) 에 인가하도록 정의된다. 다른 실시예들에서, 전력 (505) 로부터 전극 (503) 에 공급된 전기적 전력은 DC 전력, RF 전력, 또는 DC 와 RF 전력들의 조합일 수 있다. 일 실시예에서, 음의 전압은 전력 공급부 (505) 에 의해 전극 (503) 에 인가된다. 그러나, 다른 실시예들에서, 전력 공급부 (505) 에 의해 전극 (503) 에 인가된 전압은 음 또는 양 중 어느 하나일 수 있다. 예를 들어, 일 실시예에서, 전력 공급부 (505) 는, 전극 (503) 에 양 전압을 공급하도록 정의되며, 그에 의해 전자들을 끌어당기고 양으로 대전된 이온들을 저지한다. 또한, 일 실시예에서, 전력 공급부 (505) 는 펄스된 방식 및/또는 교번하는 극성 방식으로 전극 (503) 에 전력을 인가하도록 정의된다.
도 5b는 본 발명의 일 실시예에 따른, 전극 (503) 의 근접도를 도시한다. 일 실시예에서, 전극 (503) 은, 입사 이온 플럭스 (Jion) 가 기판 프로세싱 영역 (302) 을 향한 방향으로 전극 (503) 의 표면을 유지하는 전자 플럭스 (Je -), 즉, 전자 빔을 발생시키는 DC-바이어스된 표면을 제공한다. 일 실시예에서, 전극 (503) 위에 입사되는 이온 플럭스 (Jion) 내의 이온들은 Si 이온들과 같이, 비-불활성이며 패시베이션한다 (passivating). 이러한 실시예에서, 전극 (503)의 DC-바이어스된 표면은 라디칼 상호 작용들을 통해 생산된 패시베이션 종들을 보상하도록 이용될 수 있다. 일 실시예에서, 전극 (503) 은 DC 전력, RF 전력, 또는 이들의 조합 중 어느 하나를 이용하여 전력 공급될 수 있다. 또한, 일 실시예에서, 낮은 주파수 RF 전력이 전극 (503) 에 공급된다.
부가적으로, 일 실시예에서, 전극 (503) 은 기판 프로세싱 영역 (302) 내에서 중공 캐소드 효과를 생성하도록 사이징된다. 좀더 구체적으로, 만약 전극 (503) 의 DC-바이어스된 표면이 기판 프로세싱 영역 (302) 을 한정하는 충분히 큰 밴드 또는 스트랩으로서 정의된다면, 전극 (503) 으로부터 방출된 전자들이 충분한 에너지로 전극 (503) 의 반대편 부분에 도달하도록, 중공 캐소드 구성은 기판 프로세싱 영역 (302) 그 자체 내에 형성될 수 있으며, 그에 의해 기판 프로세싱 영역 (302) 내의 이온화를 추가적으로 향상시킨다.
도 6a는 본 발명의 일 실시예에 따른, 평면의 DC-바이어스된 표면 전자 빔 소스 (601) 를 구현하는 플라즈마-구동된 기판 프로세싱 시스템 (300) 의 변화를 도시한다. 도 3a의 시스템 (300) 에 관하여, 도 6a의 시스템 (300B) 은 전자 빔 소스들 (363) 과 도전성 그리드들 (365) 대신에 평면의 DC-바이어스된 전자 빔 소스 (601) 를 포함한다. 설명의 용이함을 위해, DC-바이어스된 전자 빔 소스 (601) 는 이하 평면 전극 (601) 으로써 지칭된다. 일 실시예에서, 평면 전극 (601) 은 기판 프로세싱 영역 (302) 내의 기판 지지부 (303) 위에 배치된 평면의 도전성 세그먼트 (601) 로서 정의된다. 일 실시예에서, 평면 전극 (601) 은 도 5a-5b 에 관하여 상술된 바와 같이 전극 (503) 과 결합하여 시스템 (300B) 내에서 구현된다.
예를 들어, 일 실시예에서, 평면 전극 (601) 은 기판 프로세싱 영역 (302) 과 마주하도록, 기판 지지부 (303) 와 마주하는 배향으로 상단판 (315) 의 바닥 표면 상에 정의된다. 일 실시예에서, 평면 전극 (601) 은 절연 부재 (603) 에 의해 상단판 (315) 으로부터 전기적으로 절연된다. 또한, 이러한 실시예에서, 평면 전극 (601) 및 절연 부재 (603) 각각이 평면 전극 (601) 과 절연 부재 (603) 모두가 플라즈마 발생 챔버 (355) 로부터 기판 프로세싱 영역 (302) 으로의 반응성 성분들의 흐름과 간섭하는 것을 피하도록, 상단판 (315) 에 존재하는 복수의 유체 송신 통로들 (316) 과 정렬하여 형성된 복수의 스루-홀들을 포함한다.
시스템 (300B) 에서, 평면 전극 (601) 은, 전력 공급부 (605) 에 전기적으로 연결된다. 일 실시예에서, 전력 공급부 (605) 는 평면 전극 (601) 을 향하여 기판 프로세싱 영역 (302) 내의 이온들을 끌어당기고 평면 전극 (601) 으로부터 기판 프로세싱 영역 (302) 으로 전자들을 자유롭게 하기 위해, 평면 전극 (601) 에 음의 전압을 인가하도록 정의된다. 일 실시예에서, 전력 공급부 (605) 는 펄스된 방식으로 평면 전극 (601) 에 전력을 인가하도록 정의된다. 또한, 일 실시예에서, 전력 공급부 (605) 는 평면 전극 (601) 에 양의 전압을 공급하도록 정의되며, 그에 의해, 전자들을 끌어당기고 양으로 대전된 이온들을 저지한다.
도 6b는 본 발명의 일 실시예에 따른, 평면 전극 (601) 의 근접도를 도시한다. 일 실시예에서, 평면 전극 (601) 은, 입사 이온 플럭스 (Jion) 가 기판 프로세싱 영역 (302) 을 향한 방향으로 평면 전극 (601) 의 표면을 유지하는 전자 플럭스 (Je-), 즉, 전자 빔을 발생시키는 DC-바이어스된 표면을 제공한다. 일 실시예에서, 평면 전극 (601) 위에 입사된 이온 플럭스 (Jion) 내의 Si 이온들과 같은 이온들은 비-불활성이며 패시베이션한다. 이러한 실시예에서, 평면 전극 (601) 의 DC-바이어스된 표면은 라디칼 상호 작용들을 통해 생산된 패시베이션 종들을 보상을 하도록 이용될 수 있다. 일 실시예에서, 평면 전극 (601) 은 DC 전력, RF 전력, 또는 이들의 조합 중 어느 하나를 이용하여 전력 공급될 수 있다. 또한, 일 실시예에서, 낮은 주파수 RF 전력은 전극 (601) 에 공급된다.
이전에 설명된 바와 같이 플라즈마 발생 챔버 (355) 와 기판 프로세싱 영역 (302) 사이의 유체 송신 통로들 (316) 의 전체 흐름 면적은 꽤 작을 수 있다. 예를 들어, 유체 송신 통로들 (316) 은 높은 압력 플라즈마 발생 챔버 (355) 와 낮은 압력 기판 프로세싱 영역 (302) 사이에서 적절한 압력 차이를 유지하도록 작은 튜브 지름들 또는 작은 수의 작은 지름 홀들을 포함할 수 있다. 그러므로, 큰 가스 밀도들, 즉, 높은 가스 압력들이 충분한 양의 전자 생산을 달성하기 위해 플라즈마 발생 챔버 (355) 안에서 필요할 수 있기 때문에, 플라즈마 발생 챔버 (355) 로부터 기판 프로세싱 영역 (302) 으로의 높은 이온 플럭스를 획득하기 위해 유체 송신 통로들 (316) 의 흐름 면적을 단순히 증가시키는 것은 실현 가능하지 않을 수 있다.
유체 송신 통로들 (316) 과 관련된 이온 전달 효율도에 기하학적 제한들을 극복하도록, 본 발명의 일 실시예는 유체 송신 통로들 (316) 을 추가의 이온 발생 영역들, 즉, 플라즈마 부스터들 (boosters) 로서 사용한다. 도 7은 본 발명의 일 실시예에 따른, 추가적인 이온 발생 영역들로서 유체 송신 통로들 (316) 을 사용하는 플라즈마-구동된 기판 프로세싱 시스템 (300) 의 변화를 도시한다. 도 7의 실시예에서, 도 3a의 시스템 (300) 의 상단판 (315) 은 에너자이징가능한 상단판 (701) 에 의해 대체된다. 상단판 (315) 에 관해, 에너자이징가능한 상단판 (701) 은 플라즈마 발생 챔버 (355) 로부터 기판 프로세싱 영역 (302) 으로 연장하기 위해, 에너자이징가능한 상단판 (701) 을 통해 형성된 복수의 유체 송신 통로들 (316) 을 포함한다. 그러나, 에너자이징가능한 상단판 (701) 은 복수의 유체 송신 통로들 (316) 의 수의 각각에 근접하게 배치된 복수의 전력 전달 컴포넌트들 (702) 을 포함한다. 전력 전달 컴포넌트들 (702) 는 유체 송신 통로들 (316) 내에서 추가적인 플라즈마 (704) 를 발생시키기 위해 유체 송신 통로들 (316) 에 전력을 전달하도록 정의된다. 유체 송신 통로들 (316) 은 플라즈마 (359) 와 추가적인 플라즈마 (704) 의 반응성 성분들을 기판 프로세싱 영역 (302) 에 공급하도록 정의된다.
또한, 시스템 (300C) 은 DC 전력, RF 전력, 또는 이들의 조합을 전력 전달 컴포넌트들 (702) 에 공급하도록 정의된 전력 소스 (703) 를 포함한다. 전력 전달 컴포넌트들 (702) 은 차례로, 유체 송신 통로들 (316) 내의 프로세스 가스를 추가적인 플라즈마 (704) 로 변환하기 위해 유체 송신 통로들 (316) 을 통해 전력을 송신하도록 기능한다. 또한, 일 실시예에서, 시스템 (300C) 은 유체 송신 통로들 (316) 의 각각에 2차 프로세스 가스의 공급을 위해 제공하기 위해 유체 송신 통로들 (316) 의 각각과 유체 연통하는 프로세스 가스 소스 (709) 를 포함할 수 있다. 전력 전달 컴포넌트들 (702) 로부터 송신된 전력은 추가적인 플라즈마 (704) 로 2차 프로세스 가스를 변환하도록 사용될 수 있다. 그러나, 다른 실시예에서, 시스템 (300C) 은 2차 프로세스 가스 소스 (709) 를 사용할 수 없다. 이러한 실시예에서, 전력 전달 컴포넌트들 (702) 은 플라즈마 발생 챔버 (355) 로부터 유체 송신 통로들 (316) 을 통해 흐르는 프로세스 가스를 추가적인 플라즈마 (704) 로 변환하도록 정의된다. 이러한 실시예에서, 유체 송신 통로들 (316) 은 플라즈마 증폭 영역으로서 동작된다.
시스템 (300C) 에서, 유체 송신 통로들 (316), 전력 전달 컴포넌트들 (702), 및 전력 소스 (703) 가 유체 송신 통로들 (316) 내에서 상이한 타입들의 추가적인 플라즈마 (704) 발생 영역들을 형성하기 위해 복수의 방식들로 정의될 수 있다는 것이 이해되어야 한다. 예를 들어, 다양한 실시예들에서, 유체 송신 통로들 (316), 전력 전달 컴포넌트들 (702), 및 전력 소스 (703) 는, 유체 송신 통로들 (316) 이 흐름-통과 중공 캐소드들, 흐름-통과 용량성 결합된 영역들, 흐름-통과 유도성 결합된 영역들, 흐름-통과 마그네트론 구동된 영역들, 흐름-통과 레이저 구동된 영역들, 또는 이들의 조합으로서 동작하도록 정의될 수 있다. 다시 말해서, 다양한 실시예들에서, 각각의 유체 송신 통로들 (316) 은, 중공 캐소드, 용량성 결합된 소스, (유체 송신 통로를 둘러싸는 유도성 코일을 갖는) 유도성 소스 중 어느 하나로써 마그네트론 효과를 통해, 또는 포커싱된 레이저 광으로 유체 송신 통로 내의 포인트들의 조사를 통하는 바와 같이 다른 종류의 이온화 수단들을 통해 동작될 수 있다. 일 실시예에서, 유체 송신 통로들 (316) 은 상당한 양의 이온화를 생산하도록 충분한 양의 높은 에너지 전자들을 달성하기 위해 중공 캐소드 매체로서 또는 유체 송신 통로들 (316) 로의 직접 전자 빔 주입을 이용하여 동작될 수 있다.
유체 송신 통로들 (316) 내의 추가적인 플라즈마 (704) 의 발생이 추가적인 플라즈마 (704) 로부터 기판 프로세싱 영역 (302) 으로의 이온들의 방해받지 않는 직결선 송신을 제공하며, 그에 의해, 기판 프로세싱 영역 (302) 으로 진입하는 이온 플럭스의 제어된 증가를 제공한다는 것이 이해되어야 한다. 부가적으로, 일 실시예에서, 전력 전달 컴포넌트들 (702) 은 유체 송신 통로들 (316) 내에 형성된 추가적인 플라즈마 (704) 니에서 이온 발생을 향상시키기 위해, 유체 송신 통로들 (316) 을 통해 전자 빔들을 발생시키고 이들 전자 빔들을 송신하도록 정의된 전자 빔 소스들을 포함한다.
부가적으로, 일 실시예에서, 시스템 (300C) 은 플라즈마 발생 챔버 (355) 로부터 유체 송신 통로들 (316) 을 통해 기판 프로세싱 영역 (302) 으로 대전된 종들을 드라이빙 (drive) 시키기 위해 플라즈마 발생 챔버 (355) 에 배치된 전극 (711) 을 선택적으로 포함할 수 있다. 또한, 전극 (711) 은 유체 송신 통로들 (316) 내의 추가적인 플라즈마 (704) 로부터 기판 프로세싱 영역 (302) 으로 대전된 종들을 드라이빙시키도록 기능할 수 있다. 전극 (711) 이 DC 전력, RF 전력, 또는 이들의 조합으로 공급될 전력 소스에 연결될 수 있는 것이 이해되어야 한다. 또한, 전극 (711) 상의 전하의 극성은 규정된 방식으로 제어되고 변경될 수 있다. 예를 들어, 일 실시예에서, 전력은 펄스된 방식으로 전극 (711) 에 공급될 수 있다.
부가적으로, 일 실시예에서, 시스템 (300C) 은 도 5a 및 5b 에 관하여 이전에 설명된 바와 같이 전극 (503) 및 대응하는 전력 소스 (505) 를 선택적으로 포함할 수 있다. 또한, 일 실시예에서, 시스템 (300C) 은 도 3a 및 4b에 관하여 이전에 설명된 바와 같이 전극 빔 소스들 (363), 도전성 그리드들 (365), 전력 소스들 (387 및 389), 및 전자 빔 가스 공급부 (388) 를 선택적으로 포함할 수 있다. 그리고, 일 실시예에서, 시스템 (300C) 은 도 6a 및 6b에 관하여 이전에 설명된 바와 같이 평면 전극 (601) 과 절연 부재 (603) 을 임의로 포함할 수 있다. 이 실시예에서, 평면 전극 (601) 은 유체 송신 통로들 (316) 로부터 기판 프로세싱 영역 (302) 으로 대전된 종들을 끌어당기기 위해 기판 프로세싱 영역 (302) 내에 배치된 추출 그리드로서 동작될 수 있다. 평면 전극 (601) 에 공급된 전기적 전하의 극성에 의존하여, 유체 송신 통로들 (316) 로부터 기판 프로세싱 영역 (302) 으로 끌어당겨진 대전된 종들은, 전자들 또는 양으로 대전된 이온들 중 어느 하나를 포함할 수 있다. 전극 (711) 에 관해, 전극 (503) 및 평면 전극 (601) 의 각각이 DC 전력, RF 전력, 또는 이들의 조합을 이용하여 공급될 수 있다는 것이 이해되어야 한다. 또한, 전극 (711) 에 관해, 전극 (503) 및 평면 전극 (601) 의 각각이 독립적으로 제어된 방식, 예를 들어, 연속적으로 전력공급된 방식 또는 펄스된 방식으로 동작될 수 있다.
일 실시예에서, 플라즈마 발생 챔버 (355) 내의 원격 플라즈마 (359) 소스는 기판 프로세싱 영역 (302) 내의 이온-대-라디칼 플럭스 제어에 영향을 주기 위한 전자 빔 소스로서 사용될 수 있다. 만약 플라즈마 발생 챔버 (355) 내의 원격 플라즈마 (359) 소스가 기판 프로세싱 영역 (302) 에 관하여 실질적으로 음의 전위로 동작된다면, 전자들은 플라즈마 발생 챔버 (355) 의 음의 전위로부터 유체 송신 통로들 (316) 을 통해 기판 프로세싱 영역 (302) 의 양의 전위로 가속될 수 있다. 에너제틱한 전자들이 유체 송신 통로들 (316) 을 통해 그리고 기판 프로세싱 영역 (302) 으로 이동할 때, 에너제틱한 전자들은 단순한 해리 프로세스들이 선호되지 않는 에너지 레지메에서의 이온화를 야기한다. 또한, 만약 에너제틱한 전자들이 그들이 유체 송신 통로들 (316) 을 통해 이동할 때 흩어지면, 특히 2차 전자 발생 계수가 매우 높을 수 있고 종종 전자 상호 작용 프로세스들과 관련된 이온 발생 계수보다 더 높게 주어지면, 에너제틱한 전자들은 부가적인 2차 전자들을 발생시킬 수 있다.
다른 종류들의 원격 플라즈마 (359) 소스들이 플라즈마 발생 챔버 (355) 로부터 기판 프로세싱 영역 (302) 으로의 전자 빔 추출을 위해 사용될 수 있다는 것이 이해되어야 한다. 예를 들어, 몇몇 실시예들은 DC 바이어싱과 결합하여 용량성 결합된 플라즈마 (359) 소스 발생 영역, 유도성 결합된 플라즈마 (359) 소스 발생 영역, 또는 마이크로파 플라즈마 (359) 소스 발생 영역으로서 플라즈마 발생 영역 (355) 을 동작할 수 있다. 또한, 만약 플라즈마 발생 챔버 (355) 와 기판 프로세싱 영역 (302) 사이의 전기적인 전위 차이가 플라즈마 발생 챔버 (355) 로부터 기판 프로세싱 영역 (302) 으로의 전자 빔 추출에 부적절하면, 전자 추출 그리드는 플라즈마 발생 챔버 (355) 로부터 2차 플라즈마 소스 영역으로의, 예를 들어, 추출된 전자들이 더 복수의 이온들을 생산할 수 있는 유체 송신 통로들 (316) 내의 전자들을 추출하는데 사용될 수 있다.
상기의 관점에서, 기판 프로세싱 영역 (302) 으로의 전자 빔 주입의 공간적 및/또는 시간적인 멀티플렉싱이 기판 프로세싱 영역 (302) 내의 라디칼 플럭스 대 이온 플럭스의 조절을 용이하게 한다는 것이 이해되어야 한다. 또한, 주로 라디칼 성분 플라즈마 소스와 결합한 전자 빔 여기된 플라즈마 소스의 사용이 임의의 다른 수단들에 의해 달성가능하지 않은 이온 플럭스-대-라디칼 플럭스 비율 제어의 동적 범위를 제공할 수 있다는 것이 인식되어야 한다.
도 8은 본 발명의 일 실시예에 따른, 반도체 기판을 프로세싱하기 위한 방법 (800) 의 흐름도를 도시한다. 일 실시예에서, 도 3a 내지 4b의 플라즈마-구동된 기판 프로세싱 시스템 (300) 은 도 8의 방법을 수행하도록 사용될 수 있다. 방법 (800) 은 프로세싱 영역에 대해 노출되는 기판 지지부 상에 기판을 배치시키기 위한 작동 (801) 을 포함한다. 또한, 방법 (800) 은 프로세싱 영역으로부터 분리된 플라즈마 발생 영역에서 플라즈마를 발생시키기 위한 동작 (803) 을 포함한다. 또한, 방법 (800) 은 플라즈마 발생 영역으로부터 프로세싱 영역으로 플라즈마의 반응성 성분들을 공급하기 위한 동작 (805) 을 포함한다. 방법 (800) 은 기판 위의 프로세싱 영역으로 전자들을 주입하기 위한 동작 (807) 을 더 포함하고, 그에 의해, 주입된 전자들이 기판의 프로세싱에 영향을 주기 위해 프로세싱 영역 내의 이온 밀도를 변경한다.
방법 (800) 의 일 실시예에서, 프로세싱 영역으로 전자들을 주입하는 것은 기판의 상단부 표면에 실질적으로 평행한 궤적을 따라 전자 빔을 송신하는 것을 포함한다. 이러한 실시예의 일 예시에서, 전자 빔의 궤적은 기판 지지부의 주변 외부와 기판 지지부 위의 제 1 위치로부터 기판 지지부의 주변 외부와 기판 지지부 위의 제 2 위치로 선형 방식으로 연장한다. 이러한 실시예의 다른 예시에서, 방법 (800) 은, 전자 빔의 궤적이 전기적 스티어링 장에 의해 제어되어 비-선형 방식으로 프로세싱 영역을 통하여 연장하도록, 프로세싱 영역 안에 전기적 스티어링 장을 발생하는 것을 포함할 수 있다. 또한, 일 실시예에서, 방법 (800) 은, 도전성 그리드가 궤적을 따라 송신된 전자 빔에 대한 전기적 싱크로서 기능하도록, 제 2 위치, 즉, 전자 빔 종결 위치에서, 도전성 그리드에 양의 전기적 전하를 인가하기 위한 동작을 포함한다. 방법 (800) 의 다양한 실시예들에서, 전자들은 펄스된 방식, 또는 연속적인 방식으로 프로세싱 영역으로 주입될 수 있다.
일 실시예에서, 프로세싱 영역으로 전자들을 주입하기 위한 동작 (807) 은 기판의 상단부 표면 위에 및 그에 걸쳐 프로세싱 챔버를 통해 복수의 공간적으로 분리된 전자 빔들을 송신하는 단계를 포함한다. 이러한 실시예의 일 예시에서, 복수의 공간적으로 분리된 전자 빔들이 기판의 상단부 표면 위에 및 그에 걸쳐 실질적으로 평행한 방식으로 송신되도록, 복수의 공간적으로 분리된 전자 빔들의 각각은 공통 방향으로 송신된다. 이러한 실시예의 다른 예시에서, 복수의 공간적으로 분리된 전자 빔들은 기판의 상단부 표면에 실질적으로 평행하고 및 기판의 상단부 표면에 걸쳐 및 위에서 상이한 복수의 방향들로 송신된다. 또한, 일 실시예에서, 전자들이 기판에 대해 노출되는 프로세싱 영역 전반에 걸쳐 시간적으로-평균된 실질적으로 균일한 방식으로 주입되도록 복수의 공간적으로 분리된 전자 빔들 중 상이한 전자 빔들은 상이한 시간들에서 송신된다. 또한, 방법 (800) 은 기판을 향해 주입된 전자들의 결과로서 발생된 이온들을 끌어당기기 위해 기판 지지부로부터 프로세싱 영역에 걸쳐 바이어스 전압을 인가하기 위한 동작을 포함할 수 있다.
도 9는 본 발명의 일 실시예에 따른, 반도체 기판을 프로세싱하기 위한 방법 (900) 의 흐름도를 도시한다. 일 실시예에서, 도 5a 내지 6b의 플라즈마-구동된 기판 프로세싱 시스템들 (300A, 300B), 또는 이들의 조합은 도 9의 방법을 수행하기 위해 사용될 수 있다. 방법 (900) 은 프로세싱 영역에 대한 노출부 내의 기판 지지부 상에 기판을 배치시키기 위한 동작 (901) 을 포함한다. 또한, 방법 (900) 은 프로세싱 영역으로부터 분리된 플라즈마 발생 영역에서 플라즈마를 발생시키기 위한 동작 (903) 을 포함한다. 또한, 방법 (900) 은 플라즈마 발생 영역으로부터 프로세싱 영역으로 플라즈마의 반응성 성분들을 공급하기 위한 동작 (905) 을 포함한다. 방법 (900) 은 기판 지지부로부터 분리된 프로세싱 영역 내에 배치된 하나 이상의 전극들에 전력을 공급하기 위한 동작 (907) 을 더 포함하며, 그에 의해, 하나 이상의 전극들에 공급된 전력은 기판의 프로세싱에 영향을 주기 위해 프로세싱 영역 내의 이온 밀도를 변경하기 위해, 하나 이상의 전극들로부터 프로세싱 영역으로 전자들을 주입한다.
일 실시예에서, 하나 이상의 전극들은 도 5a의 전극 (503) 과 같이, 프로세싱 영역에 대해 노출되는 기판 지지부 위와 기판 지지부의 주변부 외부에 배치된 도전성 밴드를 포함한다. 일 실시예에서, 도전성 밴드는 기판 지지부의 주변을 한정하는 연속적인 구조로서 형성된다. 또한, 일 실시예에서, 하나 이상의 전극들은 도 6a의 평면 전극 (601) 과 같이, 프로세싱 영역에 대해 노출되는 기판 지지부에 걸쳐 및 그 위에 배치된 평면의 도전성 세그먼트를 포함한다. 또한, 일 실시예에서, 하나 이상의 전극들은 프로세싱 영역에 대해 노출되는 기판 지지부 위와 기판 지지부의 주변부 외부에 배치된 도전성 밴드와, 프로세싱 영역에 대해 노출되는 기판 지지부 위에 및 그에 걸쳐 배치된 평면의 도전성 세그먼트를 모두 포함한다.
일 실시예에서, 동작 (907) 에서 하나 이상의 전극들에 전력을 공급하는 것은 직류 전력, 무선 주파수 전력, 또는 직류 전력과 무선 주파수 전력의 조합을 하나 이상의 전극들에 공급하는 것을 포함한다. 또한, 일 실시예에서, 전력은 펄스된 방식으로 하나 이상의 전극에 공급된다. 다른 실시예에서, 전력은 연속적인 방식으로 하나 이상의 전극에 공급된다. 또한, 일 실시예에서, 동작 (907) 에서 하나 이상의 전극들에 전력을 공급하는 것은 하나 이상의 전극들 상의 전기적 전하의 극성을 교번하는 것을 포함한다. 부가적으로, 일 실시예에서, 방법은 기판을 향한 주입된 전자들의 결과로서 발생된 이온들을 끌어당기기 위해 기판 지지부로부터 프로세싱 영역에 걸쳐 바이어스 전압을 인가하기 위한 동작을 포함할 수 있다.
도 10은 본 발명의 일 실시예에 따른, 반도체 기판을 프로세싱하기 위한 방법 (1000) 의 흐름도를 도시한다. 일 실시예에서, 플라즈마-구동된 기판 프로세싱 시스템 (300C) 은 도 10의 방법을 수행하기 위해 사용될 수 있다. 일 실시예에서, 플라즈마-구동된 기판 프로세싱 시스템 (300C) 은 도 10의 방법을 수행하기 위해 플라즈마-구동된 기판 프로세싱 시스템들 (300, 300A, 및 300B) 중 하나 이상의 컴포넌트들과 결합될 수 있다. 방법 (1000) 은 프로세싱 영역에 대해 노출되는 기판 지지부 상에 기판을 배치하기 위한 동작 (1001) 을 포함한다. 또한, 방법 (1000) 은 프로세싱 영역으로부터 분리된 플라즈마 발생 영역에서 플라즈마를 발생시키기 위한 동작 (1003) 을 포함한다. 또한, 방법 (1000) 은 플라즈마 발생 영역으로부터 복수의 유체 송신 통로들을 통해 프로세싱 영역으로 플라즈마의 반응성 성분들을 공급하기 위한 동작 (1005) 을 포함하고, 그에 의해 플라즈마의 반응성 성분들은 기판의 프로세싱에 영향을 준다. 방법 (1000) 은 복수의 유체 송신 통로들에서 추가적인 플라즈마를 발생시기키 위한 동작 (1007) 을 더 포함한다. 방법 (1000) 은 복수의 유체 송신 통로들로부터 프로세싱 영역으로 추가적인 플라즈마의 반응성 성분들을 공급하기 위한 동작 (1009) 을 더 포함하고, 그에 의해, 추가적인 플라즈마의 반응성 성분들은 기판의 프로세싱에 영향을 준다.
일 실시예에서, 동작 (1007) 에서 추가적인 플라즈마를 발생시키는 것은 흐름-통과 중공 캐소드들, 흐름-통과 용량성 결합된 영역들, 흐름-통과 유도성 결합된 영역들, 흐름-통과 마그네트론 구동된 영역들, 흐름-통과 레이저 구동된 영역들, 또는 그것들의 조합 중 어느 하나로서 복수의 유체 송신 통로들을 동작시키는 것을 포함한다. 또한, 일 실시예에서, 동작 (1007) 에서 복수의 유체 송신 통로들에서 추가적인 플라즈마를 발생시키는 것은 직류 전력, 무선 주파수 전류 전력, 또는 직류 전력과 무선 주파수 전력의 조합을 복수의 유체 송신 통로들을 통해 송신하는 것을 포함한다. 일 실시예에서, 전력은 펄스된 방식으로 복수의 유체 송신 통로들을 통해 송신된다. 다른 실시예에서, 전력은 연속적인 방식으로 복수의 유체 송신 통로들을 통해 송신된다. 부가적으로, 일 실시예에서, 동작 (1007) 에서 복수의 유체 송신 통로들에서 추가적인 플라즈마를 발생시키는 것은 복수의 유체 송신 통로들의 각각의 내부에 프로세스 가스를 공급하는 것을 포함한다.
일 실시예에서, 동작 (1005) 에서 플라즈마 발생 영역으로부터 복수의 유체 송신 통로들을 통해 프로세싱 영역 으로 플라즈마의 반응성 성분들을 공급하는 것은 플라즈마 발생 영역으로부터 복수의 유체 송신 통로들을 통해 프로세싱 영역으로 대전된 종들을 드라이빙하기 위해 플라즈마 발생 영역에 배치된 전극을 동작시키는 것을 포함한다. 또한, 일 실시예에서, 동작 (1009) 에서 복수의 유체 송신 통로들로부터 프로세싱 영역으로 추가적인 플라즈마의 반응성 성분들을 공급하는 것은 복수의 유체 송신 통로들로부터 프로세싱 영역으로 대전된 종들을 끌어당기기 위해 프로세싱 챔버 내의 배치된 추출 그리드를 동작시키는 것을 포함한다.
일 실시예에서, 방법 (1000) 은 기판에 걸쳐 프로세싱 영역으로 전자들을 주입하기 위한 동작을 더 포함할 수 있고, 그에 의해, 주입된 전자들은 기판의 프로세싱에 영향을 주기 위해 프로세싱 영역 내의 이온 밀도를 변경한다. 또한, 일 실시예에서, 방법 (1000) 은 기판 지지부로부터 분리된 프로세싱 영역 내에 배치된 하나 이상의 전극들에 전력을 공급하기 위한 동작을 포함할 수 있고, 그에 의해 하나 이상의 전극들에 공급된 전력은 기판의 프로세싱에 영향을 주기 위해 프로세싱 영역 내의 이온 밀도를 변경하도록 하나 이상의 전극들로부터 프로세싱 영역으로 전자들을 주입한다.
본 발명이 수개의 실시예들의 관점들에서 설명되었지만, 당업자들이 앞의 설명을 판독하고 도면들을 연구할 시에 다양한 수정들, 부가들, 치환들 및 그들의 등가물들을 인식할 것임을 인지할 것이다. 본 발명은 발명의 범위 및 진정한 사상 내에 있는 바와 같은 모든 그러한 수정들, 부가들, 치환들 및 등가물들을 포함한다.

Claims (60)

  1. 반도체 기판 프로세싱 시스템으로서,
    프로세싱 챔버;
    상기 프로세싱 챔버 내에서 기판을 지지하도록 정의된 기판 지지부;
    상기 프로세싱 챔버로부터 분리된 것으로 정의된 플라즈마 챔버로서, 상기 플라즈마 챔버는 플라즈마를 발생시키도록 정의된, 상기 플라즈마 챔버;
    상기 프로세싱 챔버에 상기 플라즈마 챔버를 유체로 연결하는 복수의 유체 송신 통로들로서, 상기 복수의 유체 송신 통로들은 상기 플라즈마 챔버로부터 상기 프로세싱 챔버로 상기 플라즈마의 반응성 성분을 공급하도록 정의된, 상기 복수의 유체 송신 통로들;
    전자 빔을 발생시키고 상기 기판 지지부 위에 및 상기 기판 지지부에 걸쳐 상기 프로세싱 챔버를 통하여 상기 전자 빔을 송신하도록 정의된 전자 빔 소스로서, 상기 전자 빔 소스는 상기 기판 지지부 위와 상기 기판 지지부의 주변 외부에 위치된 중공 캐소드를 포함하고, 상기 중공 캐소드는 상기 기판 지지부 위에서 상기 프로세싱 챔버의 영역을 향해 배향된 출구 (outlet) 를 갖는, 상기 전자 빔 소스;
    상기 기판 지지부 위와 상기 기판 지지부의 주변 외부에 위치된 복수의 도전성 그리드들로서, 상기 복수의 도전성 그리드들 중 미리 결정된 하나는, 상기 중공 캐소드로부터 전자들의 추출을 용이하게 하기 위해 상기 중공 캐소드의 상기 출구와 상기 기판 지지부 위의 상기 프로세싱 챔버의 상기 영역 사이에 배치되는, 상기 복수의 도전성 그리드들; 및
    상기 복수의 도전성 그리드들의 온도를 제어하기 위해 상기 복수의 도전성 그리드들에 연결된 히터를 포함하는, 반도체 기판 프로세싱 시스템.
  2. 제1항에 있어서,
    상기 전자 빔 소스는 상기 기판을 지지하도록 정의된 상기 기판 지지부의 표면에 평행한 궤적을 따라 상기 전자 빔을 송신하도록 정의된, 반도체 기판 프로세싱 시스템.
  3. 제1항에 있어서,
    상기 전자 빔 소스는 공통 방향으로 상기 기판 지지부 위에 및 상기 기판 지지부에 걸쳐 상기 프로세싱 챔버를 통해 복수의 공간적으로 분리된 전자 빔들을 송신하도록 정의된, 반도체 기판 프로세싱 시스템.
  4. 제1항에 있어서,
    상기 전자 빔 소스는 각각 복수의 방향들로 상기 기판 지지부 위에 및 상기 기판 지지부에 걸쳐 상기 프로세싱 챔버를 통해 복수의 공간적으로 분리된 전자 빔들을 송신하도록 정의된, 반도체 기판 프로세싱 시스템.
  5. 제4항에 있어서,
    상기 전자 빔 소스는 상기 복수의 공간적으로 분리된 전자 빔들을 순차적으로 송신하도록 정의된, 반도체 기판 프로세싱 시스템.
  6. 제1항에 있어서,
    상기 복수의 도전성 그리드들 각각은 독립적으로 제어된 방식으로 상기 복수의 도전성 그리드들의 각각에 인가된 제어된 전압 레벨을 갖기 위해 전력 공급부에 전기적으로 연결된, 반도체 기판 프로세싱 시스템.
  7. 제6항에 있어서,
    상기 전력 공급부는 상기 복수의 도전성 그리드들 중 상기 미리 결정된 하나에 교번하는 방식으로 양 전하 펄스 및 음 전하 펄스를 공급하도록 정의된, 반도체 기판 프로세싱 시스템.
  8. 제7항에 있어서,
    상기 복수의 도전성 그리드들 중 또 다른 하나는, 상기 중공 캐소드에 의해 송신될 상기 전자 빔에 대한 전기적 싱크를 제공하기 위해 상기 중공 캐소드의 상기 출구로부터 상기 기판 지지부의 반대편에 배치되는, 반도체 기판 프로세싱 시스템.
  9. 제1항에 있어서,
    상기 중공 캐소드는 상기 중공 캐소드의 상기 출구로부터 반대편 위치에서 상기 중공 캐소드 내에 위치된 제 1 전극을 포함하고, 상기 중공 캐소드는 상기 중공 캐소드의 상기 출구를 둘러싸도록 상기 중공 캐소드의 상기 출구 옆의 상기 중공 캐소드 내에 위치된 제 2 전극을 포함하고, 상기 제 2 전극은 상기 제 1 전극과 독립적으로 제어되게 연결된, 반도체 기판 프로세싱 시스템.
  10. 제9항에 있어서,
    상기 중공 캐소드의 내부 영역과 유체로 연통하는 가스 공급부로서, 상기 가스 공급부는 상기 중공 캐소드의 상기 내부 영역에 프로세싱 가스를 공급하도록 정의되는, 상기 가스 공급부; 및
    상기 중공 캐소드 내의 상기 제 1 전극과 전기적으로 통신하는 제 1 전력 공급부로서, 상기 제 1 전력 공급부는, 상기 중공 캐소드 내의 플라즈마로 상기 프로세싱 가스의 변환을 위해 제공하기 위해, 직류 전력, 무선 주파수 전력, 또는 상기 직류 전력 및 상기 무선 주파수 전력의 조합을 상기 중공 캐소드 내의 상기 제 1 전극에 공급하도록 정의되는, 상기 제 1 전력 공급부; 및
    상기 중공 캐소드 내의 상기 제 2 전극과 전기적으로 통신하는 제 2 전력 공급부로서, 상기 제 2 전력 공급부는, 상기 중공 캐소드 내의 상기 플라즈마로부터 전자 추출을 강화하기 위해, 직류 전력, 무선 주파수 전력, 또는 상기 직류 전력 및 상기 무선 주파수 전력의 조합을 상기 중공 캐소드 내의 상기 제 2 전극에 공급하도록 정의되는, 상기 제 2 전력 공급부를 더 포함하는, 반도체 기판 프로세싱 시스템.
  11. 반도체 기판을 프로세싱하기 위한 방법으로서,
    프로세싱 영역에 대해 노출되는 기판 지지부 상에 기판을 보유하는 단계;
    상기 프로세싱 영역으로부터 분리된 플라즈마 발생 영역에서 플라즈마를 발생시키는 단계;
    상기 플라즈마 발생 영역으로부터 상기 프로세싱 영역으로 상기 플라즈마의 반응성 성분들을 공급하는 단계;
    상기 기판 위의 상기 프로세싱 영역으로 전자들을 주입하도록 중공 캐소드를 동작시키는 단계로서, 상기 주입된 전자들은 상기 기판의 프로세싱에 영향을 주기 위해 상기 프로세싱 영역 내의 이온 밀도를 변경하고, 상기 중공 캐소드는 상기 기판 지지부 위와 상기 기판 지지부의 주변 외부에 위치되고, 상기 중공 캐소드는 상기 기판 지지부 위의 상기 프로세싱 챔버의 영역을 향해 배향된 출구를 가지는, 상기 중공 캐소드를 동작시키는 단계; 및
    도전성 그리드의 온도를 제어하도록 상기 기판 위의 상기 프로세싱 챔버의 상기 영역과 상기 중공 캐소드의 상기 출구 사이에 배치되는 상기 도전성 그리드에 연결된 히터를 동작시키는 단계로서, 복수의 도전성 그리드들 중 하나인 상기 도전성 그리드는 상기 기판 지지부의 주변 외부와 상기 기판 지지부 위에 위치되는, 상기 히터를 동작시키는 단계를 포함하는, 반도체 기판을 프로세싱하기 위한 방법.
  12. 제11항에 있어서,
    상기 프로세싱 영역으로 전자들을 주입하는 단계는, 상기 기판의 상단면에 평행한 궤적을 따라 전자 빔을 송신하는 단계를 포함하는, 반도체 기판을 프로세싱하기 위한 방법
  13. 제12항에 있어서,
    상기 전자 빔의 상기 궤적은, 상기 기판 지지부 위와 상기 기판 지지부의 주변 외부의 제 1 위치로부터 상기 기판 지지부 위와 상기 기판 지지부의 주변 외부의 제 2 위치로 선형 방식으로 연장하는, 반도체 기판을 프로세싱하기 위한 방법.
  14. 제13항에 있어서,
    상기 복수의 도전성 그리드들 중 적어도 하나가 상기 궤적을 따라 송신되는 상기 전자 빔에 대한 전기적 싱크로서 기능하도록 상기 제 2 위치에서 상기 복수의 도전성 그리드들 중 상기 적어도 하나에 양의 전기 전하를 인가하는 단계를 더 포함하는, 반도체 기판을 프로세싱하기 위한 방법.
  15. 제11항에 있어서,
    상기 전자들은 펄스 방식으로 상기 프로세싱 영역으로 주입되는, 반도체 기판을 프로세싱하기 위한 방법.
  16. 제11항에 있어서,
    상기 프로세싱 영역으로 전자들을 주입하는 단계는, 상기 기판의 상단면의 위에 및 상기 상단면에 걸쳐 상기 프로세싱 챔버를 통해 복수의 공간적으로 분리된 전자 빔들을 송신하는 단계를 포함하는, 반도체 기판을 프로세싱하기 위한 방법.
  17. 제16항에 있어서,
    상기 복수의 공간적으로 분리된 전자 빔들의 각각은, 상기 복수의 공간적으로 분리된 전자 빔들이 상기 기판의 상기 상단면 위에 및 상기 상단면에 걸쳐 평행한 방식으로 송신되도록 공통 방향으로 송신되는, 반도체 기판을 프로세싱하기 위한 방법.
  18. 제16항에 있어서,
    상기 복수의 공간적으로 분리된 전자 빔들 중 상이한 전자 빔들은, 상기 기판에 대해 노출되는 상기 프로세싱 영역 전반에 걸쳐 시간적으로-평균된 균일한 방식으로 전자들이 주입되도록 상이한 시간들에서 송신되는, 반도체 기판을 프로세싱하기 위한 방법.
  19. 제16항에 있어서,
    상기 복수의 공간적으로 분리된 전자 빔들은, 상기 기판의 상기 상단면 위에 및 상기 상단면에 걸쳐 상이한 복수의 방향들로 및 상기 기판의 상기 상단면에 평행하게 송신되는, 반도체 기판을 프로세싱하기 위한 방법.
  20. 제11항에 있어서,
    복수의 공간적으로 분리된 전자 빔들 중 상이한 전자 빔들은, 상기 기판에 대해 노출되는 상기 프로세싱 영역 전반에 걸쳐 시간적으로-평균된 균일한 방식으로 전자들이 주입되도록 상이한 시간들에서 송신되는, 반도체 기판을 프로세싱하기 위한 방법.
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 삭제
  27. 삭제
  28. 삭제
  29. 삭제
  30. 삭제
  31. 삭제
  32. 삭제
  33. 삭제
  34. 삭제
  35. 삭제
  36. 삭제
  37. 삭제
  38. 삭제
  39. 삭제
  40. 삭제
  41. 삭제
  42. 삭제
  43. 삭제
  44. 삭제
  45. 삭제
  46. 삭제
  47. 삭제
  48. 삭제
  49. 삭제
  50. 삭제
  51. 삭제
  52. 삭제
  53. 삭제
  54. 삭제
  55. 삭제
  56. 삭제
  57. 삭제
  58. 삭제
  59. 삭제
  60. 삭제
KR1020137029903A 2011-04-11 2012-04-10 반도체 프로세싱을 위한 e-빔 강화된 디커플링 소스 KR101900527B1 (ko)

Applications Claiming Priority (13)

Application Number Priority Date Filing Date Title
US13/084,325 US20120258555A1 (en) 2011-04-11 2011-04-11 Multi-Frequency Hollow Cathode and Systems Implementing the Same
US13/084,325 2011-04-11
US13/104,923 US8900402B2 (en) 2011-05-10 2011-05-10 Semiconductor processing system having multiple decoupled plasma sources
US13/104,923 2011-05-10
US201161555639P 2011-11-04 2011-11-04
US61/555,639 2011-11-04
US13/357,003 2012-01-24
US13/357,044 US20120258607A1 (en) 2011-04-11 2012-01-24 E-Beam Enhanced Decoupled Source for Semiconductor Processing
US13/356,962 2012-01-24
US13/356,962 US9111728B2 (en) 2011-04-11 2012-01-24 E-beam enhanced decoupled source for semiconductor processing
US13/357,044 2012-01-24
US13/357,003 US9177756B2 (en) 2011-04-11 2012-01-24 E-beam enhanced decoupled source for semiconductor processing
PCT/US2012/032920 WO2012142038A1 (en) 2011-04-11 2012-04-10 E-beam enhanced decoupled source for semiconductor processing

Publications (2)

Publication Number Publication Date
KR20140024375A KR20140024375A (ko) 2014-02-28
KR101900527B1 true KR101900527B1 (ko) 2018-09-19

Family

ID=49919072

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020137029903A KR101900527B1 (ko) 2011-04-11 2012-04-10 반도체 프로세싱을 위한 e-빔 강화된 디커플링 소스

Country Status (4)

Country Link
JP (2) JP6001641B2 (ko)
KR (1) KR101900527B1 (ko)
CN (1) CN103620729B (ko)
SG (3) SG10201602785TA (ko)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103915304B (zh) * 2014-03-18 2016-08-17 京东方科技集团股份有限公司 一种等离子体刻蚀装置及干法刻蚀设备
CN105448635B (zh) * 2014-08-28 2018-01-09 北京北方华创微电子装备有限公司 原子层刻蚀装置及采用其的原子层刻蚀方法
US10475626B2 (en) * 2015-03-17 2019-11-12 Applied Materials, Inc. Ion-ion plasma atomic layer etch process and reactor
US10032604B2 (en) * 2015-09-25 2018-07-24 Applied Materials, Inc. Remote plasma and electron beam generation system for a plasma reactor
US20170092470A1 (en) 2015-09-28 2017-03-30 Applied Materials, Inc. Plasma reactor for processing a workpiece with an array of plasma point sources
US9799491B2 (en) * 2015-10-29 2017-10-24 Applied Materials, Inc. Low electron temperature etch chamber with independent control over plasma density, radical composition and ion energy for atomic precision etching
KR102455239B1 (ko) 2017-10-23 2022-10-18 삼성전자주식회사 플라즈마 처리 장치 및 그를 이용한 반도체 소자의 제조방법
US11069514B2 (en) * 2018-07-27 2021-07-20 Applied Materials, Inc. Remote capacitively coupled plasma source with improved ion blocker
KR102661733B1 (ko) 2018-07-31 2024-04-29 주성엔지니어링(주) 복수의 플라즈마를 이용한 기판처리장치

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000038688A (ja) * 1998-07-23 2000-02-08 Nec Corp プラズマ処理方法及びプラズマ処理装置
JP2005532693A (ja) * 2002-07-11 2005-10-27 アルカテル プラズマエッチングリアクタ用の加熱ジャケットおよび加熱ジャケットを使用するエッチング法

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6276627A (ja) * 1985-09-30 1987-04-08 Toshiba Corp ドライエツチング装置
JPH0742592B2 (ja) * 1985-11-29 1995-05-10 日本電信電話株式会社 乾式エッチング装置
JPS6484621A (en) * 1987-09-28 1989-03-29 Oki Electric Ind Co Ltd Plasma processor
JP2625370B2 (ja) * 1993-12-22 1997-07-02 日本電気株式会社 電界放出冷陰極とこれを用いたマイクロ波管
JPH07226394A (ja) * 1994-02-15 1995-08-22 Mitsubishi Electric Corp 半導体処理方法および半導体処理装置
JPH07335618A (ja) * 1994-06-08 1995-12-22 Nippon Telegr & Teleph Corp <Ntt> プラズマプロセスの方法及びプラズマプロセス装置
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
JP2842344B2 (ja) * 1995-11-14 1999-01-06 日本電気株式会社 中性粒子ビーム処理装置
JPH09260097A (ja) * 1996-03-18 1997-10-03 Hitachi Ltd プラズマ生成装置
US5614026A (en) * 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
JP2868120B2 (ja) * 1997-06-11 1999-03-10 川崎重工業株式会社 電子ビーム励起プラズマ発生装置
US6368678B1 (en) * 1998-05-13 2002-04-09 Terry Bluck Plasma processing system and method
JP3433703B2 (ja) * 1999-09-03 2003-08-04 日新電機株式会社 イオン源装置及び真空処理装置
JP2003031175A (ja) * 2001-07-12 2003-01-31 Hitachi Ltd イオンビーム処理装置
US9520275B2 (en) * 2008-03-21 2016-12-13 Tokyo Electron Limited Mono-energetic neutral beam activated chemical processing system and method of using
JP5305287B2 (ja) * 2008-10-30 2013-10-02 芝浦メカトロニクス株式会社 半導体製造装置
US20110177694A1 (en) * 2010-01-15 2011-07-21 Tokyo Electron Limited Switchable Neutral Beam Source

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000038688A (ja) * 1998-07-23 2000-02-08 Nec Corp プラズマ処理方法及びプラズマ処理装置
JP2005532693A (ja) * 2002-07-11 2005-10-27 アルカテル プラズマエッチングリアクタ用の加熱ジャケットおよび加熱ジャケットを使用するエッチング法

Also Published As

Publication number Publication date
SG10201602780VA (en) 2016-05-30
KR20140024375A (ko) 2014-02-28
JP2014513427A (ja) 2014-05-29
JP6271659B2 (ja) 2018-01-31
SG10201602785TA (en) 2016-05-30
JP2017022392A (ja) 2017-01-26
CN103620729A (zh) 2014-03-05
SG193943A1 (en) 2013-11-29
CN103620729B (zh) 2016-10-12
JP6001641B2 (ja) 2016-10-05

Similar Documents

Publication Publication Date Title
US9177756B2 (en) E-beam enhanced decoupled source for semiconductor processing
US9111728B2 (en) E-beam enhanced decoupled source for semiconductor processing
KR101900527B1 (ko) 반도체 프로세싱을 위한 e-빔 강화된 디커플링 소스
US20120258607A1 (en) E-Beam Enhanced Decoupled Source for Semiconductor Processing
US11101113B2 (en) Ion-ion plasma atomic layer etch process
JP5745843B2 (ja) 強化された電荷中和及びプロセス制御を具えたプラズマ処理装置
US20180240686A1 (en) Semiconductor Processing System Having Multiple Decoupled Plasma Sources
KR100855002B1 (ko) 플라즈마 이온 주입시스템
US8900402B2 (en) Semiconductor processing system having multiple decoupled plasma sources
US6300227B1 (en) Enhanced plasma mode and system for plasma immersion ion implantation
US20040219737A1 (en) Method and apparatus for processing a workpiece with a plasma
US20110309049A1 (en) Techniques for plasma processing a substrate
KR101947844B1 (ko) 다수의 디커플링된 플라즈마 소스들을 갖는 반도체 프로세싱 시스템
WO2012142038A1 (en) E-beam enhanced decoupled source for semiconductor processing
TWI550752B (zh) 半導體基板處理系統與方法
TWI559427B (zh) 半導體處理用之電子射束增強之解偶源
TWI584337B (zh) 用以處理半導體基板之系統及方法
KR20050062682A (ko) 전자빔 큐어링 장비

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant