TWI428982B - 可切換中性射束源 - Google Patents

可切換中性射束源 Download PDF

Info

Publication number
TWI428982B
TWI428982B TW100101449A TW100101449A TWI428982B TW I428982 B TWI428982 B TW I428982B TW 100101449 A TW100101449 A TW 100101449A TW 100101449 A TW100101449 A TW 100101449A TW I428982 B TWI428982 B TW I428982B
Authority
TW
Taiwan
Prior art keywords
sqnb
plasma
switchable
substrate
coupled
Prior art date
Application number
TW100101449A
Other languages
English (en)
Other versions
TW201145383A (en
Inventor
Lee Chen
Merritt Funk
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201145383A publication Critical patent/TW201145383A/zh
Application granted granted Critical
Publication of TWI428982B publication Critical patent/TWI428982B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2051Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source
    • G03F7/2059Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source using a scanning corpuscular radiation beam, e.g. an electron beam
    • G03F7/2065Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source using a scanning corpuscular radiation beam, e.g. an electron beam using corpuscular radiation other than electron beams
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Particle Accelerators (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Description

可切換中性射束源
本發明係關於基板處理,尤其係關於使用可切換中性射束源來改善基板處理。
在半導體處理期間,電漿通常係藉由促進沿著圖案化於半導體基板上之細線或穿孔(或接觸窗)內之材料的異向性移除而用以協助蝕刻處理。再者,電漿係藉由在半導體基板上提供改善的吸附原子(adatoms)移動性而用以增強薄膜的沉積。
一旦形成電漿,則藉由電漿來蝕刻所選擇的基板表面。調整此處理以達到適當的條件,其包含用以蝕刻位在所選擇之基板區域內之各種特徵部(例如溝渠、穿孔、接觸窗等等)的期望反應物與離子群體的適當濃度。此種需要蝕刻的基板材料包含二氧化矽(SiO2 )、低介電常數(low-k)介電材料、多晶矽、以及氮化矽。
然而,電漿(即,帶電粒子)的使用本身會產生半導體裝置製造方面的問題。隨著裝置變得更小以及整合密度增加,於其中之絕緣或隔離結構的崩潰電壓在許多情況下會明顯降低,通常遠低於十伏特。例如,若干積體電路(IC,integrated circuit)裝置設計係需要具有次微米厚度的絕緣體。
同時,結構的尺寸降低會使得絕緣或隔離結構的電容值降低,並且需要相對較少的帶電粒子來形成具有足以使絕緣或隔離結構崩潰之強度的電場。因此,半導體結構對在製造處理(例如乾式電漿蝕刻處理)期間撞擊於其上之粒子所攜帶電荷的允差會變得極為受限,並且有時候需要用以在製造期間消散此種電荷的結構,其通常會使半導體裝置的設計複雜化。
雖然此問題可能藉由利用電中性粒子執行處理而加以避免,但離子或電子的電荷係一種最合適的特性,這些粒子的移動能夠透過此特性而受到有效的操縱與引導。因此,離子必須保持帶電狀態直到建立其軌跡為止,以及當被電子中和時,離子的能量必須足以使其軌跡可保持不變。儘管如此,仍可藉由與其他粒子(其可能已或未中和並且可具有非精密並列的軌跡)的碰撞而改變此軌跡並且嚴重地消耗中性射束的通量。
因為此種需求,所以已發展中性射束源以產生具有任意能量之電中性粒子的射束,此任意能量可小如數個電子伏特以及大如數萬電子伏特以上。
本發明係關於可用於基板處理程序的可切換準中性射束(SQNB,Switchable Quasi-Neutral Beam)源,此程序可包含:遮罩層固化、乾燥、減縮、校正、及/或硬化程序;蝕刻程序;灰化程序;清理程序;沉積程序。在若干實施例中,此SQNB源可用於固化及/或硬化圖案化基板上的遮罩層並且在圖案化基板的後續蝕刻程序中使用已固化及/或已硬化之遮罩層。
本發明係關於SQNB系統及以空間-電荷中性化中性射束啟動之處理來固化、乾燥、減縮、校正、及/或硬化基板上之圖案化遮罩層的方法、以及使用已固化、乾燥、減縮、校正、及/或硬化之圖案化遮罩層來蝕刻此基板的方法。此SQNB系統可包含:上部電漿腔室,其係以一或多個不同的上部電漿電位來形成一或多個不同的上部電漿;以及可切換準中性射束(SQNB)處理腔室,其係以可大於上部電漿電位的一或多個不同的SQNB電漿電位來形成一或多個不同的SQNB處理電漿,而SQNB處理電漿係使用來自上部電漿的電子通量加以形成。又,此SQNB系統包含可切換基板支座,其用以將基板設置在SQNB處理腔室內、在第一SQNB程序期間提供第一基板偏壓配置、以及在第二SQNB程序期間提供第二基板偏壓配置。
此SQNB系統可用以在第一SQNB程序期間產生第一準中性射束並且在第二SQNB程序期間產生第二準中性射束。此SQNB系統可用以在第一SQNB程序期間使用第一組中性化射束與第一處理氣體於SQNB處理腔室內產生第一SQNB電漿,並且在第二SQNB程序期間使用第二組中性化射束與第二處理氣體於SQNB處理腔室內產生第二SQNB電漿。
本發明可包含一種SQNB系統,其包含:電漿產生腔室,包含用以接收處於第一流率之第一處理氣體的上部電漿區域;第一氣體注入系統,耦合至電漿產生腔室並用以將第一處理氣體導入至上部電漿區域;電漿產生系統,耦合至電漿產生腔室並用以在上部電漿區域內以上部電漿電位由第一處理氣體產生上部電漿;可切換準中性射束(SQNB)處理腔室,包含配置在上部電漿區域下游的可切換電漿區域並用以接收來自上部電漿區域而處於第二流率的至少一上部電漿物質;分隔部件,配置在上部電漿區域與可切換電漿區域之間,其中此分隔部件包含一或多個開口,這些開口允許電子通量從上部電漿區域到達可切換電漿區域而在SQNB處理腔室內以可切換電漿電位形成可切換電漿;下部偏壓電極,耦合至SQNB處理腔室並用以將可切換電漿電位提升超過上部電漿電位,以控制電子通量;可切換基板支座,耦合至SQNB處理腔室並用以將基板支撐緊鄰於可切換電漿區域,此可切換基板支座係耦合至多位置開關,此多位置開關在第一SQNB程序期間係位於第一位置以及在第二SQNB程序期間係位於第二位置;以及真空抽排系統,耦合至SQNB處理腔室。例如,此真空抽排系統可用以在第一SQNB程序期間將SQNB處理腔室內的可切換電漿區域抽排至第一壓力,以及在第二SQNB程序期間將SQNB處理腔室內的可切換電漿區域抽排至第二壓力。
本發明可包含一種SQNB系統,其包含:電漿產生腔室與電漿產生系統,用以在第一SQNB程序期間於電漿產生腔室的上部電漿區域內以第一上部電漿電位產生第一上部電漿,並且進一步在第二SQNB程序期間於電漿產生腔室的上部電漿區域內以第二上部電漿電位產生第二上部電漿,此電漿產生腔室用以在第一SQNB程序期間接收處於第一流率的第一電漿產生氣體並且進一步在第二SQNB程序期間接收處於第二流率的第二電漿產生氣體;SQNB處理腔室,包含配置在上部電漿區域下游的可切換電漿區域且用以在第一SQNB程序期間接收來自上部電漿區域的至少一上部電漿物質並進一步在第二SQNB程序期間接收來自上部電漿區域的至少一第二電漿物質;第一氣體注入系統,耦合至電漿產生腔室,此第一氣體注入系統用以在第一SQNB程序期間將第一電漿產生氣體導入至上部電漿區域並進一步在第二SQNB程序期間將第二電漿產生氣體導入至上部電漿區域;分隔部件,配置在上部電漿區域與可切換電漿區域之間,此分隔部件包含一或多個「射束產生」開口,這些開口允許第一電子通量從上部電漿區域到達可切換電漿區域而以第一可切換電漿電位形成第一可切換電漿並進一步允許第二電子通量從上部電漿區域到達可切換電漿區域而以第二可切換電漿電位形成第二可切換電漿;下部偏壓電極,耦合至SQNB處理腔室,此下部偏壓電極用以在第一SQNB程序期間將第一可切換電漿電位提升超過第一上部電漿電位而控制複數射束的第一電子通量並且進一步在第二SQNB程序期間將第二可切換電漿電位提升超過第二上部電漿電位而控制複數射束的第二電子通量;可切換基板支座,耦合至SQNB處理腔室並用以將基板支撐緊鄰於可切換電漿區域,此可切換基板支座係耦合至多位置開關,此多位置開關在第一SQNB程序期間係位於第一位置以及在第二SQNB程序期間係位於第二位置;以及真空抽排系統,耦合至SQNB處理腔室並用以抽排SQNB處理腔室內的可切換電漿區域。例如,第一及/或第二可切換電漿可在遮罩層固化、乾燥、減縮、校正、及/或硬化程序;蝕刻程序;灰化程序;清理程序;或沉積程序;或其任何組合期間加以建立。
依照另一實施例,說明一種圖案化基板的處理方法,其包含下列步驟:將圖案化基板配置在用以修改圖案化基板上之遮罩層的可切換處理腔室內;以第一上部電漿電位在上部電漿區域內形成第一上部電漿;使用來自上部電漿區域之複數射束中的第一電子通量以第一可切換電漿電位在可切換電漿區域內形成第一可切換(修改)電漿;將第一可切換電漿電位提升超過第一上部電漿電位以控制第一電子通量;控制可切換處理腔室內的第一壓力;將基板曝露至第一可切換(修改)電漿;以第二上部電漿電位在上部電漿區域內形成第二上部電漿;使用來自上部電漿區域之複數射束中的第二電子通量以第二可切換電漿電位在可切換電漿區域內形成第二可切換(特徵部形成)電漿;將第二可切換電漿電位提升超過第二上部電漿電位以控制第二電子通量;控制可切換處理腔室內的第二壓力;以及將基板曝露至第二可切換(特徵部形成)電漿。
本發明可提供使用為修改輻射敏感材料而設計之子系統與處理順序以即時處理基板的設備與方法。此外,經過修改的輻射敏感層可用於第二SQNB程序,以更準確地控制閘極及/或間距臨界尺寸(CDs)、控制閘極及/或間距CD均勻度、以及消除線緣粗糙度(LER)與線寬粗糙度(LWR)。
吾人可從下列說明內容以及附加於其之圖式而明白本發明之其他態樣。
本發明提供使用為修改輻射敏感材料而設計之可切換準中性射束(SQNB)子系統與SQNB處理順序以即時處理基板的設備與方法。此外,經過修改的輻射敏感層可用以更準確地控制閘極及/或間隔件臨界尺寸(CDs)、控制閘極及/或間隔件CD均勻度、以及消除線緣粗糙度(LER)與線寬粗糙度(LWR)。例如,SQNB子系統與SQNB處理順序可用以改變遮罩層材料的機械特性、可用以修改遮罩層材料的化學及/或機械特性、以及可用以改變遮罩層材料的耐蝕刻性。
在若干實施例中,提供用以產生及/或使用量測程式庫的設備與方法,此量測程式庫包含在第一SQNB程序期間所產生之已修改的光阻特徵部與週期性結構的輪廓資料與繞射信號資料。此外,此量測程式庫可包含在額外SQNB程序中使用該已修改的光阻特徵部與週期性結構所產生之新特徵部的輪廓資料與繞射信號資料。
一或多個評估特徵部可設置在位於基板上的不同位置,並且可用以評估及/或驗證SQNB程序以及相關模型。基板可具有與其相關的即時與歷史資料,而此基板資料可包含SQNB資料。此外,基板可具有與其相關的其他資料,而這些其他資料可包含閘極結構資料、需求位置(required sites)的數量、拜訪位置(visited sites)的數量、其中一或多個位置的可信資料及/或風險資料、位置排序資料、傳輸順序資料、或處理相關資料、或評估/驗證相關資料、或其任何組合。與基板相關的資料可包含用以建立在何時與何處傳輸基板的傳輸順序資料,而傳輸順序可使用操作狀態資料加以變更。
當特徵部尺寸減少至低於45 nm技術節點時,準確的處理及/或量測資料變得更為重要並且變得更難以獲得。SQNB程序可用以更準確地處理及/或量測這些超小型裝置與特徵部。來自SQNB程序的資料可與警告及/或控制界限比對。當違反操作規則(run-rule)時,可產生警報來指出處理問題,並且可即時執行校正程序。
圖1顯示依照本發明之實施例之處理系統的示範方塊圖。在所示之實施例中,處理系統100包含微影子系統110、曝光子系統120、蝕刻子系統130、沉積子系統140、SQNB子系統150、評估子系統160、傳輸子系統170、製造執行系統(MES,manufacturing execution system)180、系統控制器190、以及記憶體/資料庫195。在所示之實施例中顯示單一子系統(110、120、130、140、150、160、以及170),但此並非本發明所必需。在若干實施例中,可將多個子系統(110、120、130、140、150、160、以及170)用於處理系統100。此外,其中一或多個子系統(110、120、130、140、150、160、以及170)可包含一或多個處理元件,其可用於SQNB處理順序與相關模型。或者,吾人可使用可切換中性射束(SNB,switchable neutral beam)子系統及/或SNB處理順序。
系統控制器190可使用資料傳輸子系統191而耦合至微影子系統110、曝光子系統120、蝕刻子系統130、沉積子系統140、SQNB子系統150、評估子系統160、以及傳輸子系統170。系統控制器190可使用第一資料傳輸子系統181而耦合至MES 180。或者,可使用其他構造。舉例而言,蝕刻子系統130、沉積子系統140、SQNB子系統150、評估子系統160、以及一部分的傳輸子系統170,可為自Tokyo Electron Limited所購得的子系統。
微影子系統110可包含一或多個傳輸/儲存元件112、一或多個處理元件113、一或多個控制器114、以及一或多個評估元件115。其中一或多個傳輸/儲存元件112可耦合至其中一或多個處理元件113及/或其中一或多個評估元件115,並且可使用一或多個傳輸裝置111而耦合至傳輸子系統170。吾人可使用其中一或多個傳輸裝置111而在傳輸子系統170與微影子系統110之間即時傳輸一或多個基板105。例如,傳輸子系統170可耦合至其中一或多個傳輸/儲存元件112、其中一或多個處理元件113、及/或其中一或多個評估元件115。其中一或多個控制器114可耦合至其中一或多個傳輸/儲存元件112、其中一或多個處理元件113、及/或其中一或多個評估元件115。
在若干實施例中,微影子系統110可對一或多個基板執行塗佈程序、熱程序、量測程序、檢查程序、對正程序、及/或儲存程序。例如,一或多個微影相關處理能夠用以沉積可包含光阻材料、及/或抗反射塗佈(ARC,anti-reflective coating)材料的一或多個遮罩層,並且能夠用以熱處理(烘烤)其中一或多個遮罩層。此外,微影子系統110可用以顯影、量測、及/或檢查位於其中一或多個基板上的其中一或多個圖案化遮罩層。
曝光子系統120可包含一或多個傳輸/儲存元件122、一或多個處理元件123、一或多個控制器124、以及一或多個評估元件125。其中一或多個傳輸/儲存元件122可耦合至其中一或多個處理元件123及/或其中一或多個評估元件125,並且可使用一或多個傳輸裝置121而耦合至傳輸子系統170。吾人可使用其中一或多個傳輸裝置121而在傳輸子系統170與曝光子系統120之間即時傳輸一或多個基板105。例如,傳輸子系統170可耦合至其中一或多個傳輸/儲存元件122、其中一或多個處理元件123、及/或其中一或多個評估元件125。其中一或多個控制器124可耦合至其中一或多個傳輸/儲存元件122、其中一或多個處理元件123、及/或其中一或多個評估元件125。
在若干實施例中,曝光子系統120可用以執行溼式及/或乾式曝光程序,並且在其他情況下,曝光子系統120可用以執行極紫外線(EUV,extreme ultraviolet)曝光程序。
蝕刻子系統130可包含一或多個傳輸/儲存元件132、一或多個處理元件133、一或多個控制器134、以及一或多個評估元件135。其中一或多個傳輸/儲存元件132可耦合至其中一或多個處理元件133及/或其中一或多個評估元件135,並且可使用一或多個傳輸裝置131而耦合至傳輸子系統170。吾人可使用其中一或多個傳輸裝置131而在傳輸子系統170與蝕刻子系統130之間即時傳輸一或多個基板105。例如,傳輸子系統170可耦合至其中一或多個傳輸/儲存元件132、其中一或多個處理元件133、及/或其中一或多個評估元件135。其中一或多個控制器134可耦合至其中一或多個傳輸/儲存元件132、其中一或多個處理元件133、及/或其中一或多個評估元件135。例如,其中一或多個處理元件133可用以執行電漿或非電漿蝕刻、灰化、以及清理程序、或者執行電漿或非電漿蝕刻程序。評估程序及/或檢查程序可用以量測及/或檢查基板的一或多個表面及/或層。
沉積子系統140可包含一或多個傳輸/儲存元件142、一或多個處理元件143、一或多個控制器144、以及一或多個評估元件145。其中一或多個傳輸/儲存元件142可耦合至其中一或多個處理元件143及/或其中一或多個評估元件145,並且可使用一或多個傳輸裝置141而耦合至傳輸子系統170。吾人可使用其中一或多個傳輸裝置141而在傳輸子系統170與沉積子系統140之間即時傳輸一或多個基板105。例如,傳輸子系統170可耦合至其中一或多個傳輸/儲存元件142、其中一或多個處理元件143、及/或其中一或多個評估元件145。其中一或多個控制器144可耦合至其中一或多個傳輸/儲存元件142、其中一或多個處理元件143、及/或其中一或多個評估元件145。例如,其中一或多個處理元件143可用以執行物理氣相沉積(PVD,physical vapor deposition)程序、化學氣相沉積(CVD,chemical vapor deposition)程序、離子化物理氣相沉積(iPVD,ionized physical vapor deposition)程序、原子層沉積(ALD,atomic layer deposition)程序、電漿增強原子層沉積(PEALD,plasma enhanced atomic layer deposition)程序、及/或電漿增強化學氣相沉積(PECVD,plasma enhanced chemical vapor deposition)程序。評估程序及/或檢查程序可用以量測及/或檢查基板的一或多個表面。
SQNB子系統150可包含一或多個傳輸/儲存元件152、一或多個可切換處理元件153、一或多個控制器154、以及一或多個可切換評估元件155。例如,其中一或多個可切換評估元件155可在SQNB處理順序期間執行即時量測、檢查、及/或驗證程序。其中一或多個傳輸/儲存元件152可耦合至其中一或多個可切換處理元件153及/或其中一或多個可切換評估元件155,並且可使用一或多個傳輸裝置151而耦合至傳輸子系統170。吾人可使用其中一或多個傳輸裝置151而在傳輸子系統170與SQNB子系統150之間即時傳輸一或多個基板105。例如,傳輸子系統170可耦合至其中一或多個傳輸/儲存元件152、其中一或多個可切換處理元件153、及/或其中一或多個可切換評估元件155。其中一或多個控制器154可耦合至其中一或多個傳輸/儲存元件152、其中一或多個可切換處理元件153、及/或其中一或多個可切換評估元件155。
評估子系統160可包含一或多個傳輸/儲存元件162、一或多個量測元件163、一或多個控制器164、以及一或多個檢查元件165。其中一或多個傳輸/儲存元件162可耦合至其中一或多個量測元件163及/或其中一或多個檢查元件165,並且可使用一或多個傳輸裝置161而耦合至傳輸子系統170。吾人可使用一或多個傳輸裝置161而在傳輸子系統170與評估子系統160之間即時傳輸一或多個基板105。例如,傳輸子系統170可耦合至其中一或多個傳輸/儲存元件162、其中一或多個量測元件163、及/或其中一或多個檢查元件165。其中一或多個控制器164可耦合至其中一或多個傳輸/儲存元件162、其中一或多個量測元件163、及/或其中一或多個檢查元件165。評估子系統160能夠包含可用以執行即時光學評估程序的一或多個量測元件163,此即時光學評估程序可使用以程式庫為基或以迴歸為基之技術來量測位在基板上之一或多個位置的目標結構。例如,位於基板上的位置可包含SQNB相關位置、目標位置、重疊位置、對正位置、量測位置、驗證位置、檢查位置、或損壞評估位置、或其任何組合。例如,吾人可儲存一或多個「絕佳(golden)基板」或參考晶片,並且定期使用其來驗證其中一或多個量測元件163、及/或其中一或多個檢查元件165的性能。
在若干實施例中,評估子系統160可包含整合光學數位輪廓量測(iODP,integrated Optical Digital Profilometry)元件(未圖示),而iODP元件可自Timbre Technologies Inc.(TEL公司)購得。或者,可使用其他的量測系統及/或檢查系統。例如,iODP技術可用以獲得即時資料,這些資料可包含臨界尺寸(CD)資料、閘極結構資料、以及厚度資料,而iODP資料的波長範圍可從小於約200 nm分佈至大於約900 nm。示範的iODP元件可包含ODP剖線儀(profiler)程式庫元件、剖線儀應用伺服器(PAS,Profiler Application Server)元件、以及ODP剖線儀軟體元件。此ODP剖線儀程式庫元件可包含光譜及其對應半導體輪廓、CDs、以及膜厚度的特定應用資料庫元件。此PAS元件可包含至少一與光學硬體及電腦網路連接的電腦。此PAS元件可用以提供資料通訊、ODP程式庫操作、量測處理、結果生成、結果分析、以及結果輸出。此ODP剖線儀軟體元件可包含安裝在PAS元件上的軟體,其用以管理量測配方、ODP剖線儀程式庫元件、ODP剖線儀資料、ODP剖線儀搜尋/匹配結果、ODP剖線儀計算/分析結果、資料通訊、以及對各種量測元件與電腦網路的PAS介面。
評估子系統160可使用偏光反射量測法(polarizing reflectometry)、光譜橢圓偏光量測法(spectroscopic ellipsometry)、反射量測法、或其他光學量測技術來量測準確的裝置輪廓、準確的CDs、以及基板的多層膜厚度。此整合量測處理(iODP)可如同在子系統之整合群組中的整合處理般地被加以執行。此外,此整合處理省去為了執行分析而弄壞基板或者長時間等待來自外部系統之資料的需求。iODP技術可與現行用於線內(inline)輪廓與CD量測的薄膜量測系統一起使用,並且可與TEL處理系統及/或微影系統整合在一起以提供即時處理監視與控制。吾人可藉由應用馬克士威方程式(Maxwell’s equations)並且使用數值分析技術來解出馬克士威方程式而產生模擬量測資料。
傳輸子系統170可包含耦合至傳輸軌道(175與176)的傳輸元件174,其可用以接收基板、傳輸基板、對正基板、儲存基板、及/或延遲基板。例如,傳輸元件174可支撐兩個以上的基板。或者,可使用其他傳輸裝置。傳輸子系統170可基於SQNB程序、SQNB處理順序、傳輸順序、操作狀態、基板及/或處理狀態、處理時間、現時(current time)、基板資料、基板上的位置數量、基板上的位置類型、需求位置的數量、完成位置的數量、剩餘位置的數量、或可信資料、或其任何組合,而裝載、傳輸、儲存、及/或卸載基板。
在若干範例中,傳輸子系統170可使用裝載資料來判定在何處與何時傳輸基板。在其他範例中,一傳輸系統可使用SQNB處理資料來判定在何處與何時傳輸基板。或者,可使用其他程序。例如,當第一數量的基板係少於或等於第一數量的可用處理元件時,可使用傳輸子系統170將第一數量的基板傳輸到位於其中一或多個子系統中之第一數量的可用處理元件。當第一數量的基板係大於第一數量的可用處理元件時,可使用其中一或多個傳輸/儲存元件(112、122、132、142、152、以及162)及/或傳輸子系統170將其中若干基板加以儲存及/或延遲。
此外,吾人可在執行微影相關程序、曝光相關程序、檢查相關程序、量測相關程序、評估相關程序、蝕刻相關程序、沉積相關程序、熱處理程序、塗佈相關程序、對正相關程序、研磨相關程序、儲存相關程序、傳輸程序、清理相關程序、重工(rework)相關程序、氧化相關程序、氮化相關程序、或外部處理元件、或其任何組合時,使用一或多個子系統(110、120、130、140、150、160、以及170)。
吾人可建立子系統(110、120、130、140、150、160、以及170)的操作狀態資料,而這些資料可由SQNB程序加以使用及/或更新。此外,吾人可建立傳輸/儲存元件(112、122、132、142、152、以及162)、元件(113、123、133、143、153、以及163)、以及評估元件(115、125、135、145、155、以及165)的操作狀態資料,而這些資料可由SQNB程序加以更新。例如,處理元件的操作狀態資料可包含有效性資料、處理元件的匹配資料、若干處理步驟及/或位置的預期處理時間、良率資料、處理元件的可信資料及/或風險資料、或一或多個第一SQNB及/或第二SQNB程序的可信資料及/或風險資料。吾人可藉由即時查詢一或多個處理元件、及/或一或多個子系統而獲得更新的操作狀態。可藉由即時查詢一或多個傳輸元件、及/或一或多個傳輸子系統而獲得更新的裝載資料。
其中一或多個控制器(114、124、134、144、154、以及164)可使用資料傳輸子系統191而耦合至系統控制器190及/或彼此耦合。或者,可使用其他耦合構造。這些控制器可串聯及/或並聯耦合,並且可具有一或多個輸入端口及/或一或多個輸出端口。例如,這些控制器可包含具有一或多個核心處理元件的微處理器。
此外,子系統(110、120、130、140、150、160、以及170)可彼此耦合並且使用網內、網際、有線、及/或無線連接而耦合至其他裝置。當需要時,控制器(114、124、134、144、以及190)可耦合至外部裝置。
吾人可在執行即時SQNB程序時使用其中一或多個控制器(114、124、134、144、154、164、以及190)。控制器可接收來自SQNB模型的即時資料,以更新子系統、處理元件、處理、配方、輪廓、影像、圖案、模擬、順序資料、及/或模型資料。其中一或多個控制器(114、124、134、144、154、164、以及190)可用以與製造執行系統(MES)180或其他系統(未圖示)交換一或多個半導體設備通訊標準(SECS,Semiconductor Equipment Communications Standard)訊息、讀取及/或刪除資訊、前饋及/或回饋資訊、及/或發送如SECS訊息的資訊。可在控制器之間交換其中一或多個格式化訊息,而這些控制器可即時處理訊息並擷取新資料。當可取得新資料時,新資料可即時用以更新當下正用於基板及/或批(lot)的模型及/或程序。例如,當模型及/或程序可在檢驗當下佈線(layout)之前更新時,即可使用更新的模型及/或程序來檢驗當下的佈線。當更新無法在處理當下佈線之前執行時,可使用非更新的模型及/或程序來檢驗當下的佈線。此外,當改變光阻時、當改變光阻模型時、當改變處理順序時、當改變設計規則時、或當改變佈線時,可使用格式化訊息。
在若干範例中,MES 180可用以即時監視若干子系統及/或系統處理,而工廠級介入(factory level intervention)及/或判斷規則可用以判定要監視哪一個處理以及可使用哪一個資料。例如,工廠級介入及/或判斷規則可用以判定當在SQNB程序中發生錯誤情況時要如何管理資料。MES 180亦可提供模型化資料、處理順序資料、及/或基板資料。
此外,當需要時,控制器(114、124、134、144、154、164、以及190)可包含記憶體(未圖示)。例如,記憶體(未圖示)可用於儲存資訊與待由控制器執行的指令,並且可用於在由處理系統100中之各種電腦及/或處理器執行指令期間,儲存暫時變數或其他中間資訊。其中一或多個控制器(114、124、134、144、154、164、以及190)、或其他系統構件,可包含用以從電腦可讀取媒體讀取資料及/或指令的裝置,並且可包含用以將資料及/或指令寫入電腦可讀取媒體的裝置。
處理系統100可因應在處理系統中用以執行一或多個指令(其係包含在記憶體內及/或接收在訊息內)之一或多個順序的電腦及/或處理器,而執行本發明之一部分或全部的處理步驟。吾人可從另一電腦、電腦可讀取媒體、或網路連接來接收此種指令。
在若干實施例中,吾人可使用出自Tokyo Electron Limited(TEL)的系統構件來設置一整合系統,並且可將外部子系統及/或工具予以包含。例如,能夠設置可包含CD掃瞄電子顯微(CDSEM,CD-Scanning Electron Microscopy)系統、穿透式電子顯微(TEM,Transmission Electron Microscopy)系統、聚焦離子射束(FIB,focused ion beam)系統、光學數位輪廓量測(O DP)系統、原子力顯微(AFM,Atomic Force Microscope)系統、或另一檢查系統的量測元件。子系統及/或處理元件可能會具有不同的介面需求,而控制器可用以滿足這些不同的介面需求。
其中一或多個子系統(110、120、130、140、150、160、以及170)可執行控制應用程式、圖形使用者介面(GUI,Graphical User Interface)應用程式、及/或資料庫應用程式。此外,其中一或多個子系統(110、120、130、140、150、160、以及170)及/或控制器(114、124、134、144、154、164、以及190)可包含實驗設計(DOE,Design of Experiment)應用程式、先進製程控制(APC,Advanced Process Control)應用程式、故障偵測與分類(FDC,Fault Detection and Classification)應用程式、及/或批次(R2R,Run-to-Run)應用程式。
來自SQNB程序的輸出資料及/或訊息可用於後續的程序,以最佳化處理準確度與精密度。資料可即時傳到SQNB程序而作為即時可變參數、過沖電流模型值(overriding current model values)、以及簡化DOE表格。即時資料可與以程式庫為基的系統、或以迴歸為基的系統、或其任何組合一起使用,以最佳化P-H程序。
當使用以程式庫為基的處理時,可使用SQNB程序、配方、輪廓、及/或模型來產生及/或增強程式庫中的其中若干資料。例如,程式庫可包含SQNB程序的模擬及/或量測資料以及對應的輪廓資料組。吾人可即時執行以程式庫為基的處理。用以產生程式庫之SQNB資料的一替代程序可包含使用機器學習系統(MLS,machine learning system)。例如,在產生程式庫資料之前,可使用已知的輸入與輸出資料來訓練此MLS,並且可以SQNB資料的子集(subset)來訓練此MLS。
SQNB程序可包含每當遇到匹配情境(matching context)即可被執行的介入及/或判斷規則。吾人可基於歷史程序、客戶經驗、或處理知識而建立介入及/或判斷規則及/或界限,或者可從主電腦獲得。規則可用於故障偵測與分類(FDC)程序,以判定如何響應警報情況、錯誤情況、故障情況、及/或警告情況。以規則為基的FDC程序可優先化及/或分類故障、預測系統性能、預測預防維護排程、減少維護停機時間、以及延長系統中之消耗性零件的使用壽命。各種動作可因應警報及/或故障而發生,而對警報及/或故障所採取的這些動作可基於情境,以及此情境資料可由規則、系統/處理配方、腔室類型、識別號碼、裝載端口號碼、晶圓匣盒(cassette)號碼、批號碼、控制工作ID、處理工作ID、槽號碼及/或資料類型所特定。
當超出界限時,未成功的SQNB程序可報告失效;而當接近界限時,成功的程序可產生警告訊息。針對程序錯誤的預特定失效動作可儲存在資料庫內,並且當發生錯誤時可從資料庫擷取。例如,當量測程序失效時,SQNB程序可剔除位在基板之其中一或多個位置的資料。
SQNB程序可用以產生、修改、及/或評估在不同時間及/或位置的分離及/或套疊結構。例如,在分離及/或套疊結構附近的閘極堆疊體尺寸與基板厚度資料可為不同,以及在開口區域及/或溝渠陣列區域附近的閘極堆疊體尺寸與基板厚度資料可為不同。接著,由SQNB程序所產生之已修改的光阻特徵部可用以產生關於蝕刻分離及/或套疊結構的最佳化特徵部及/或結構。
SQNB程序可用以強化光阻膜、供應最佳聚合物、以及抑制在其中若干不同SQNB程序期間所使用之氣體的分解。因此,可減少光阻的表面粗糙度。又,可防止形成在光阻膜內之開口部分的CD擴張,藉以實現具有高準確度的圖案形成。尤其,藉由控制DC電壓以適當行使在此所述之三個功能(即,蝕刻功能、電漿最佳化功能、以及電子供應功能),而使這些效果更為增強。
在SQNB程序期間所沉積之副產物的量係取決於電漿與DC電極、腔室壁等等之間的電位差。因此,可藉由控制電漿電位而抑制副產物的沉積,並且可控制從多輸出供應系統施加至DC電極的電壓以降低電漿電位。電漿電位Vp 較佳係設定在位於負100到負3000伏特之範圍內的數值。
圖2A顯示依照本發明之實施例之SQNB子系統的簡化視圖。在圖2A所示之說明實施例中,將SQNB子系統200描述為使用基板上的未圖案化及/或圖案化光阻層以及空間-電荷中性化射束來執行第一SQNB程序及/或第二SQNB程序,此空間-電荷中性化射束可在第一SQNB程序及/或第二SQNB程序期間被啟動。
圖2B說明當在圖2A所示之SQNB子系統中執行第一SQNB及/或第二SQNB程序時的示範情況。顯示射束-電子浮動電位(Vfe )係因為在電漿某處具有不處於射束-電子轟擊情況下的絕緣體表面而存在;反之,這些表面係處於馬克士威熱電子通量(Maxwellian thermal electron flux)之下。這些表面的浮動電位為「熱馬克士威浮動電位」。
如圖2A與2B所示,SQNB子系統200可包含:上部電漿腔室210,其係以上部電漿電位(Vp1 )來形成上部電漿212;以及可切換電漿腔室220,其係以比上部電漿電位(Vp1 )更大之可切換電漿電位(Vp2 )來形成可切換電漿222。吾人可藉由將功率(例如無線射頻(RF,radio frequency)功率)耦合至位在上部電漿腔室210內的可游離氣體而形成上部電漿212;並同時使用來自上部電漿212的電子通量(例如高能電子(ee,energetic electron)電流(jee ))來形成可切換電漿222。此功率係從約10瓦特分佈到約700瓦特。又,可切換電漿腔室220包含可切換基板支座,其用以將處於直流(DC)接地或浮動接地的基板225設置在可切換電漿腔室220內,以曝露至處於可切換電漿電位之可切換電漿222。
上部電漿腔室210包含電漿產生系統216,其用以激起並加熱上部電漿212。吾人可藉由包含但不限於感應耦合電漿(ICP,inductively coupled plasma)源、變壓器耦合電漿(TCP,transformer coupled plasma)源、電容耦合電漿(CCP,capacitively coupled plasma)源、電子迴旋共振(ECR,electron cyclotron resonance)源、螺旋波源、表面波電漿源、具有槽型平面天線之表面波電漿源等等的任何習知電漿產生系統來加熱上部電漿212。雖然吾人可藉由任何電漿源來加熱上部電漿212,但還是期望藉由使其電漿電位(Vp1 )產生降低或最小波動的方法來加熱上部電漿212。例如,ICP源係產生降低或最小(Vp1 )波動的實用技術。
此外,上部電漿腔室210包含具有導電表面的直流(DC)導電接地電極214,此導電表面係作為與上部電漿212接觸的邊界。DC導電接地電極214係耦合至DC接地。DC導電接地電極214係作為離子沉(ion sink),其係藉由處於上部電漿電位(Vp1 )的上部電漿212所驅動。雖然在圖2A中顯示一個DC導電接地電極214,但SQNB子系統200可包含一或多個DC導電接地電極。
雖然非必要,但期望DC導電接地電極214包含與上部電漿212接觸的相對大面積。處於DC接地的面積愈大,上部電漿電位就愈低。例如,與上部電漿212接觸之DC導電接地電極214之導電表面的表面積可大於與上部電漿212接觸的任何其他表面積。此外,例如,與上部電漿212接觸之DC導電接地電極214之導電表面的表面積可大於與上部電漿212接觸之所有其他導電表面的總和。或者,如一範例,與上部電漿212接觸之DC導電接地電極214的導電表面可為唯一與上部電漿212接觸的導電表面。DC導電接地電極214可提供到接地的最低阻抗路徑。
如上所述,來自上部電漿212的(高能)電子通量(或電子電流jee )係在可切換電漿腔室220內引發並維持可切換電漿222。為了控制電子通量並產生單一高能空間-電荷中性化中性射束,如上所述,上部電漿電位(Vp1 )以及可切換電漿電位(Vp2 )應該是穩定的,若真有任何波動的話應具有實質上降低或最小波動。為了達到可切換電漿222的穩定性,可切換電漿腔室220包含具有與可切換電漿222接觸之導電表面的DC導電偏壓電極224,其中DC導電偏壓電極224係耦合至DC電壓源226。DC電壓源226係設置成以正DC電壓(+VDC )來使DC導電偏壓電極224偏壓。因此,可切換電漿電位(Vp2 )係為由(+VDC )電壓源所驅動的邊界驅動電漿電位,因而使(Vp2 )升高至約+VDC 並維持實質上穩定。雖然在圖2A中顯示一個DC導電偏壓電極224,但SQNB子系統200可包含一或多個DC導電偏壓電極。
再者,SQNB子系統200包含分隔部件230,其係配置在上部電漿腔室210與可切換電漿腔室220之間。分隔部件230可作為電子擴散器。此電子擴散器係藉由穿過電子加速層的電場而驅動,此電子加速層係由電位差△V={(Vp2 )-(Vp1 )}所產生。分隔部件230可包含例如石英或氧化鋁的絕緣體,或者分隔部件230可包含電性浮動並具有對接地之高RF阻抗的介電塗佈導電材料。由於橫越電子加速層的大電場▽z={(Vp2 )-(Vp1 )},所以電子通量係充滿能量以維持可切換電漿222的游離化。然而,SQNB子系統200可非必要地包含用以進一步加熱可切換電漿222的電漿加熱系統。
分隔部件230可包含一或多個開口,以允許高能電子通量從上部電漿腔室210通過而到達可切換電漿腔室220。吾人可相對於DC導電接地電極214的表面積而調整此一或多個開口的總面積,以確保相對大電位差△V={(Vp2 )-(Vp1 )}並同時使從可切換電漿222到上部電漿212的逆向離子電流降至最低,藉以確保用以離子衝擊基板225的充足離子能。
如圖2A所示,來自上部電漿212內之第一離子群體的第一離子通量(例如離子電流,ji1 )係以大約相等於從上部電漿212穿過位在分隔部件230之電子加速層而進入到可切換電漿222內之高能電子通量(或電子電流jee )的量,即∣ji1 ∣~∣jee ∣,而流到上部電漿腔室210內的DC導電接地電極214。
如上所述,高能電子通量係充滿能量以形成可切換電漿222。其中,形成熱電子群體以及第二離子群體。熱電子主要係因為在由引入之高能電子通量(或電子電流jee )造成可切換電漿222的游離化時的放出電子所致。然而,來自高能電子通量的若干高能電子可能會損失足量的能量,因而變成熱電子群體的部分。
由於德拜屏蔽(Debye shielding),所以只有可切換電漿222的熱電子(例如熱電子電流,jte )係以大約相等於高能電子通量的量,即|jte |~|jee |,而流到DC導電偏壓電極224。在熱電子電流jte 被導引至DC導電偏壓電極224時,來自第二離子群體的第二離子通量(例如離子電流,ji2 )則係被導引至處於(Vp2 )的基板,其係大約相等於到基板225之高能電流jee 與高能電子所產生之二次電子電流(jese )的總和。
若引入之高能電子能量係足夠高的話,大部分的高能電子通量(jee )將可在通過可切換電漿222之後殘存並且衝擊基板(晶圓)225。然而,不論其來源(即,來自高能電子通量jee 的高能電子或者來自熱電子群體的高能電子),只有能夠通過基板鞘(即,爬過電位「丘陵」、或{(Vfe )─(Vp1 )},其中(Vfe )為高能電子浮動電位)的高能電子可到達基板225。由於基板225係處於浮動DC接地,所以由位在可切換電漿222內之第二離子群體所供給的離子電流ji2 (具有以{(Vp2 )─(Vfe )}為特徵的離子能量)可相等於電子電流je2 (即,無淨電流、或|ji2 |~|je2 |或ji2 +je2 ~ji2 +jee +jese ~0)。或者,基板225可處於近乎DC接地,因為浮動接地表面電位被預期稍微高於DC接地。
在SQNB子系統200的此種構造中,將可切換電漿電位提升超過上部電漿電位會驅動高能電子射束(具有電子電流jee )以形成可切換電漿222,同時整個SQNB子系統200的粒子平衡會迫使等量的電子(例如電子電流je2 )與離子(例如離子電流ji2 )衝擊基板225(即,|ji2 |~|je2 |)。此電荷平衡顯示如導引至基板225的空間-電荷中性化中性射束,其可在基板225啟動第一SQNB程序及/或第二SQNB程序。
圖3顯示依照本發明之實施例之可切換中性射束子系統的示範方塊圖。在所示之實施例中,顯示一示範的可切換準中性射束(SQNB)系統,而示範的SQNB系統300能夠包含可切換準中性射束(SQNB)子系統305,此子系統可包含至少一電漿產生腔室310以及至少一SQNB處理腔室315。其中一或多個電漿產生腔室310可以上部電漿電位來產生上部電漿313,而其中至少一SQNB處理腔室315可提供無污染物、真空的環境,此環境係用於在第一SQNB時間期間以及在第二SQNB時間期間執行使用圖案化基板325的第一SQNB程序以及第二SQNB程序。例如,第一及/或第二SQNB程序可包含:遮罩層固化(curing)、乾燥、減縮、校正、及/或硬化(hardening)程序;蝕刻程序;灰化程序;清理程序;或沉積程序;或其任何組合。或者,可使用中性射束(NB,neutral beam)子系統或可切換中性射束(SNB)系統。
電漿產生腔室310可包含上部電漿區域312,其可用以接收處於第一流率的第一電漿產生氣體並且可用以形成上部電漿313。SQNB處理腔室315可包含可切換電漿區域352,其係配置在上部電漿區域312的下游。SQNB處理腔室315可用以接收來自上部電漿區域312的電子通量以及一或多個電漿物質,並且以可切換電漿電位與第二壓力來形成可切換電漿353。在若干範例中,一或多個分隔部件370可設置在上部電漿區域312與可切換電漿區域352之間。
SQNB系統300可包含上部氣體供應系統345,其可使用至少一第一供應線路346而耦合至位於電漿產生腔室310內的一或多個第一氣體分佈元件347。第一氣體分佈元件347可設置在電漿產生腔室310內部,並且可用以將第一電漿產生氣體導入至位於上部電漿區域312內的一或多個區段。其中一或多個控制器395可耦合至上部氣體供應系統345,以及其中至少一控制器395可用以控制及/或監視上部氣體供應系統345。此外,第一氣體分佈元件347可以不同流率將不同氣體提供至位於上部電漿區域312內的其中一或多個區段。或者,可使用別的導入方法。第一電漿產生氣體可包含正電性氣體、或負電性氣體、或其混合物。例如,第一電漿產生氣體可包含鈍氣、含氧氣體、含氮氣體、含氟氣體、或含碳氣體、或其任何組合。在額外的範例中,第一電漿產生氣體可包含任何適用於執行使用圖案化基板325之SQNB程序的氣體,以及第一電漿產生氣體可包含任何具有適用於執行使用圖案化基板325的SQNB程序之化學成分、原子或分子的氣體。這些化學成分可包含蝕刻劑、膜形成氣體、稀釋劑、清理氣體等等。上部氣體供應系統345可包含一或多個氣體供應部或氣體源、一或多個控制閥、一或多個過濾器、一或多個質量流量控制器、一或多個量測裝置等等。第一供應線路346及/或第一氣體分佈元件347可包含一或多個控制閥、一或多個過濾器、一或多個質量流量控制器等等。
此外,示範的SQNB系統300能夠包含可耦合至多匝感應線圈362的電漿產生源360,以及電漿產生源360可包含無線射頻(RF)產生器,此無線射頻產生器係透過匹配網路361將RF功率耦合至多匝感應線圈362。其中一或多個控制器395可耦合至電漿產生源360以及匹配網路361,以及其中至少一控制器395可用以控制及/或監視電漿產生源360以及匹配網路361。例如,來自電漿產生源360的RF功率可從大約10瓦特分佈到大約700瓦特。RF功率係從多匝感應線圈362透過介電窗363而感應耦合至位於上部電漿區域312內的上部電漿313。匹配網路361可用以藉由降低反射的功率而改善到電漿的RF功率傳輸,並且可用以量測發射及/或反射的功率。匹配網路佈局(例如L-型、π-型、T-型等等)與自動控制方法係為熟習本項技藝者所熟知。
RF功率對多匝感應線圈362的典型施加頻率可從約2 MHz分佈到約100 MHz。此外,吾人可使用槽型法拉第(Faraday)護板364來降低多匝感應線圈362與電漿之間的電容性耦合。雖然可藉由任何電漿源來加熱上部電漿313,但還是期望藉由圖2所示使其電漿電位Vup 產生最小波動的方法來加熱上部電漿。
在替代實施例中,別的電漿產生系統(未圖示)可耦合至電漿產生腔室310並且用以在上部電漿區域312內產生上部電漿313。此種別的電漿產生系統可包含用以產生電容耦合電漿(CCP)、感應耦合電漿(ICP)、變壓器耦合電漿(TCP)、表面波電漿、螺旋波電漿、或電子迴旋共振(ECR)加熱電漿、或熟習電漿形成技藝者所瞭解之其他種類電漿的系統。此外,吾人可使用任何ICP源,以產生降低或最小(Vp1 )波動。
在若干實施例中,SQNB系統300可包含上部電源340、可耦合至上部電源340的上部多位置開關342、以及上部饋穿(feed-thru)元件314。其中一或多個控制器395可耦合至上部電源340以及上部多位置開關342,以及其中至少一控制器395可用以控制及/或監視上部電源340以及上部多位置開關342。例如,上部饋穿元件314可包含濾波器及/或感測器。上部饋穿元件314可用以將上部多位置開關342的第一共用端口(c)耦合至位於電漿產生腔室310內的上部直流(DC)導電電極311,以及上部饋穿元件314可設置成允許電性連接至上部DC導電電極311。
此外,上部多位置開關342可包含:共用端口(c);第一可切換端口(a),可耦合至接地電位;以及第二可切換端口(b),可耦合至上部電源340。當使用第一位置(路徑c-a)時,上部DC導電電極311可耦合至接地電位,以及當使用第二位置(路徑c-b)時,上部DC導電電極311可耦合至上部電源340。例如,上部電源340可提供DC功率、及/或AC功率,以及來自上部電源340的輸出可為固定式、可為變化式、可為脈衝式、可為步進式(stepped)、及/或可為等變式(ramped)。在若干範例中,當上部DC導電電極311係耦合至上部電源340時,上部電源340可提供比提供到下部偏壓電極317之偏壓DC電壓更小的DC電壓。
在其他實施例中,上部DC導電電極311可耦合至接地,而上部饋穿元件314、上部電源340、及/或上部多位置開關342可為非必要。又在其他實施例中,上部DC導電電極311可使用上部電源340而耦合至接地。
上部DC導電電極311可具有導電表面,此表面係作為與上部電漿313接觸的邊界。例如,上部DC導電電極311可包含摻雜矽電極。上部DC導電電極311可作為離子沉,其係藉由處於上部電漿電位(Vp1 )的上部電漿313所驅動。雖然在圖3中顯示單一元件,但SQNB系統300可包含一或多個上部DC導電電極311、一或多個上部電源、以及一或多個上部多位置開關342。
當上部DC導電電極311接地時,期望上部DC導電電極311包含與上部電漿313接觸的相對大面積。當上部DC導電電極係耦合至DC接地時,吾人可藉由增加上部DC導電電極311的表面積來使上部電漿電位下降。例如,與上部電漿313接觸之上部DC導電電極311之導電表面的表面積可大於與上部電漿313接觸的任何其他表面積。此外,例如,與上部電漿313接觸之上部DC導電電極311之導電表面的表面積可大於與上部電漿313接觸之所有其他導電表面的總和。或者,如一範例,與上部電漿313接觸之上部DC導電電極311的導電表面可為唯一與上部電漿313接觸的導電表面。上部DC導電電極311可提供到DC接地的最低阻抗路徑。
此外,SQNB子系統305可包含至少一分隔部件370,其可設置在上部電漿區域312與可切換電漿區域352之間。分隔部件370可包含用以產生複數射束350的一或多個開口372,這些射束可包含從上部電漿區域312內之上部電漿313到可切換電漿區域352的至少一電漿物質以及電子通量。例如,位於複數射束350內的電子及/或離子可用以在可切換電漿區域352內形成可切換電漿353。例如,分隔部件370可包含複數開口372,而每一個開口372能夠用以產生可具有射束角度(Φ)的射束350。射束角度(Φ)可從大約80度變化至大約89.5度。在若干範例中,可使用電子/粒子角度軌跡的機率分佈函數來界定射束角度。
分隔部件370內的其中一或多個開口372可包含超德拜長度孔徑,即,橫向尺寸或直徑係大於德拜長度。開口372可大至足以容許適當的電子輸送;以及開口372可小至足以允許上部電漿電位與可切換電漿電位之間的足夠高電位差,並且降低可切換電漿353與上部電漿313之間的任何逆向離子電流。又,一或多個開口372可小至足以維持上部電漿區域312內之第一壓力與可切換電漿區域352內之第二壓力之間的壓差。
依然參考圖3,SQNB系統300可包含壓力控制系統354,其可耦合至SQNB處理腔室315。其中一或多個控制器395可耦合至壓力控制系統354,以及其中至少一控制器395可用以控制及/或監視壓力控制系統354。在若干範例中,壓力控制系統354可包含真空幫浦358以及包含可耦合至SQNB處理腔室315的真空閥359,以及壓力控制系統354可用以排空SQNB處理腔室315並且控制SQNB處理腔室315內的壓力。或者,吾人可使用不同數量的幫浦及/或不同數量的流量控制裝置來設置壓力控制系統354。真空幫浦358可包含抽排速度能夠達到每秒5000升(以上)的渦輪分子真空幫浦(TMP,turbo-molecular vacuum pump),而真空閥359可包含閘閥。真空閥359可耦合至形成在SQNB處理腔室315之底部的排放空間。再者,用以監視腔室情況的一或多個第一感測器338可耦合至SQNB處理腔室315,以及其中一或多個第一感測器338可用以量測SQNB處理腔室315內的壓力。
此外,可切換基板支座320可被擋板部件321所包圍,此擋板部件係延伸超出可切換基板支座320的周緣。擋板部件321可用以將由壓力控制系統354所供應的抽排速度均勻地分佈至可切換電漿區域352。擋板部件321可由介電材料所製造,例如石英、或氧化鋁。擋板部件321能夠對可切換電漿353提供到接地的高RF阻抗。
在若干實施例中,半導體基板用的傳輸端口301可形成在SQNB處理腔室315的側壁內,並且可藉由接附於其上的閘閥302進行開啟/關閉。其中一或多個控制器395可耦合至閘閥302,以及其中至少一控制器395可用以控制及/或監視閘閥302。例如,圖案化基板325可從傳輸子系統(170,圖1)透過傳輸端口301以及閘閥302而傳入與傳出SQNB處理腔室315,以及此基板可由安裝在可切換基板支座320內的基板舉升銷(未圖示)所接收並且藉由安裝於其內的裝置(未圖示)進行機械式的調動。在從傳輸系統接收圖案化基板325之後,此基板可下降至可切換基板支座320的上表面。基板舉升銷的設計與實現係為熟習本項技藝者所熟知。或者,可使用未圖案化基板。
SQNB系統300能夠包含可切換氣體供應系統355,其可使用至少一第二供應線路356而耦合至位於SQNB處理腔室315內的可切換氣體分佈元件357。其中一或多個控制器395可耦合至可切換氣體供應系統355,以及其中至少一控制器395可用以控制及/或監視可切換氣體供應系統355。可切換氣體供應系統355與可切換氣體分佈元件357可用以在第一SQNB程序期間,將至少一第一SQNB處理氣體導入到可切換電漿區域352;以及可用以在第二SQNB程序期間,將至少一第二SQNB處理氣體導入到可切換電漿區域352。例如,第一及/或第二SQNB處理氣體可包含固化氣體、乾燥氣體、減縮氣體、校正氣體、硬化氣體、蝕刻氣體、灰化氣體、清理氣體、或沉積氣體、或其任何組合。或者,可使用別的導入方法。
可切換氣體分佈元件357可用以將處理氣體導入到位於可切換電漿區域352內的一或多個區段。此外,可切換氣體分佈元件357可以不同流率將不同氣體提供至位於可切換電漿區域352內的其中一或多個區段。或者,可使用別的導入方法。處理氣體可包含正電性氣體、或負電性氣體、或其混合物。例如,處理氣體可包含鈍氣、含氧氣體、含氮氣體、含氟氣體、或含碳氣體、或其任何組合。在額外的範例中,處理氣體可包含任何適用於執行使用圖案化基板325之SQNB程序的氣體,以及處理氣體可包含任何具有適用於執行使用圖案化基板325的SQNB程序之化學成分、原子或分子的氣體。這些化學成分可包含蝕刻劑、膜形成氣體、稀釋劑、清理氣體等等。可切換氣體供應系統355可包含一或多個氣體供應部或氣體源、一或多個控制閥、一或多個過濾器、一或多個質量流量控制器、一或多個量測裝置等等。第二供應線路356及/或可切換氣體分佈元件357可包含一或多個控制閥、一或多個過濾器、一或多個質量流量控制器、一或多個開關等等。
如圖3所示,SQNB處理腔室315可包含一或多個腔室襯墊部件316,其可耦合至接地。例如,一或多個腔室襯墊部件316可配置在SQNB處理腔室的其中一或多個壁與可切換電漿區域352內的可切換電漿353之間。此外,每一個腔室襯墊部件316可由例如石英或氧化鋁的介電材料所製造,以及腔室襯墊部件316能夠對可切換電漿353提供到接地的高RF阻抗。
此外,SQNB處理腔室315可包含一或多個下部偏壓電極317,其可使用至少一絕緣體318而與SQNB處理腔室315電性絕緣。下部偏壓電極317可具有與可切換電漿353接觸的至少一導電表面。下部偏壓電極317可包含導電材料,例如金屬或摻雜矽。雖然在圖3中顯示單一下部偏壓電極317,但SQNB系統300可包含一或多個下部偏壓電極。
在若干實施例中,SQNB系統300可包含偏壓電源380、可耦合至偏壓電源380的下部多位置開關382、以及下部饋穿元件384。其中一或多個控制器395可耦合至偏壓電源380及/或下部多位置開關382,以及其中至少一控制器395可用以控制及/或監視偏壓電源380及/或下部多位置開關382。例如,下部饋穿元件384可包含濾波器及/或感測器,並且可設置成允許電性連接至下部偏壓電極317。下部饋穿元件384可用以將下部多位置開關382的第一共用端口(d)耦合至位於SQNB處理腔室315內的下部偏壓電極317。此外,下部多位置開關382能夠包含可耦合至偏壓電源380的第一可切換端口(e),並且能夠包含可耦合至接地電位的第二可切換端口(f)。當使用第一位置(路徑(d-e))時,下部偏壓電極317可耦合至偏壓電源380,而當使用第二位置(路徑(d-f))時,下部偏壓電極317可耦合至接地電位。例如,偏壓電源380可提供DC功率、及/或AC功率,以及來自偏壓電源380的輸出可為固定式、變化式、脈衝式、步進式、及/或等變式。
在其他實施例中,下部偏壓電極317可耦合至接地,而下部饋穿元件384、偏壓電源380、及/或下部多位置開關382可為非必要。又在其他實施例中,下部偏壓電極317可耦合至偏壓電源380。
為了在正確方向上驅動電子通量,偏壓電源380與下部偏壓電極317可用以將可切換電漿電位提升至超過上部電漿電位的數值。雖然非必要,但期望下部偏壓電極317包含與可切換電漿353接觸的相對大面積。處於+VDC 電位的面積愈大,可切換電漿電位將會愈接近+VDC 。如一範例,下部偏壓電極317的總面積可大於與可切換電漿353接觸之所有其他導電表面的總和。或者,如一 範例,下部偏壓電極317的總面積可為唯一與可切換電漿353接觸的導電表面。
偏壓電源380可包含可變DC電源。此外,偏壓電源380可包含雙極DC電源。偏壓電源380可更包含用以執行偏壓電源380之極性、電流、電壓、或開啟/關閉狀態的監視、調整、或控制其中至少一者的系統。電濾波器可用以將RF功率與偏壓電源380去耦合(de-couple)。
例如,由偏壓電源380施加至下部偏壓電極317的DC電壓可從大約0伏特(V)分佈到大約10000V。在期望上,由偏壓電源380施加至下部偏壓電極317的DC電壓可從大約50伏特(V)分佈到大約5000V。此外,期望DC電壓具有正極性。再者,期望DC電壓為具有大於約50V之絕對值的正電壓。
依然參考圖3,SQNB處理腔室315可包含用以支撐圖案化基板325的可切換基板支座320。可切換基板支座320可包含靜電夾固(ESC,electrostatic clamping)電極323,其可使用至少一饋穿件(ft)而耦合至夾固供應部322,並且可用以將圖案化基板325固定至可切換基板支座320的上表面。其中一或多個控制器395可耦合至夾固供應部322,以及其中至少一控制器395可用以控制及/或監視夾固供應部322。在若干實施例中,靜電夾固(ESC)電極323與夾固供應部322可用以改善圖案化基板325與可切換基板支座320之間的熱傳遞。在其他實施例中,靜電夾固(ESC)電極323可用以將圖案化基板325與可切換基板支座320隔開。
此外,可切換基板支座320可包含背側氣體元件327,其可使用至少一饋穿件(ft)而耦合至背側氣體輸送系統326,並且可用以將氣體導入到圖案化基板325的背側,以改善圖案化基板325與可切換基板支座320之間的氣體-間隙熱傳導。其中一或多個控制器395可耦合至背側氣體輸送系統326,以及其中至少一控制器395可用以控制及/或監視背側氣體輸送系統326。當圖案化基板325的溫度控制被要求在升高或降低的溫度時,可使用此種系統。例如,背側氣體輸送系統326可耦合至兩區段(中心/邊緣)背側氣 體元件327,而氦氣體間隙壓力可在圖案化基板325的中心與邊緣之間獨立地變化。在其他實施例中,背側氣體元件327可用以將圖案化基板325與可切換基板支座320隔開。
此外,SQNB系統300可包含溫度控制系統328,其可使用至少一饋穿件(ft)而耦合至可切換基板支座320,並且可用以調整與控制圖案化基板325的溫度。溫度控制系統328可耦合至一或多個溫度控制元件329。其中一或多個控制器395可耦合至溫度控制系統328,以及其中至少一控制器395可用以控制及/或監視溫度控制系統328。例如,溫度控制元件329可用以循環熱交換流體。此外,溫度控制元件329可包含加熱/冷卻元件,例如電阻式加熱元件、或熱-電加熱器/冷卻器,其可包含在可切換基板支座320內以及SQNB處理腔室315的腔室壁內與SQNB處理腔室315內部的任何其他構件內。在若干實施例中,耦合至背側氣體輸送系統326的兩區段背側氣體元件327以及耦合至溫度控制系統328的溫度控制元件329,可用以對基板建立第一邊緣溫度與第一中心溫度,以及第一邊緣溫度與第一中心溫度可介於約0℃與約100℃之間。
又在其他實施例中,SQNB系統300可包含額外的基板偏壓構件,而可切換基板支座320可使用至少一隔離元件335而與SQNB處理腔室315內的底部腔室壁電性隔離。可切換基板支座320可包含基板偏壓電極333,其可耦合至偏壓產生器330、濾波器網路331、第一多位置開關332、及/或第一饋穿元件334。其中一或多個控制器395可耦合至偏壓產生器330、濾波器網路331、及/或第一多位置開關332,以及其中至少一控制器395可用以控制及/或監視偏壓產生器330、濾波器網路331、及/或第一多位置開關332。例如,第一饋穿元件334可包含濾波器及/或感測器,並且可設置成允許電性連接至基板偏壓電極333。第一饋穿元件334可用以將第一多位置開關332的共用端口(g)耦合至位於可切換基板支座320內的基板偏壓電極333。此外,第一多位置開關332能夠包含可耦合至接地電位的第一可切換端口(h)、能夠包含 可被隔離的第二可切換端口(i)、以及能夠包含可耦合至濾波器網路331的第一可切換端口(j)。當使用第一位置(路徑(g-h))時,基板偏壓電極333及/或可切換基板支座320可耦合至接地電位;當使用第二路徑(g-i)時,基板偏壓電極333及/或可切換基板支座320可被隔離;以及當使用第三路徑(g-j)時,基板偏壓電極333及/或可切換基板支座320可使用濾波器網路331而耦合至偏壓產生器330。在若干範例中,偏壓產生器330可提供DC功率、及/或AC功率,以及來自偏壓產生器330的輸出可為固定式、變化式、脈衝式、步進式、及/或等變式。在其他範例中,偏壓產生器330可提供一或多個RF信號,RF信號頻率可從約0.1MHz分佈至約100MHz,以及RF信號功率可在若干SQNB程序期間從大約10瓦特變化至大約1000瓦特。
在其他實施例中,可切換基板支座320可耦合至接地或隔離,而偏壓產生器330、濾波器網路331、第一饋穿元件334、第一多位置開關332可為非必要。又在其他實施例中,可切換基板支座320可使用偏壓產生器330及/或濾波器網路331而耦合至接地或隔離。
當可切換基板支座320耦合至接地時,圖案化基板325可處於浮動接地,因此,可切換電漿353接觸的唯一接地為圖案化基板325所提供的浮動接地。例如,當圖案化基板325夾固至可切換基板支座320時,陶瓷靜電夾固(ESC)層可將圖案化基板325與可切換基板支座320隔開。例如,ESC電壓可從大約2000V變化至大約3000V。
當使用聚焦環306時,聚焦環306可包含含矽材料並且可配置在可切換基板支座320的頂部上。在若干範例中,聚焦環306可用以包圍靜電夾固電極323、背側氣體元件327、以及圖案化基板325,以改善在此基板之邊緣的均勻度。在其他範例中,聚焦環306可包含校正環部分(未圖示),其可用以修改圖案化基板325的邊緣溫度。在各種實施例中,可使用導電或非導電聚焦環。
當使用內沉積護板308時,內沉積護板308能夠可分離地耦 合至基板支座護板307,以防止在第一及/或第二SQNB程序期間所產生的副產物沉積在可切換基板支座320上。或者,內沉積護板308及/或基板支座護板307可為非必要。擋板部件321與基板支座護板307可包含以例如Y2 O3 之陶瓷加以覆蓋的鋁本體。
如圖3所示,SQNB系統300可包含一或多個感測器(338、339),其可包含用以監視由可切換電漿區域352內之可切換電漿353所發射之光的一或多個光學裝置、及/或用以監視排放氣體的一或多個氣體感測裝置。感測器(338、339)可包含光學感測器,其可使用作為終點偵測器(EPD,End Point Detector)並且可提供EPD資料。例如,可使用光學放射光譜(OES,Optical Emission Spectroscopy)感測器。此外,感測器(338、339)可包含電流及/或電壓探針、功率計、光譜分析儀、或RF阻抗分析儀、或其任何組合。再者,電信號(例如電壓或電流的時間軌跡)的量測允許使用離散傅立葉級數表示(Fourier series representation)(假設週期信號)將此信號轉變成頻率域(frequency domain)。之後,吾人可對傅立葉光譜(或對時變信號、頻譜)進行監視與分析,以對電漿的狀態進行特徵化。
此外,SQNB系統300可包含一或多個控制器395,其可包含能夠產生控制電壓之一或多個微處理器、一或多個記憶體元件、以及一或多個類比及/或數位I/O裝置(可能包含D/A及/或A/D轉換器),此控制電壓足以通訊與啟動對SQNB系統300的輸入以及監視來自SQNB系統300的輸出。如圖3所示,控制器395可耦合至閘閥302、夾固供應部322、背側氣體輸送系統326、溫度控制系統328、偏壓產生器330、濾波器網路331、第一多位置開關332、感測器(338、339)、上部電源340、上部多位置開關342、上部氣體供應系統345、可切換氣體供應系統355、壓力控制系統354、電漿產生源360、偏壓電源380、以及下部多位置開關382,並與其交換資訊。儲存在此記憶體內的一或多個程式可用以依照所儲存的處理配方而與SQNB系統300的上述構件互動。
其中一或多個控制器395可實現如通用電腦系統,其係因應用以執行包含在記憶體內之一或多個指令之一或多個順序的控制 器/處理器而執行基於本發明之以微處理器為基的一部分或全部處理步驟。此種指令可從例如硬碟或可移除式媒體驅動器的另一電腦可讀取媒體讀取到控制器記憶體內。位於多處理裝置內的一或多個處理器亦可使用作為控制微處理器,以執行儲存在記憶體內之指令的順序。在替代實施例中,可使用硬佈線(hard-wired)電路來取代軟體指令,或與軟體指令結合。因此,實施例並不限於硬體電路與軟體的任何特定結合。
在各種實施例中,與上部氣體供應系統345相關的電漿物質可包含Ar、CF4 、F2 、C4 F8 、CO、C5 F8 、C4 F6 、CHF3 、N2 /H2 、或HBr、或其兩者以上之任何組合。複數第一氣體分佈元件347能夠對上部電漿區域312的不同區域提供不同的流率。此外,與可切換氣體供應系統355相關的電漿物質可包含Ar、CF4 、F2 、C4 F8 、CO、C5 F8 、C4 F6 、CHF3 、N2 /H2 、或HBr、或其兩者以上之任何組合。複數可切換氣體分佈元件357能夠對可切換電漿區域352的不同區域提供不同的流率。
當第一電漿產生氣體及/或第一SQNB處理氣體包含至少一氟碳化合物氣體以及至少一惰性氣體時,第一氟碳化合物氣體流率係在大約10sccm與大約50sccm之間變化,第一惰性氣體流率係在大約3sccm與大約20sccm之間變化,以及氟碳化合物氣體包含C4 F6 、C4 F8 、C5 F8 、CHF3 、或CF4 、或其任何組合,而惰性氣體可包含Ar、氦(He)、氪(Kr)、氖(Ne)、氡(Rn)、或氙(Xe)、或其任何組合。
當第一電漿產生氣體及/或第一SQNB處理氣體包含CO時,CO流率可在大約2sccm與大約20sccm之間變化。
如一範例,在正電放電時,電子密度可從大約1010 cm-3 分佈到1013 cm-3 ,以及電子溫度可從約1eV分佈到約10eV(根據所使用之電漿源的種類)。
如圖3所示,複數射束350可包含穿過分隔部件370發生在上部電漿區域312與可切換電漿區域352之間的電子通量。電子輸送係由電場增強擴散所驅動,其中電場係由上部電漿電位與可 切換電漿電位之間的電位差所建立。複數射束350能夠包含可充滿能量以維持可切換電漿353之游離化的電子通量。
當第一及/或第二SQNB處理係由SQNB系統300所執行時,可開啟閘閥302,並且可將圖案化基板325傳輸到SQNB處理腔室315內並放置在可切換基板支座320上。電漿產生腔室310可提供上部電漿物質,而SQNB處理腔室315可使用上部電漿物質在鄰近圖案化基板325之表面的可切換電漿區域352內促進可切換電漿353的生成。可切換電漿物質可包含例如C4 F8 的氟碳化合物元素(Cx Fy ),並且可含有另一成分,例如Ar、或CO。吾人可使用第一及/或第二SQNB處理配方來建立上部電漿物質(離子)及/或電子的流率。在第一SQNB程序期間,可游離化氣體或氣體混合物能夠從可切換氣體供應系統355導入,以及處理壓力可使用壓力控制系統354加以調整。此外,在SQNB處理程序期間,可游離化處理氣體或處理氣體混合物能夠從可切換氣體供應系統355導入,以及處理壓力可使用壓力控制系統354加以調整。例如,在各種第一及/或第二SQNB程序期間,電漿產生腔室310內的壓力可從約1毫托(mtorr)分佈到約1200mtorr,以及SQNB處理腔室315內的壓力可在約0.1mtorr與約150mtorr之間分佈。在其他範例中,於其他第一SQNB及/或第二SQNB程序期間,電漿產生腔室310內的壓力可從約10mtorr分佈到約150mtorr,以及SQNB處理腔室315內的壓力可在約1mtorr與約15mtorr之間分佈。
在若干SQNB處理程序期間,RF信號可以一預定功率等級從偏壓產生器330施加至基板偏壓電極333,以維持並控制在可切換電漿區域352內所產生的可切換電漿353。例如,在將上部電漿物質、電子、及/或處理氣體供應到SQNB處理腔室315內時,RF信號可以一或多個信號功率等級將離子吸引力提供至下部電極。此外,可從偏壓電源380將預定DC電壓施加到其中一或多個DC導電偏壓電極。再者,可從夾固供應部322將另一DC電壓施加到靜電夾固電極323,以將半導體基板固定在可切換基板支座320上。在可切換電漿353內所產生的自由基與離子可用以處理位在 圖案化基板325上的光阻層。
其中一或多個感測器(338、339)可用以偵測電漿狀態,俾能使控制器395能夠使用偵測到的電漿狀態來控制SQNB子系統305、第一SQNB程序(配方)參數、及/或第二SQNB程序(配方)參數。此外,其中一或多個感測器(338、339)可用以在第一及/或第二SQNB程序期間量測電漿鞘長度及/或電子密度。
當圖案化基板325上的光阻膜包含193nm光阻材料時,在SQNB固化程序期間,193nm光阻材料當以電子照射時會改變其聚合物結構。當193nm光阻材料的組成因為光阻交聯反應而重組時,可增加193nm光阻材料的耐蝕刻特性,並且可減少193nm光阻材料的表面粗糙度。因此,電漿狀態可由控制器395加以控制,以藉由電子照射來增強193nm光阻材料(尤其,ArF光阻材料)的耐蝕刻特性。
圖4顯示依照本發明之實施例之可切換準中性射束(SQNB)程序的示範流程圖。在所示之實施例中,提供關於使用如圖2A、2B、以及3所示之SQNB子系統在一或多個圖案化基板上執行一或多個SQNB處理的程序400。例如,SQNB處理可包含:遮罩層固化、乾燥、減縮、校正、及/或硬化程序;蝕刻程序;灰化程序;清理程序;或沉積程序;或其任何組合。
在410中,能夠藉由可耦合至其中一或多個子系統(110、120、130、140、150、以及160)的傳輸子系統(170,圖1)來接收第一組圖案化基板。或者,能夠藉由傳輸子系統(170,圖1)來接收未圖案化基板。每一個圖案化基板於其上可具有複數第一閘極堆疊體(501,圖5A),而第一閘極堆疊體(501,圖5A)可包含複數閘極相關遮罩特徵部(550,圖5A)以及複數額外層(510、515、520、525、530、535、540、以及545,圖5A-5B)。或者,可以不同方式來設置第一閘極堆疊體。其中一或多個控制器(114、124、134、144、154、164、以及190)可用以接收、判定、及/或發送與其中一或多個第一組圖案化基板相關的即時及/或歷史資料。
在415中,可使用其中一或多個控制器(114、124、134、144、 154、164、以及190)來決定第一組圖案化基板的第一SQNB相關處理順序。第一SQNB相關處理順序可包含一或多個固化程序、一或多個乾燥程序、一或多個減縮程序、一或多個校正程序、一或多個硬化程序、一或多個蝕刻程序、一或多個灰化程序、一或多個清理程序、一或多個評估程序、一或多個驗證程序、一或多個量測程序、或一或多個沉積程序、或其任何組合。
在若干實施例中,能夠使用可如圖2A、2B以及3所示般設置的SQNB子系統(150,圖1)來執行第一SQNB相關處理順序中的程序。在其他實施例中,能夠使用其中一或多個其他子系統(110、120、130、140、160、以及170)來執行第一SQNB相關處理順序中的程序。此外,可使用其中一或多個子系統(110、120、130、140、150、160、以及170)來執行驗證程序。例如,可使用評估子系統(160,圖1)來獲得第一組圖案化基板的量測資料及/或CDSEM資料,以及光學數位輪廓量測(ODP)模型可用以提供閘極堆疊體(501-501b,圖5A)以及(501c-501e,圖5B)的量測資料。此外,量測資料可包含輪廓資料、週期資料、波長資料、繞射信號資料、反射資料、CD資料、以及SWA資料。
在420中,可執行第一SQNB程序。能夠使用第一SQNB程序來處理可選自於第一組圖案化基板的第一圖案化基板。例如,第一SQNB程序可用以修改及/或評估遮罩層。第一圖案化基板能夠設置在位於SQNB處理腔室(315,圖3)內的可切換基板支座(320,圖3)上,以及可切換基板支座(320,圖3)可使用至少一隔離元件(335,圖3)而與SQNB處理腔室(315,圖3)內的底部腔室壁電性隔離。
第一圖案化基板於其上可具有複數第一閘極堆疊體(501,圖5A),而第一閘極堆疊體(501,圖5A)可包含複數遮罩特徵部(550,圖5A)(其可為金屬閘極相關)、以及複數額外層(510、515、520、525、530、535、540、以及545,圖5A-5B)(其可包含一或多個金屬閘極相關層)。或者,可以不同方式來設置第一閘極堆疊體(501,圖5A)並且可將其用於多晶矽閘極(poly-gate)程序。在若干範例 中,第一SQNB光阻修改程序可用以減縮、校正、保護、固化、及/或硬化第一閘極堆疊體(501,圖5A)中的遮罩特徵部(550,圖5A),以產生如第二閘極堆疊體(501a,圖5A)所示之複數已修改、固化、減縮、保護、及/或硬化的遮罩特徵部(550a,圖5A)。或者,可以不同方式來設置第一閘極堆疊體(501,圖5A)及/或第二閘極堆疊體(501a,圖5A)。
在第一SQNB光阻修改程序期間,吾人可使用第一電漿產生氣體在上部電漿區域內以第一上部電漿電位來產生第一上部電漿。在各種範例中,第一電漿產生氣體可包含Ar、CF4 、F2 、O2 、N2 、CO、C4 F8 、C5 F8 、C4 F6 、CHF3 、N2 /H2 、或HBr、或其兩者以上之任何組合。複數第一氣體分佈元件(347,圖3)可對上部電漿區域(312,圖3)的不同區域提供不同的流率。
在若干實施例中,上部多位置開關(342,圖3)可用以在第一SQNB光阻修改程序的其中若干部分期間將上部DC導電電極(311,圖3)耦合至接地電位,以及上部多位置開關(342,圖3)可用以在第一SQNB光阻修改程序的其他部分期間將上部DC導電電極(311,圖3)耦合至上部電源(340,圖3),以控制第一上部電漿電位。在其他實施例中,上部多位置開關(342,圖3)可用以在實質上所有第一SQNB光阻修改程序期間將上部DC導電電極(311,圖3)耦合至接地電位,以控制第一上部電漿電位。在若干其他實施例中,上部多位置開關(342,圖3)可用以在實質上所有第一SQNB光阻修改程序期間將上部DC導電電極(311,圖3)耦合至上部電源(340,圖3),以控制第一上部電漿電位。例如,在第一SQNB光阻修改程序期間,上部電源(340,圖3)可提供DC功率及/或AC功率,以及來自上部電源(340,圖3)的輸出可為固定式、變化式、脈衝式、步進式、及/或等變式,以控制第一上部電漿電位。
吾人亦可使用來自第一上部電漿的電子通量以在可切換電漿區域內形成處於第一SQNB電漿電位之第一SQNB光阻修改電漿。來自上部電漿區域內之第一上部電漿的電子通量係從電漿產生腔室通過分隔部件而到達可產生第一SQNB光阻修改電漿的 SQNB處理腔室。如圖2A、2B、以及3所示,可切換電漿區域可位在SQNB處理腔室內,以及位於配置在電漿產生腔室與SQNB處理腔室之間的分隔部件內的一或多個開口或通道可用以促進電子與一或多種電漿物質從上部電漿區域到可切換電漿區域的輸送或供應。
此外,可將第一SQNB光阻修改電漿電位提升超過第一上部電漿電位而控制電子通量。上部電漿區域內的第一上部電漿可為邊界驅動電漿(即,電漿邊界對各別電漿電位具有實質影響),以及與第一電漿接觸的部分或整個邊界可耦合至DC接地。此外,可切換電漿區域內的第一SQNB光阻修改電漿可為邊界驅動電漿,其中與可切換電漿接觸的部分或整個邊界係耦合至處於+VDC 的DC電壓源。可使用圖2A、2B、以及3所提供之實施例的其中任一者或組合來將第一SQNB電漿電位提升超過第一上部電漿電位。
在若干替代實施例中,下部多位置開關(382,圖3)可用以在第一SQNB光阻修改程序的其中若干部分期間將下部偏壓電極(317,圖3)耦合至接地電位,以及下部多位置開關(382,圖3)可用以在第一SQNB光阻修改程序的其他部分期間將下部偏壓電極(317,圖3)耦合至偏壓電源(380,圖3),以控制第一SQNB電漿電位。在其他替代實施例中,下部多位置開關(382,圖3)可用以在實質上所有第一SQNB光阻修改程序期間將下部偏壓電極(317,圖3)耦合至接地電位,以控制第一SQNB電漿電位。在若干其他實施例中,下部多位置開關(382,圖3)可用以在實質上所有第一SQNB光阻修改程序期間將下部偏壓電極(317,圖3)耦合至偏壓電源(380,圖3),以控制第一SQNB電漿電位。例如,在第一SQNB光阻修改程序期間,偏壓電源(380,圖3)可提供DC功率、及/或AC功率,以及來自偏壓電源(380,圖3)的輸出可為固定式、變化式、脈衝式、步進式、及/或等變式,以控制第一SQNB處理電漿電位。
再者,吾人可在第一SQNB光阻修改程序期間藉由抽排SQNB 處理腔室並且控制第一光阻修改氣體進入SQNB處理腔室的流率而控制SQNB處理腔室內的壓力。在各種範例中,第一光阻修改氣體可包含Ar、CF4 、F2 、O2 、N2 、CO、C4 F8 、C5 F8 、C4 F6 、CHF3 、N2 /H2 、或HBr、或其兩者以上之任何組合。複數第二氣體分佈元件(357,圖3)能夠對可切換電漿區域(352,圖3)的不同區域提供不同的流率。在第一SQNB光阻修改程序期間,圖案化基板可曝露於可切換電漿區域內的第一SQNB電漿。將基板曝露於第一SQNB處理電漿可包含將基板曝露於單一高能空間-電荷中性化中性射束啟動的化學處理。
在若干額外實施例中,第一多位置開關(332,圖3)可用以在第一SQNB光阻修改程序的其中若干部分期間將可切換基板支座(320,圖3)耦合至接地電位,第一多位置開關(332,圖3)可用以在第一SQNB光阻修改程序的其他部分期間將可切換基板支座(320,圖3)隔離,及/或第一多位置開關(332,圖3)可用以在第一SQNB光阻修改程序的又其他部分期間將可切換基板支座(320,圖3)耦合至偏壓電源(380,圖3),以控制第一SQNB電漿。在其他額外實施例中,第一多位置開關(332,圖3)可用以在實質上所有第一SQNB光阻修改程序期間將可切換基板支座(320,圖3)耦合至接地電位,以控制第一SQNB處理電漿。又在其他額外實施例中,第一多位置開關(332,圖3)可用以在實質上所有第一SQNB光阻修改程序期間將可切換基板支座(320,圖3)隔離,以控制第一SQNB處理電漿。在若干其他額外實施例中,第一多位置開關(332,圖3)可用以在實質上所有第一SQNB光阻修改程序期間將可切換基板支座(320,圖3)耦合至偏壓電源(380,圖3),以控制第一SQNB處理電漿。
在425中,可執行一或多個第二SQNB程序,而第二SQNB程序可包含特徵部形成及/或特徵部修改順序,此順序可包含量測處理、評估處理、驗證處理、蝕刻處理、灰化處理、顯影處理、或其他光阻移除處理。在若干實施例中,第二SQNB程序可用以處理第二閘極堆疊體(501a,圖5A)而產生第三(新)閘極堆疊體 (501b,圖5A)。吾人可使用第二SQNB程序來處理於其上具有已修改遮罩特徵部(550a,圖5A)之圖案的第一基板。例如,需要特徵部形成及/或特徵部修改順序的每一個基板可設置在位於SQNB處理腔室(315,圖3)內的可切換基板支座(320,圖3)上,以及可切換基板支座(320,圖3)可使用至少一隔離元件(335,圖3)而與SQNB處理腔室(315,圖3)內的底部腔室壁電性隔離。
第一圖案化基板於其上可具有複數第二閘極堆疊體(501a,圖5A),而第二閘極堆疊體(501a,圖5A)可包含複數已修改遮罩特徵部(550a,圖5A)(其可為金屬閘極相關)、以及複數額外層(510、515、520、525、530、535、540、以及545,圖5A-5B)(其可包含一或多個金屬閘極相關層)。或者,可以不同方式來設置第二閘極堆疊體(501a,圖5A)以及可將其用於多晶矽閘極程序。此外,第二SQNB程序可使用第二閘極堆疊體(501a,圖5A)中的已修改遮罩特徵部(550a,圖5A)來產生如第三閘極堆疊體(501b,圖5A)所示的複數已處理(已蝕刻)閘極寬度控制特徵部(540b,圖5A)以及複數已處理(已蝕刻)第三硬遮罩特徵部(545b,圖5A)。或者,可以不同方式來設置第二閘極堆疊體(501a,圖5A)及/或第三閘極堆疊體(501b,圖5A)。
在第二SQNB程序期間,可使用第二電漿產生氣體以第二上部電漿電位在上部電漿區域內產生第二上部電漿。在各種範例中,第二電漿產生氣體可包含Ar、CF4 、F2 、O2 、N2 、CO、C4 F8 、C5 F8 、C4 F6 、CHF3 、N2 /H2 、或HBr、或其兩者以上之任何組合。複數第一氣體分佈元件(347,圖3)可對上部電漿區域(312,圖3)的不同區域提供不同的流率。
在若干實施例中,上部多位置開關(342,圖3)可用以在第二SQNB程序的其中若干部分期間將上部DC導電電極(311,圖3)耦合至接地電位,以及上部多位置開關(342,圖3)可用以在第二SQNB程序的其他部分期間將上部DC導電電極(311,圖3)耦合至上部電源(340,圖3),以控制第二上部電漿電位。在其他實施例中,上部多位置開關(342,圖3)可用以在實質上所有第二SQNB 程序期間將上部DC導電電極(311,圖3)耦合至接地電位,以控制第二上部電漿電位。在若干其他實施例中,上部多位置開關(342,圖3)可用以在實質上所有第二SQNB程序期間將上部DC導電電極(311,圖3)耦合至上部電源(340,圖3),以控制第二上部電漿電位。例如,在第二SQNB程序期間,上部電源(340,圖3)可提供DC功率及/或AC功率,以及來自上部電源(340,圖3)的輸出可為固定式、變化式、脈衝式、步進式、及/或等變式,以控制第二上部電漿電位。
吾人亦可使用來自第二上部電漿的電子通量在可切換電漿區域內以第二SQNB處理電漿電位來形成第二SQNB處理電漿。來自上部電漿區域內之第二上部電漿的電子通量係從電漿產生腔室通過分隔部件而到達可產生第二SQNB處理電漿的SQNB處理腔室。如圖2A、2B、以及3所示,可切換電漿區域可位在SQNB處理腔室內,其中位於配置在電漿產生腔室與SQNB處理腔室之間的分隔部件內的一或多個開口或通道可在第二SQNB程序期間促進電子與一或多種電漿物質從上部電漿區域到可切換電漿區域的輸送或供應。
此外,可將第二SQNB處理電漿電位提升超過第二上部電漿電位而控制電子通量。上部電漿區域內的第二上部電漿可為邊界驅動電漿(即,電漿邊界對各別電漿電位具有實質影響),其中與第二上部電漿接觸的部分或整個邊界係耦合至DC接地。此外,可切換電漿區域內的第二SQNB處理電漿可為邊界驅動電漿,其中與第二SQNB處理電漿接觸的部分或整個邊界係耦合至處於+VDC 的DC電壓源。可使用圖2A、2B、以及圖3所提供之實施例的其中任一者或組合來將第二SQNB處理電漿電位提升超過第二上部電漿電位。
在若干替代實施例中,下部多位置開關(382,圖3)可用以在第二SQNB程序的其中若干部分期間將下部偏壓電極(317,圖3)耦合至接地電位,以及下部多位置開關(382,圖3)可用以在第二SQNB程序的其他部分期間將下部偏壓電極(317,圖3)耦合至偏壓 電源(380,圖3),以控制第二SQNB處理電漿電位。在其他替代實施例中,下部多位置開關(382,圖3)可用以在實質上所有第二SQNB程序期間將下部偏壓電極(317,圖3)耦合至接地電位,以控制第二SQNB處理電漿電位。在若干其他替代實施例中,下部多位置開關(382,圖3)可用以在實質上所有第二SQNB程序期間將下部偏壓電極(317,圖3)耦合至偏壓電源(380,圖3),以控制第二SQNB處理電漿電位。例如,在第二SQNB程序期間,偏壓電源(380,圖3)可提供DC功率及/或AC功率,以及來自偏壓電源(380,圖3)的輸出可為固定式、變化式、脈衝式、步進式、及/或等變式,以控制第二SQNB處理電漿電位。
再者,吾人可藉由抽排SQNB處理腔室並且控制第二SQNB處理氣體在第二SQNB程序期間進入SQNB處理腔室的流率而控制SQNB處理腔室內的壓力,以及第二SQNB程序可包含一或多個蝕刻處理、一或多個灰化處理、一或多個顯影程序、或一或多個其他光阻移除處理。在各種範例中,第二SQNB處理氣體可包含Ar、CF4 、F2 、O2 、N2 、CO、C4 F8 、C5 F8 、C4 F6 、CHF3 、N2 /H2 、或HBr、或其兩者以上之任何組合。複數第二氣體分佈元件(357,圖3)能夠對可切換電漿區域(352,圖3)的不同區域提供不同的流率。圖案化基板可曝露於可切換電漿區域內的第二SQNB處理電漿。將基板曝露於第二SQNB處理電漿可包含將基板曝露於單一高能空間-電荷中性化中性射束啟動的化學處理。
在若干額外實施例中,第一多位置開關(332,圖3)可用以在第二SQNB程序的其中若干部分期間將可切換基板支座(320,圖3)耦合至接地電位,第一多位置開關(332,圖3)可用以在第二SQNB程序的其他部分期間將可切換基板支座(320,圖3)隔離,及/或第一多位置開關(332,圖3)可用以在第二SQNB程序的又其他部分期間將可切換基板支座(320,圖3)耦合至偏壓電源(380,圖3),以控制第二SQNB處理電漿。在其他額外實施例中,第一多位置開關(332,圖3)可用以在實質上所有第二SQNB程序期間將可切換基板支座(320,圖3)耦合至接地電位,以控制第二SQNB 處理電漿。又在其他額外實施例中,第一多位置開關(332,圖3)可用以在實質上所有第二SQNB程序期間將可切換基板支座(320,圖3)隔離,以控制第二SQNB處理電漿。在若干其他額外實施例中,第一多位置開關(332,圖3)可用以在實質上所有第二SQNB程序期間將可切換基板支座(320,圖3)耦合至偏壓電源(380,圖3),以控制第二SQNB處理電漿。
在430中,可執行查詢以判定第一處理順序是否已完成。當第一處理順序已完成時,程序400可分岔至步驟450。當第一處理順序未完成時,程序400可如圖4所示分岔至步驟435並繼續。
在435中,可執行一或多個第三SQNB程序。在若干實施例中,第三SQNB程序可用以修改第四閘極堆疊體(501c,圖5B)以產生第五(新)閘極堆疊體(501d,圖5B)。在若干處理順序期間,吾人可進一步使用第三SQNB程序來處理可選自於第一組預先處理基板的第一預先處理基板。如第四閘極堆疊體(501c,圖5B)所示,第一預先處理基板可具有複數已預先處理之閘極寬度控制特徵部(540c,圖5B)(其可為金屬閘極相關)、以及複數已預先處理之第三硬遮罩特徵部(545c,圖5B)(其可為金屬閘極相關)。或者,可以不同方式來設置第四閘極堆疊體(501c,圖5B)及/或第五(新)閘極堆疊體(501d,圖5B)並且可將其用於多晶矽閘極程序。
在第三SQNB程序期間,第一預先處理基板可設置在位於SQNB處理腔室(315,圖3)內的可切換基板支座(320,圖3)上,以及可切換基板支座(320,圖3)可使用至少一隔離元件(335,圖3)而與SQNB處理腔室(315,圖3)內的底部腔室壁電性隔離。此外,第三SQNB程序可用以修改第四閘極堆疊體(501c,圖5B)中的複數已預先處理的閘極寬度控制特徵部(540c,圖5B)以及複數已預先處理的第三硬遮罩特徵部(545c,圖5B),以產生如第五閘極堆疊體(501d,圖5B)所示的複數已修改閘極寬度控制特徵部(540d,圖5B)及/或複數已修改第三硬遮罩特徵部(545d,圖5B)。或者,可以不同方式來設置第四閘極堆疊體(501c,圖5B)及/或第五閘極堆疊體(501d,圖5B)。
在第三SQNB程序期間,可使用一或多個第三電漿產生氣體在上部電漿區域內以第三上部電漿電位來產生第三上部電漿。在各種範例中,第三電漿產生氣體可包含Ar、CF4 、F2 、O2 、N2 、CO、C4 F8 、C5 F8 、C4 F6 、CHF3 、N2 /H2 、或HBr、或其兩者以上之任何組合。對於其中一或多個第三電漿產生氣體,複數第一氣體分佈元件(347,圖3)可對上部電漿區域(312,圖3)的不同區域提供不同的流率。
在若干實施例中,上部多位置開關(342,圖3)可用以在第三SQNB程序的其中若干部分期間將上部DC導電電極(311,圖3)耦合至接地電位,以及上部多位置開關(342,圖3)可用以在第三SQNB程序的其他部分期間將上部DC導電電極(311,圖3)耦合至上部電源(340,圖3),以控制第三上部電漿電位。在其他實施例中,上部多位置開關(342,圖3)可用以在實質上所有第三SQNB程序期間將上部DC導電電極(311,圖3)耦合至接地電位,以控制第三上部電漿電位。在若干其他實施例中,上部多位置開關(342,圖3)可用以在實質上所有第三SQNB程序期間將上部DC導電電極(311,圖3)耦合至上部電源(340,圖3),以控制第三上部電漿電位。例如,在第三SQNB程序期間,上部電源(340,圖3)可提供DC功率及/或AC功率,以及來自上部電源(340,圖3)的輸出可為固定式、變化式、脈衝式、步進式、及/或等變式,以控制第三上部電漿電位。
吾人亦可使用來自第三上部電漿的電子通量在可切換電漿區域內以第三SQNB處理電漿電位來形成第三SQNB處理電漿。來自上部電漿區域內之第三上部電漿的電子通量係從電漿產生腔室通過分隔部件而到達可產生第三SQNB處理電漿的SQNB處理腔室。如圖2A、2B、以及3所示,可切換電漿區域可位在SQNB處理腔室內。例如,位於分隔部件內的一或多個開口或通道可配置在電漿產生腔室與SQNB處理腔室之間,以促進電子從上部電漿區域到SQNB處理腔室內之可切換電漿區域的輸送或供應。
此外,吾人可將第三SQNB處理電漿電位提升超過第三上部 電漿電位而控制電子通量。上部電漿區域內的第三上部電漿可為邊界驅動電漿(即,電漿邊界對各別電漿電位具有實質影響),以及與第三上部電漿接觸的部分或整個邊界可耦合至DC接地。此外,可切換電漿區域內的第三SQNB處理電漿可為邊界驅動電漿,以及與可切換電漿接觸的部分或整個邊界可耦合至處於+VDC 的DC電壓源。可使用圖2A、2B、以及3所提供之實施例的其中任一者或組合來將第三SQNB處理電漿電位提升超過第三上部電漿電位。
在若干替代實施例中,下部多位置開關(382,圖3)可用以在第三SQNB程序的其中若干部分期間將下部偏壓電極(317,圖3)耦合至接地電位,以及下部多位置開關(382,圖3)可用以在第三SQNB程序的其他部分期間將下部偏壓電極(317,圖3)耦合至偏壓電源(380,圖3),以控制第三SQNB處理電漿電位。在其他替代實施例中,下部多位置開關(382,圖3)可用以在實質上所有第三SQNB程序期間將下部偏壓電極(317,圖3)耦合至接地電位,以控制第三SQNB處理電漿電位。在若干其他替代實施例中,下部多位置開關(382,圖3)可用以在實質上所有第三SQNB程序期間將下部偏壓電極(317,圖3)耦合至偏壓電源(380,圖3),以控制第三SQNB處理電漿電位。例如,在第三SQNB程序期間,偏壓電源(380,圖3)可提供DC功率及/或AC功率,以及來自偏壓電源(380,圖3)的輸出可為固定式、變化式、脈衝式、步進式、及/或等變式,以控制第三SQNB處理電漿電位。
再者,吾人可藉由抽排SQNB處理腔室並且控制第三SQNB處理氣體在第三SQNB程序期間進入SQNB處理腔室的流率而控制SQNB處理腔室內的壓力。在各種範例中,第三SQNB處理氣體可包含Ar、CF4 、F2 、O2 、N2 、CO、C4 F8 、C5 F8 、C4 F6 、CHF3 、N2 /H2 、或HBr、或其兩者以上之任何組合。複數第二氣體分佈元件(357,圖3)可在第三SQNB程序期間對可切換電漿區域(352,圖3)的不同區域提供不同流率的第三SQNB處理氣體。圖案化基板可曝露於可切換電漿區域內的第三SQNB處理電漿。將基板曝 露於第三SQNB處理電漿可包含將基板曝露於第三單一高能空間-電荷中性化中性射束啟動的化學處理。
在若干額外實施例中,第一多位置開關(332,圖3)可用以在第三SQNB程序的其中若干部分期間將可切換基板支座(320,圖3)耦合至接地電位,第一多位置開關(332,圖3)可用以在第三SQNB程序的其他部分期間將可切換基板支座(320,圖3)隔離,及/或第一多位置開關(332,圖3)可用以在第三SQNB程序的又其他部分期間將可切換基板支座(320,圖3)耦合至偏壓電源(380,圖3),以控制第三SQNB處理電漿。在其他額外實施例中,第一多位置開關(332,圖3)可用以在實質上所有第三SQNB程序期間將可切換基板支座(320,圖3)耦合至接地電位,以控制第三SQNB處理電漿。又在其他額外實施例中,第一多位置開關(332,圖3)可用以在實質上所有第三SQNB程序期間將可切換基板支座(320,圖3)隔離,以控制第三SQNB處理電漿。在若干其他額外實施例中,第一多位置開關(332,圖3)可用以在實質上所有第三SQNB程序期間將可切換基板支座(320,圖3)耦合至偏壓電源(380,圖3),以控制第三SQNB處理電漿。
在440中,可執行查詢以判定第一處理順序是否已完成。當第一處理順序已完成時,程序400可分岔至步驟450。當第一處理順序未完成時,程序400可如圖4所示分岔至步驟445並繼續。
在445中,可執行一或多個第四SQNB程序。在若干實施例中,第四SQNB程序可使用第五閘極堆疊體(501d,圖5B)來產生第六(新)閘極堆疊體(501e,圖5B)。或者,可以不同方式來設置第五閘極堆疊體(501d,圖5B)及/或第六閘極堆疊體(501e,圖5B)。需要第四SQNB程序的每一個基板可設置在位於SQNB處理腔室(315,圖3)內的可切換基板支座(320,圖3)上,以及可切換基板支座(320,圖3)可使用至少一隔離元件(335,圖3)而與SQNB處理腔室(315,圖3)內的底部腔室壁電性隔離。
需要第四SQNB程序的每一個基板於其上可具有複數第五閘極堆疊體(501d,圖5B),而第五閘極堆疊體(501d,圖5B)可包含 複數已預先修改之閘極寬度控制特徵部(540d,圖5B)與複數已預先修改之第三硬遮罩特徵部(545d,圖5B)(其可為金屬閘極相關)、以及複數額外層(510、515、520、525、530、以及535,圖5B)(其可包含一或多個金屬閘極相關層)。或者,可以不同方式來設置第五閘極堆疊體(501d,圖5B)以及第六閘極堆疊體(501e,圖5B)以及可將其用於多晶矽閘極程序。此外,第四SQNB程序可使用已預先修改之閘極寬度控制特徵部(540d,圖5B)中的圖案及/或已預先修改之第三硬遮罩特徵部(545d,圖5B)中的圖案來產生如第六閘極堆疊體(501e,圖5B)所示之已處理(已蝕刻)金屬閘極特徵部520e的實質相似圖案。或者,可在執行第四SQNB程序之後以不同方式來設置第六閘極堆疊體(501e,圖5B)。
在第四SQNB程序期間,可使用第四電漿產生氣體在上部電漿區域內以第四上部電漿電位來產生第四上部電漿。在各種範例中,第四電漿產生氣體可包含Ar、CF4 、F2 、O2 、N2 、CO、C4 F8 、C5 F8 、C4 F6 、CHF3 、N2 /H2 、或HBr、或其兩者以上之任何組合。複數第一氣體分佈元件(347,圖3)可使用不同流率將第四電漿產生氣體提供至上部電漿區域(312,圖3)的不同區域。
在若干實施例中,上部多位置開關(342,圖3)可用以在第四SQNB程序的其中若干部分期間將上部DC導電電極(311,圖3)耦合至接地電位,以及上部多位置開關(342,圖3)可用以在第四SQNB程序的其他部分期間將上部DC導電電極(311,圖3)耦合至上部電源(340,圖3),以控制第四上部電漿電位。在其他實施例中,上部多位置開關(342,圖3)可用以在實質上所有第四SQNB程序期間將上部DC導電電極(311,圖3)耦合至接地電位,以控制第四上部電漿電位。在若干其他實施例中,上部多位置開關(342,圖3)可用以在實質上所有第四SQNB程序期間將上部DC導電電極(311,圖3)耦合至上部電源(340,圖3),以控制第四上部電漿電位。例如,在第四SQNB程序期間,上部電源(340,圖3)可提供DC功率及/或AC功率,以及來自上部電源(340,圖3)的輸出可為固定式、變化式、脈衝式、步進式、及/或等變式,以控制第四上 部電漿電位。
吾人亦可使用來自第四上部電漿的電子通量在可切換電漿區域內以第四SQNB處理電漿電位來形成第四SQNB處理電漿。來自上部電漿區域內之第四上部電漿的電子通量係從電漿產生腔室通過分隔部件而到達可產生第四SQNB處理電漿的SQNB處理腔室。如圖2A、、2B、以及3所示,可切換電漿區域可位在SQNB處理腔室內,其中位於配置在電漿產生腔室與SQNB處理腔室之間的分隔部件內的一或多個開口或通道促進電子從上部電漿區域到可切換電漿區域的輸送或供應。
此外,可將第四SQNB處理電漿電位提升超過第四上部電漿電位而控制電子通量。上部電漿區域內的第四上部電漿可為邊界驅動電漿(即,電漿邊界對各別電漿電位具有實質影響),其中與第四上部電漿接觸的部分或整個邊界係耦合至DC接地。此外,可切換電漿區域內的第四SQNB處理電漿可為邊界驅動電漿,其中與第四SQNB處理電漿接觸的部分或整個邊界係耦合至處於+VDC 的DC電壓源。可使用圖2A、2B、以及3所提供之實施例的其中任一者或組合來將第四SQNB處理電漿電位提升超過第四上部電漿電位。
在若干替代實施例中,下部多位置開關(382,圖3)可用以在第四SQNB程序的其中若干部分期間將下部偏壓電極(317,圖3)耦合至接地電位,以及下部多位置開關(382,圖3)可用以在第四SQNB程序的其他部分期間將下部偏壓電極(317,圖3)耦合至偏壓電源(380,圖3),以控制第四SQNB處理電漿電位。在其他替代實施例中,下部多位置開關(382,圖3)可用以在實質上所有第四SQNB程序期間將下部偏壓電極(317,圖3)耦合至接地電位,以控制第四SQNB處理電漿電位。在若干其他實施例中,下部多位置開關(382,圖3)可用以在實質上所有第四SQNB程序期間將下部偏壓電極(317,圖3)耦合至偏壓電源(380,圖3),以控制第四SQNB處理電漿電位。例如,在第四SQNB程序期間,偏壓電源(380,圖3)可提供DC功率及/或AC功率,以及來自偏壓電源(380,圖 3)的輸出可為固定式、變化式、脈衝式、步進式、及/或等變式,以控制第四SQNB處理電漿電位。
再者,吾人可藉由抽排SQNB處理腔室並且控制第四SQNB處理氣體在第四SQNB程序期間進入SQNB處理腔室的流率而控制SQNB處理腔室內的壓力。在各種範例中,第四SQNB處理氣體可包含Ar、CF4 、F2 、O2 、N2 、CO、C4 F8 、C5 F8 、C4 F6 、CHF3 、N2 /H2 、或HBr、或其兩者以上之任何組合。複數第二氣體分佈元件(357,圖3)能夠使用不同流率將其中一或多個第四SQNB處理氣體提供至可切換電漿區域(352,圖3)的不同區域。圖案化基板上的第五閘極堆疊體(501d,圖5B)可曝露於可切換電漿區域內的第四SQNB處理電漿,藉以產生第六閘極堆疊體(501e,圖5B)。將基板曝露於第四SQNB處理電漿可包含將基板曝露於單一高能空間-電荷中性化中性射束啟動的化學處理。
在若干額外實施例中,第一多位置開關(332,圖3)可用以在第四SQNB程序的其中若干部分期間將可切換基板支座(320,圖3)耦合至接地電位,第一多位置開關(332,圖3)可用以在第四SQNB程序的其他部分期間將可切換基板支座(320,圖3)隔離,及/或第一多位置開關(332,圖3)可用以在第四SQNB程序的又其他部分期間將可切換基板支座(320,圖3)耦合至偏壓電源(380,圖3),以控制第四SQNB處理電漿。在其他額外實施例中,第一多位置開關(332,圖3)可用以在實質上所有第四SQNB程序期間將可切換基板支座(320,圖3)耦合至接地電位,以控制第四SQNB處理電漿。又在其他額外實施例中,第一多位置開關(332,圖3)可用以在實質上所有第四SQNB程序期間將可切換基板支座(320,圖3)隔離,以控制第四SQNB處理電漿。在若干其他額外實施例中,第一多位置開關(332,圖3)可用以在實質上所有第四SQNB程序期間將可切換基板支座(320,圖3)耦合至偏壓電源(380,圖3),以控制第四SQNB處理電漿。
在445中,可將來自第一處理順序的資料儲存作為即時及/或歷史資料。
在450中,可結束程序400。
當使用SQNB遮罩層修改程序來處理預先發送基板時,此已處理之預先發送基板可包含複數已修改的遮罩特徵部以及至少一已修改的週期性結構。當需要量測資料時,可將此預先發送基板傳輸至評估子系統(160,圖1),並且可使用ODP技術以及至少一已修改的週期性結構來獲得此已處理之預先發送基板的量測資料。此外,SQNB遮罩層修改程序的風險資料可藉由將此量測資料與SQNB遮罩層修改程序的第一界限進行比對而加以判定。在若干範例中,吾人可使用SQNB遮罩層修改程序的第一風險資料來判定圖案化基板組(批)的風險資料。此外,可判定SQNB遮罩層修改程序的可信資料。當風險資料不小於第一風險界限時,可執行一或多個校正動作。
當使用SQNB「特徵部形成」程序來處理預先發送基板時,此已處理之預先發送基板可包含複數已處理的遮罩特徵部以及至少一已處理的週期性結構。當需要量測資料時,可將此預先發送基板傳輸至評估子系統(160,圖1),並且可使用ODP技術以及至少一已處理的週期性結構來獲得此已處理之預先發送基板的量測資料。此外,SQNB「特徵部形成」程序的風險資料可藉由將此量測資料與SQNB「特徵部形成」程序的第一界限進行比對而加以判定。在若干範例中,吾人可使用SQNB「特徵部形成」程序的第一風險資料來判定圖案化基板組(批)的風險資料。此外,可判定SQNB「特徵部形成」程序的可信資料。當風險資料不小於第一風險界限時,可執行一或多個校正動作。
在若干範例中,校正動作可包含停止處理、暫停處理、再評估其中一或多個基板、再量測其中一或多個基板、再檢查其中一或多個基板、重工其中一或多個基板、儲存其中一或多個基板、清理其中一或多個基板、延遲其中一或多個基板、或剝除其中一或多個基板、或其任何組合。
圖5A與5B說明依照本發明之實施例之使用至少一可切換準中性射束(SQNB)系統(300,圖3)來產生金屬閘極結構之第一處理 順序的示範圖。在圖5A中,顯示可用以說明第一處理順序500A的三個示範閘極堆疊體(501、501a、以及501b)。在圖5B中,顯示可用以說明第二處理順序500B的其他三個示範閘極堆疊體(501c、501d、以及501e)。或者,可使用不同數量的閘極堆疊體、不同數量的層、以及不同的構造。
參考圖5A,第一閘極堆疊體501可為由顯影程序或評估程序所造成的示範圖;第二閘極堆疊體501a可為由第一遮罩層修改程序所造成的示範圖;以及第三閘極堆疊體501b可為由第一特徵部形成及/或特徵部修改程序所造成的示範圖。或者,可顯示不同數量的閘極堆疊體。
第一閘極堆疊體501可包含基板層510、介面層515、金屬閘極層520、第一硬遮罩層525、含矽層530、第二硬遮罩層535、閘極控制層540、第三硬遮罩層545、以及複數遮罩特徵部550。在各種實施例中,基板層510可包含半導體材料;介面層515可包含隔離材料;金屬閘極層520可包含金屬材料;第一硬遮罩層525可包含TiN;含矽層530可包含非晶矽(a-Si);第二硬遮罩層535可包含矽酸四乙酯(TEOS,Tetraethyl Orthosilicate)[Si(OC2 H5 )4 ];閘極控制層540可包含閘極控制材料;第三硬遮罩層545可包含含矽抗反射塗佈(SiARC)材料;以及遮罩特徵部550可包含光阻材料551。在其他實施例中,基板層510可包含玻璃材料、陶瓷材料、塑膠材料、介電材料、及/或金屬材料。例如,此半導體材料可包含矽、及/或砷化鎵;此金屬材料可包含鋁(Al)、銅(Cu)、銀(Ag)、金(Au)、釕(Ru)、鎳(Ni)、鈷(Co)、及/或例如HfO2 的金屬氧化物;以及此光阻材料可包含157nm光阻或193nm光阻材料。
基板層510能夠具有可從大約25nm變化到大約200nm的高度(厚度)513;介面層515能夠具有可從大約2nm變化到大約10nm的高度(厚度)518;金屬閘極層520能夠具有可從大約20nm變化到大約50nm的高度(厚度)523;第一硬遮罩層525能夠具有可從大約15nm變化到大約40nm的高度(厚度)528;含矽層530能 具有可從大約25nm變化到大約60nm的高度(厚度)533;第二硬遮罩層535能夠具有可從大約5nm變化到大約20nm的高度(厚度)538;閘極控制層540能夠具有可從大約50nm變化到大約300nm的高度(厚度)543;第三硬遮罩層545能夠具有可從大約15nm變化到大約60nm的高度(厚度)548;以及遮罩特徵部550能夠具有可從大約30nm變化到大約400nm的高度(厚度)553。此外,遮罩特徵部550能夠具有可從大約30nm變化到大約400nm的特徵部寬度552,並且能夠具有可從大約30nm變化到大約400nm的分隔寬度554。
在第一處理順序500A與第二處理順序500B期間,可執行一或多個SQNB程序,並且在處理金屬閘極層520時可使用遮罩特徵部550的圖案來產生複數已處理的金屬閘極特徵部520e。例如,在SQNB遮罩層修改程序期間,遮罩層修改處理時間、遮罩層修改處理終點時間、以及光阻輪廓參數可用以作為控制變數,並且在SQNB處理程序期間,蝕刻時間、蝕刻終點時間、以及已修改之光阻輪廓參數可用以作為控制變數。此外,在第一處理順序500A及/或第二處理順序500B的其中一或多個處理程序期間,已處理之金屬閘極特徵部520e的CDs(522e、523e、以及524e)及/或SWA資料可用以作為控制變數。其中一或多個子系統(110、120、130、140、150、160、以及170,圖1)可提供額外的控制變數,這些控制變數可用以判定已處理之金屬閘極特徵部520e的CDs(522e、523e、以及524e)及/或SWA資料。
依然參考圖5A,顯示第二閘極堆疊體501a係包含基板層510、介面層515、金屬閘極層520、第一硬遮罩層525、含矽層530、第二硬遮罩層535、閘極控制層540、第三硬遮罩層545、以及已修改之遮罩特徵部550a的圖案。
在各種實施例中,基板層510可包含半導體材料;介面層515可包含隔離材料;金屬閘極層520可包含金屬材料;第一硬遮罩層525可包含TiN;含矽層530可包含非晶矽(a-Si);第二硬遮罩層535可包含TEOS;閘極控制層540可包含閘極控制材料;第三 硬遮罩層545可包含含矽抗反射塗佈(SiARC)材料;以及已硬化的軟遮罩特徵部550a可包含光阻材料551與已固化及/或已硬化的光阻材料551a。
第三硬遮罩層545a能夠具有可從大約15nm變化到大約60nm的高度(厚度)548a;以及已修改之遮罩特徵部550a能夠具有可從大約30nm變化到大約300nm的高度(厚度)553a。已修改之遮罩特徵部550a能夠具有可從大約30nm變化到大約400nm的特徵部寬度552a,並且能夠具有可從大約30nm變化到大約400nm的分隔寬度554a。此外,已固化及/或已硬化之193nm光阻材料551a的厚度可從大約1nm變化到大約10nm。
依然參考圖5A,顯示可使用第二SQNB處理程序來產生第三閘極堆疊體501b。或者,可執行不需要SQNB源的不同處理程序。第三閘極堆疊體501b可包含基板層510、介面層515、金屬閘極層520、第一硬遮罩層525、含矽層530、第二硬遮罩層535、複數已處理之閘極寬度控制特徵部540b、以及複數已處理之第三硬遮罩特徵部545b。在第二SQNB程序期間,複數已修改之遮罩特徵部550a可用以產生複數新(已處理)閘極寬度控制特徵部540b、以及複數新(已處理)第三硬遮罩特徵部545b。或者,可以不同方式來設置複數新(已處理)閘極寬度控制特徵部540b,而複數新(已處理)第三硬遮罩特徵部545b可不存在。
在各種實施例中,基板層510可包含半導體材料;介面層515可包含隔離材料;金屬閘極層520可包含金屬材料;第一硬遮罩層525可包含TiN;含矽層530可包含非晶矽(a-Si);第二硬遮罩層535可包含TEOS;已處理之閘極寬度控制特徵部540b可包含已處理之閘極寬度控制材料541b;以及已處理之第三硬遮罩特徵部545b可包含已處理之SiARC材料546b。
已處理之第三硬遮罩特徵部545b當其存在時能夠具有可從大約0nm變化到大約60nm的高度(厚度)548b。已處理之第三硬遮罩特徵部545b能夠具有可從大約30nm變化到大約300nm的特徵部寬度547b,並且能夠具有可從大約30nm變化到大約300nm 的分隔寬度549b。
已處理之閘極寬度控制特徵部540b能夠具有可從大約30nm變化到大約300nm的高度(厚度)543b。已處理之閘極寬度控制特徵部540b能夠具有可從大約30nm變化到大約300nm的特徵部寬度542b,並且能夠具有可從大約30nm變化到大約300nm的分隔寬度544b。
圖5B說明依照本發明之實施例的另一處理順序。在所示之實施例中,說明第二SQNB處理順序500B,而第二SQNB處理順序可包含第三SQNB程序以及第四SQNB程序。例如,第三SQNB程序可包含第二遮罩層修改程序,而第四SQNB程序可包含第二特徵部形成程序。參考圖5B,第四閘極堆疊體501c可為由第一處理順序或第二評估程序所造成的示範圖;第五閘極堆疊體501d可為由第三SQNB程序(額外的遮罩層修改程序)所造成的示範圖;以及第六閘極堆疊體501e可為由第四SQNB程序(額外的特徵部形成程序)所造成的示範圖。或者,可顯示不同數量的閘極堆疊體。
在圖5B中,顯示可使用第一SQNB處理順序來產生第四閘極堆疊體501c。或者,可執行不需要SQNB源的不同處理順序。第四閘極堆疊體501c可包含基板層510、介面層515、金屬閘極層520、第一硬遮罩層525、含矽層530、第二硬遮罩層535、複數預先處理閘極寬度控制特徵部540c、以及複數預先處理第三硬遮罩特徵部545c。在第二處理順序500B期間,複數預先處理閘極寬度控制特徵部540c、及/或複數預先處理第三硬遮罩特徵部545c可用以產生複數已蝕刻之金屬閘極特徵部520e。或者,可以不同方式來設置複數已預先處理之閘極寬度控制特徵部540c,而複數已預先蝕刻之第三硬遮罩特徵部545c可不存在。
在各種實施例中,基板層510可包含半導體材料;介面層515可包含隔離材料;金屬閘極層520可包含金屬材料;第一硬遮罩層525可包含TiN;含矽層530可包含非晶矽(a-Si);第二硬遮罩層535可包含TEOS;已預先處理之閘極寬度控制特徵部540c可 包含已預先處理之閘極寬度控制材料541c;以及已預先處理之第三硬遮罩特徵部545c可包含已預先處理之SiARC材料546c。在其他實施例中,基板層510可包含玻璃材料、陶瓷材料、塑膠材料、介電材料、及/或金屬材料。例如,此半導體材料可包含矽、及/或砷化鎵;此金屬材料可包含鋁(Al)、銅(Cu)、銀(Ag)、金(Au)、釕(Ru)、鎳(Ni)、鈷(Co)、及/或例如HfO2 的金屬氧化物;以及此光阻材料可包含157nm光阻或193nm光阻材料。
已預先處理之閘極寬度控制特徵部540c能夠具有可從大約30nm變化到大約300nm的高度(厚度)543c;已預先處理之第三硬遮罩特徵部545c能夠具有可從大約0nm變化到大約60nm的高度(厚度)548c。已預先處理之第三硬遮罩特徵部545c能夠具有可從大約30nm變化到大約300nm的特徵部寬度547c,並且能夠具有可從大約30nm變化到大約300nm的分隔寬度549c。此外,已預先處理之閘極寬度控制特徵部540c能夠具有可從大約30nm變化到大約300nm的特徵部寬度542c,並且能夠具有可從大約30nm變化到大約300nm的分隔寬度544c。評估子系統(160,圖1)可用以判定已預先處理之閘極寬度控制特徵部540c的CDs(542c、543c、以及544c)與SWA資料,及/或可用以判定已預先處理之第三硬遮罩特徵部545c(當其存在時)的CDs(547c、548c、以及549c)與SWA資料。
在第二SQNB處理順序500B期間,可執行一或多個SQNB程序,以及當蝕刻金屬閘極層520時,已預先處理之第三硬遮罩特徵部545c及/或已預先處理之閘極寬度控制特徵部540c可用以產生複數已蝕刻金屬閘極特徵部520e。此外,在第二處理順序500B及/或第一處理順序500A的其中一或多個蝕刻程序期間可建立已蝕刻之金屬閘極特徵部520e的CDs(522e、523e、以及524e),而這些CDs可從大約20nm分佈到大約300nm。評估子系統(160,圖1)可用以判定已蝕刻之金屬閘極特徵部520e的CDs(522e、523e、以及524e)與SWA資料。或者,CDs(522e、523e、以及524e)可與已蝕刻之多晶矽閘極特徵部520e相關,並且可從大約20nm 分佈到大約100nm。
依然參考圖5B,顯示第五閘極堆疊體501d係包含基板層510、介面層515、金屬閘極層520、第一硬遮罩層525、含矽層530、第二硬遮罩層535、複數已修改之閘極寬度控制特徵部540d、以及複數已修改之第三硬遮罩特徵部545d。或者,複數已修改之第三硬遮罩特徵部545d可不存在。
在各種實施例中,基板層510可包含半導體材料;介面層515可包含隔離材料;金屬閘極層520可包含金屬材料;第一硬遮罩層525可包含TiN;複數已修改之閘極寬度控制特徵部540d可包含閘極寬度控制材料541c以及已修改之閘極寬度控制材料541d;以及複數已修改之第三硬遮罩特徵部545d可包含已修改(已固化及/或已硬化)之SiARC材料546d以及SiARC已修改(已固化及/或已硬化)之材料546c。
複數已修改之第三硬遮罩特徵部545d能夠具有可從大約0nm變化到大約60nm的高度(厚度)548d、能夠具有可從大約30nm變化到大約300nm的特徵部寬度547d、以及能夠具有可從大約30nm變化到大約300nm的分隔寬度549d。此外,已修改之第三硬遮罩材料546d的厚度可從大約1nm變化到大約10nm。已修改之閘極寬度控制特徵部540d能夠具有可從大約30nm變化到大約300nm的高度(厚度)543d、能夠具有可從大約30nm變化到大約300nm的特徵部寬度542d、以及能夠具有可從大約30nm變化到大約300nm的分隔寬度544d。此外,已修改之閘極寬度控制材料541d的厚度可從大約1nm變化到大約10nm。
依然參考圖5B,顯示可使用第四SQNB程序來產生第六閘極堆疊體501e。或者,可執行不需要SQNB源的不同特徵部形成程序。第六閘極堆疊體501e可包含基板層510、已處理之介面層515e、以及複數已處理(已蝕刻)之金屬閘極特徵部520e。在第四SQNB程序期間,已修改之第三硬遮罩特徵部545d及/或已修改之閘極寬度控制特徵部540d可用以產生複數已處理(已蝕刻)之金屬閘極特徵部520e。或者,可以不同方式來設置複數已修改之閘極 寬度控制特徵部540d,而已修改之第三硬遮罩特徵部545d可不存在。
在若干範例中,基板層510可包含半導體材料;已處理之介面層515e可包含已處理之隔離材料;已蝕刻之金屬閘極特徵部520e可包含已蝕刻之金屬氧化物材料,例如HfO2 材料。已蝕刻之金屬閘極特徵部520e能夠具有可從大約30nm變化到大約100nm的(特徵部寬度)CD 522e、能夠具有可從大約10nm變化到大約60nm的高度(厚度)523e、以及能夠具有可從大約30nm變化到大約400nm的分隔寬度524e。
在若干替代SQNB處理順序期間,僅產生閘極堆疊體501與501e,以及可使用與閘極遮罩特徵部550相關的CDs(552、553、554)與SWA資料來判定與金屬閘極特徵部520e相關的CDs(522e、523e、524e)與SWA資料。例如,可執行一或多個SQNB蝕刻程序,並且可以不同方式來設置閘極堆疊體501與501e。此外,其中一或多個層(515、520、525、530、535、540、以及545)可為非必要或者可以不同方式加以設置。
在其他替代SQNB處理順序期間,僅產生閘極堆疊體501、501a以及501e,以及可使用與閘極遮罩特徵部550相關的CDs(552、553、554)與SWA資料及/或使用與已修改之遮罩特徵部550a相關的CDs(552a、553a、554a)與SWA資料來判定與金屬閘極特徵部520e相關的CDs(522e、523e、524e)與SWA資料。例如,可在已執行一或多個光阻修改程序之後執行一或多個SQNB蝕刻程序,並且可以不同方式來設置閘極堆疊體501、501a、以及501e。此外,其中一或多個層(515、520、525、530、535、540、以及545)可為非必要或者可以不同方式加以設置。此外,已修改之遮罩特徵部550a可包含已修改、固化、減縮、保護、及/或硬化的遮罩特徵部。
在若干其他替代SQNB處理順序期間,僅產生閘極堆疊體501c、501d以及501e,以及可使用與已預先處理之第三硬遮罩特徵部545c相關的CDs(547c、548c、549c)與SWA資料及/或使用 與已修改之第三硬遮罩特徵部545d相關的CDs(547d、548d、549d)與SWA資料來判定與金屬閘極特徵部520e相關的CDs(522e、523e、524e)與SWA資料。例如,可在已執行一或多個光阻修改程序之後執行一或多個SQNB蝕刻程序,並且可以不同方式來設置閘極堆疊體501c、501d、以及501e。此外,其中一或多個層(515、520、525、530、535、540、以及545)可為非必要或者可以不同方式加以設置。此外,已修改之遮罩特徵部550a可包含已修改、固化、減縮、保護、及/或硬化的遮罩特徵部。
在又其他替代SQNB處理順序期間,僅產生閘極堆疊體501c、501d以及501e,以及可使用與已預先處理之閘極寬度控制特徵部540c相關的CDs(542c、543c、544c)與SWA資料及/或使用與已修改之閘極寬度控制特徵部540d相關的CDs(542d、543d、544d)與SWA資料來判定與金屬閘極特徵部520e相關的CDs(522e、523e、524e)與SWA資料。例如,可在已執行一或多個光阻修改程序之後執行一或多個SQNB蝕刻程序,並且可以不同方式來設置閘極堆疊體501c、501d、以及501e。此外,其中一或多個層(515、520、525、530、535、540、以及545)可為非必要或者可以不同方式加以設置。此外,已修改之遮罩特徵部550a可包含已修改、固化、減縮、保護、及/或硬化的遮罩特徵部。
在各種SQNB遮罩層修改程序期間,電漿產生腔室(310,圖3)內的壓力可從大約50mT分佈至大約100mT;以及SQNB處理腔室(315,圖3)內的壓力可從大約50mT分佈至大約100mT。在各種SQNB特徵部形成程序期間,電漿產生腔室(310,圖3)內的壓力可從大約50mT分佈至大約100mT;以及SQNB處理腔室(315,圖3)內的壓力可從大約50mT分佈至大約100mT。
在各種SQNB遮罩層修改程序期間,第一RF功率可藉由電漿產生源(360,圖3)而提供至多匝感應線圈362,以及第一RF功率可從大約10瓦特變化至大約1500瓦特。在各種SQNB特徵部形成程序期間,第二RF功率可藉由電漿產生源(360,圖3)而提供至多匝感應線圈362,以及第二RF功率可從大約10瓦特變化至 大約1500瓦特。
在各種SQNB遮罩層修改程序期間,由偏壓電源(380,圖3)所提供的電壓可從大約0伏特變化至大約1500伏特。在各種SQNB特徵部形成程序期間,由偏壓電源(380,圖3)所提供的電壓可從大約0伏特變化至大約1500伏特。
在若干SQNB遮罩層修改及/或特徵部形成程序期間,上部氣體供應系統(345,圖3)可提供四氟甲烷(CF4 ),而CF4 流率可在大約60sccm與大約100sccm之間變化。在其他SQNB遮罩層修改及/或特徵部形成程序期間,上部氣體供應系統(345,圖3)可提供三氟甲烷(CHF3 ),而CHF3 流率可在大約40sccm與大約60sccm之間變化。
在若干SQNB遮罩層修改及/或特徵部形成程序期間,電漿產生腔室(310,圖3)內的溫度可從大約70℃變化至大約90℃;電漿產生腔室(310,圖3)內的腔室壁溫度可從大約50℃變化至大約70℃;SQNB處理腔室(315,圖3)內的溫度可從大約10℃變化至大約30℃;在可切換基板支座(320,圖3)之中心的溫度可從大約12℃變化至大約20℃;在可切換基板支座(320,圖3)之邊緣的溫度可從大約8℃變化至大約12℃;可切換基板支座(320,圖3)的中心背側壓力可從大約5Torr變化至大約15Torr;可切換基板支座(320,圖3)的邊緣背側壓力可從大約27Torr變化至大約33Torr;以及處理時間可從大約20秒變化至大約150秒。或者,可需要另一氣體。
在替代實施例中,於第一處理順序500A期間,吾人可在執行第一SQNB遮罩層修改程序之後執行第一SQNB特徵部形成順序。例如,第一特徵部形成順序可包含第一SiARC蝕刻程序、以及第一閘極控制層蝕刻程序,並且在第一蝕刻順序期間,SiARC蝕刻時間、SiARC終點時間、閘極控制層蝕刻時間、閘極控制層終點時間、以及已蝕刻之光阻輪廓參數可用以作為控制變數。此外,SiARC蝕刻程序可用以蝕刻SiARC層545a,以及第一閘極控制層蝕刻程序可用以蝕刻閘極控制層540。
在第一Si-ARC層蝕刻程序期間,腔室壓力可從大約12mT分佈至大約18mT;頂部功率可從大約450瓦特變化至大約550瓦特;下部功率可從大約90瓦特變化至大約110瓦特;ESC電壓可設定在大約2500V;四氟甲烷(CF4 )流率可在大約60sccm與大約100sccm之間變化;三氟甲烷(CHF3 )流率可在大約40sccm與大約60sccm之間變化;頂部腔室溫度可從大約70℃變化至大約90℃;腔室壁溫度可從大約50℃變化至大約70℃;底部腔室溫度可從大約10℃變化至大約30℃;在基板支座之中心的溫度可從大約12℃變化至大約20℃;在基板支座之邊緣的溫度可從大約8℃變化至大約12℃;基板支座的中心背側壓力可從大約15Torr變化至大約25Torr;基板支座的邊緣背側壓力可從大約27Torr變化至大約33Torr;以及處理時間可從大約60秒變化至大約90秒。
在第一閘極控制層蝕刻程序期間,腔室壓力可從大約15mT分佈至大約25mT;頂部功率可從大約150瓦特變化至大約250瓦特;下部功率可從大約90瓦特變化至大約110瓦特;ESC電壓可設定在大約2500V;He流率可在大約150sccm與大約250sccm之間變化;HBr流率可在大約25sccm與大約35sccm之間變化;O2 流率可在大約30sccm與大約50sccm之間變化;CO2 流率可在大約260sccm與大約320sccm之間變化;頂部腔室溫度可從大約70℃變化至大約90℃;腔室壁溫度可從大約50℃變化至大約70℃;底部腔室溫度可從大約10℃變化至大約30℃;在基板支座之中心的溫度可從大約12℃變化至大約20℃;在基板支座之邊緣的溫度可從大約8℃變化至大約12℃;基板支座的中心背側壓力可從大約15Torr變化至大約25Torr;基板支座的邊緣背側壓力可從大約27Torr變化至大約33Torr;以及處理時間可從大約90秒變化至大約130秒。
在第一SiN(TEOS)層蝕刻程序期間,腔室壓力可從大約35mT分佈至大約45mT;頂部功率可從大約550瓦特變化至大約650瓦特;下部功率可從大約90瓦特變化至大約110瓦特;ESC電壓可設定在大約2500V;O2 流率可在大約3sccm與大約7sccm之 間變化;CF4 流率可在大約40sccm與大約60sccm之間變化;CHF3 流率可在大約40sccm與大約60sccm之間變化;頂部腔室溫度可從大約30℃變化至大約90℃;腔室壁溫度可從大約50℃變化至大約70℃;底部腔室溫度可從大約30℃變化至大約50℃;在基板支座之中心的溫度可從大約25℃變化至大約35℃;在基板支座之邊緣的溫度可從大約8℃變化至大約12℃;基板支座的中心背側壓力可從大約15Torr變化至大約25Torr;基板支座的邊緣背側壓力可從大約27Torr變化至大約33Torr;以及處理時間可從大約50秒變化至大約90秒。
在第一SiN過度蝕刻(OE,Over-Etch)程序期間,腔室壓力可從大約35mT分佈至大約45mT;頂部功率可從大約550瓦特變化至大約650瓦特;下部功率可從大約1250瓦特變化至大約175瓦特;ESC電壓可設定在大約2500V;O2 流率可在大約3sccm與大約7sccm之間變化;CF4 流率可在大約40sccm與大約60sccm之間變化;CHF3 流率可在大約40sccm與大約60sccm之間變化;頂部腔室溫度可從大約70℃變化至大約90℃;腔室壁溫度可從大約50℃變化至大約70℃;底部腔室溫度可從大約10℃變化至大約30℃;在基板支座之中心的溫度可從大約12℃變化至大約20℃;在基板支座之邊緣的溫度可從大約8℃變化至大約12℃;基板支座的中心背側壓力可從大約15Torr變化至大約25Torr;基板支座的邊緣背側壓力可從大約27Torr變化至大約33Torr;以及處理時間可從大約60秒變化至大約90秒。
在若干範例中,SQNB程序的個別及/或所有可信值可與個別及/或所有可信界限比對。若符合其中一或多個可信界限,可繼續處理基板組,或者,若不符合其中一或多個可信界限,可實施校正動作。校正動作可包含:建立基板組中之一或多個額外基板的可信值、將其中一或多個額外基板的可信值與額外可信界限比對;以及若符合其中一或多個額外可信界限,繼續SQNB程序,或者,若不符合其中一或多個額外可信界限,停止SQNB程序。
在其他範例中,基板的個別及/或所有風險值可與個別及/或所 有風險界限比對。若符合其中一或多個風險界限,可繼續處理基板組,或者,若不符合其中一或多個風險界限,可實施校正動作。校正動作可包含:建立基板組中之一或多個額外基板的風險值、將其中一或多個額外基板的風險值與額外風險界限比對;以及若符合其中一或多個額外風險界限,繼續SQNB程序,或者,若不符合其中一或多個額外風險界限,停止SQNB程序。
在其他實施例中,可使用已驗證之SQNB程序來處理一或多個基板。當使用已驗證之SQNB程序時,可在基板(「絕佳晶圓」)上產生一或多個已驗證結構。當檢驗基板時,測試參考結構可選自於基板上的若干已驗證結構。在檢驗期間,可從此測試參考結構獲得檢驗資料。最佳估計結構與相關最佳估計資料可選自於包含已驗證結構與相關資料的程式庫。可計算出測試參考結構與來自程式庫之最佳估計結構之間的一或多個差值,這些差值可與匹配標準、產生標準、或產品需求、或其任何組合比對。當使用匹配標準時,可將測試參考結構識別為程式庫的部分,以及若符合或超出匹配標準,則可將當下的基板識別為參考「絕佳」基板。當使用產生標準時,可將測試參考結構識別為程式庫的新部分,以及若符合產生標準,則可將當下的基板識別為已驗證之參考基板。當使用產品需求資料時,可將測試參考結構識別為已驗證之結構,以及若符合一或多個產品需求,則可將基板識別為已驗證之產品基板。若不符合其中一或多個標準或產品需求,可實施校正動作。吾人可使用測試參考結構資料與最佳估計結構資料來建立SQNB程序的可信資料及/或風險資料。
當在SQNB程序期間生產及/或檢驗結構及/或特徵部時,可使用準確度及/或允差界限。當這些界限不正確時,可執行精化(refinement)程序。或者,可執行其他程序、可使用其他位置、或可使用其他基板。當使用精化程序時,此精化程序可利用雙線性精化、拉格朗(Lagrange)精化、三次樣線(Cubic Spline)精化、艾特肯(Aitken)精化、加權平均(weighted average)精化、多項二次(multi-quadratic)精化、雙三次(bi-cubic)精化、Turran精化、小波 (wavelet)精化、白塞爾(Bessel’s)精化、Everett精化、有限差分(finite-difference)精化、高斯(Gauss)精化、賀米特(Hermite)精化、牛頓均差(Newton’s divided difference)精化、密切(osculating)精化、或Thiele’s精化演算法、或其組合。
在若干實施例中,與SQNB程序相關的程式庫資料可包含適合度(GOF,goodness of fit)資料、產生規則資料、量測資料、檢查資料、驗證資料、地圖資料、可信資料、準確度資料、處理資料、或均勻度資料、或其任何組合。
在若干實施例中,歷史及/或即時資料可包含基板相關地圖、處理相關地圖、損壞評估地圖、參考地圖、量測地圖、預測地圖、風險地圖、檢查地圖、驗證地圖、評估地圖、粒子地圖、及/或一或多個基板的可信地圖。此外,若干SQNB程序可使用基板地圖,此基板地圖可包含一或多個適合度(GOF)地圖、一或多個厚度地圖、一或多個閘極相關地圖、一或多個臨界尺寸(CD)地圖、一或多個CD輪廓地圖、一或多個材料相關地圖、一或多個結構相關地圖、一或多個側壁角度地圖、一或多個差異寬度地圖、或其組合。
當產生及/或修改基板地圖時,數值可不被計算及/或不被整個基板所需要,而基板地圖可包含一或多個位置、一或多個晶片/晶粒、一或多個不同區域、及/或一或多個以不同方式成型之區域的資料。例如,SQNB系統或腔室可具有獨特的特性,這些特性可影響基板之某些區域中之處理結果的品質。此外,製造者可對基板之一或多個區域內的晶片/晶粒提供較不準確的處理及/或較少的評估資料,以使良率最大化。當地圖中的數值接近界限時,可信值會比當地圖中的數值不接近界限時更低。此外,準確度數值可針對基板的不同晶片/晶粒及/或不同區域而進行加權。例如,吾人可將較高的可信權重分配給與其中一或多個預先使用之評估位置相關的準確度計算及/或準確度資料。
此外,與一或多個處理相關的處理結果、量測、檢查、驗證、評估、及/或預測地圖可用以計算出基板的可信地圖。例如,來自 另一地圖的數值可用以作為加權因子。
雖然以上僅詳述本發明之其中某些實施例,但熟習本項技藝者可輕易明白在實質上不背離本發明之新穎教示與優點的情況下,當可在這些實施例中進行許多修改。據此,意指所有此種修改係包含在本發明之範圍內。
因此,說明內容並不意指限制本發明,以及本發明的構造、操作、以及行為已就可能之實施例修改與變化上的瞭解進行說明,並被賦予在此所呈現之詳細程度。因此,上述詳細說明並不意謂或意指以任何方式來限制本發明,確切來說,本發明的範圍係由隨附請求項所界定。
100‧‧‧處理系統
105‧‧‧基板
110‧‧‧微影子系統
111‧‧‧傳輸裝置
112‧‧‧傳輸/儲存元件
113‧‧‧處理元件
114‧‧‧控制器
115‧‧‧評估元件
120‧‧‧曝光子系統
121‧‧‧傳輸裝置
122‧‧‧傳輸/儲存元件
123‧‧‧處理元件
124‧‧‧控制器
125‧‧‧評估元件
130‧‧‧蝕刻子系統
131‧‧‧傳輸裝置
132‧‧‧傳輸/儲存元件
133‧‧‧處理元件
134‧‧‧控制器
135‧‧‧評估元件
140‧‧‧沉積子系統
141‧‧‧傳輸裝置
142‧‧‧傳輸/儲存元件
143‧‧‧處理元件
144‧‧‧控制器
145‧‧‧評估元件
150‧‧‧SQNB子系統
151‧‧‧傳輸裝置
152‧‧‧傳輸/儲存元件
153‧‧‧可切換處理元件
154‧‧‧控制器
155‧‧‧可切換評估元件
160‧‧‧評估子系統
161‧‧‧傳輸裝置
162‧‧‧傳輸/儲存元件
163‧‧‧量測元件
164‧‧‧控制器
165‧‧‧檢查元件
170‧‧‧傳輸子系統
174‧‧‧傳輸元件
175‧‧‧傳輸軌道
176‧‧‧傳輸軌道
180‧‧‧製造執行系統
181‧‧‧第一資料傳輸子系統
190‧‧‧系統控制器
191‧‧‧資料傳輸子系統
195‧‧‧記憶體/資料庫
200‧‧‧SQNB子系統
210‧‧‧上部電漿腔室
212‧‧‧上部電漿
214‧‧‧DC導電接地電極
216‧‧‧電漿產生系統
220‧‧‧可切換電漿腔室
222‧‧‧可切換電漿
224‧‧‧DC導電偏壓電極
225‧‧‧基板
226‧‧‧DC電壓源
230‧‧‧分隔部件
300‧‧‧SQNB系統
301‧‧‧傳輸端口
302‧‧‧閘閥
305‧‧‧可切換準中性射束子系統
306‧‧‧聚焦環
307‧‧‧基板支座護板
308‧‧‧內沉積護板
310‧‧‧電漿產生腔室
311‧‧‧上部DC導電電極
312‧‧‧上部電漿區域
313‧‧‧上部電漿
314‧‧‧上部饋穿元件
315‧‧‧SQNB處理腔室
316‧‧‧腔室襯墊部件
317‧‧‧下部偏壓電極
318‧‧‧絕緣體
320‧‧‧可切換基板支座
321‧‧‧擋板部件
322‧‧‧夾固供應部
323‧‧‧靜電夾固電極
325‧‧‧圖案化基板
326‧‧‧背側氣體輸送系統
327‧‧‧背側氣體元件
328‧‧‧溫度控制系統
329‧‧‧溫度控制元件
330‧‧‧偏壓產生器
331‧‧‧濾波器網路
332‧‧‧第一多位置開關
333‧‧‧基板偏壓電極
334‧‧‧第一饋穿元件
335‧‧‧隔離元件
338‧‧‧感測器
339‧‧‧感測器
340‧‧‧上部電源
342‧‧‧上部多位置開關
345‧‧‧上部氣體供應系統
346‧‧‧第一供應線路
347‧‧‧第一氣體分佈元件
350‧‧‧射束
352‧‧‧可切換電漿區域
353‧‧‧可切換電漿
354‧‧‧壓力控制系統
355‧‧‧可切換氣體供應系統
356‧‧‧第二供應線路
357‧‧‧可切換氣體分佈元件
358‧‧‧真空幫浦
359‧‧‧真空閥
360‧‧‧電漿產生源
361‧‧‧匹配網路
362‧‧‧多匝感應線圈
363‧‧‧介電窗
364‧‧‧槽型法拉第護板
370‧‧‧分隔部件
372‧‧‧開口
380‧‧‧偏壓電源
382‧‧‧下部多位置開關
384‧‧‧下部饋穿元件
395‧‧‧控制器
400‧‧‧程序
500A‧‧‧第一處理順序
500B‧‧‧第二處理順序
501‧‧‧第一閘極堆疊體
501a‧‧‧第二閘極堆疊體
501b‧‧‧第三閘極堆疊體
501c‧‧‧第四閘極堆疊體
501d‧‧‧第五閘極堆疊體
501e‧‧‧第六閘極堆疊體
510‧‧‧基板層
513‧‧‧高度(厚度)
515‧‧‧介面層
515e‧‧‧已處理之介面層
518‧‧‧高度(厚度)
520‧‧‧金屬閘極層
520e‧‧‧已處理之金屬閘極特徵部
522e‧‧‧CD
523‧‧‧高度(厚度)
523e‧‧‧CD
524e‧‧‧CD
525‧‧‧第一硬遮罩層
528‧‧‧高度(厚度)
530‧‧‧含矽層
533‧‧‧高度(厚度)
535‧‧‧第二硬遮罩層
538‧‧‧高度(厚度)
540‧‧‧閘極控制層
540b‧‧‧已處理之閘極寬度控制特徵部
540c‧‧‧預先處理閘極寬度控制特徵部
540d‧‧‧已修改之閘極寬度控制特徵部
541b‧‧‧已處理之閘極寬度控制材料
541c‧‧‧閘極寬度控制材料
541d‧‧‧已修改之閘極寬度控制材料
542b‧‧‧特徵部寬度
542c‧‧‧特徵部寬度
542d‧‧‧特徵部寬度
543‧‧‧高度(厚度)
543b‧‧‧高度(厚度)
543c‧‧‧高度(厚度)
543d‧‧‧高度(厚度)
544b‧‧‧分隔寬度
544c‧‧‧分隔寬度
544d‧‧‧分隔寬度
545‧‧‧第三硬遮罩層
545a‧‧‧第三硬遮罩層
545b‧‧‧已處理之第三硬遮罩特徵部
545c‧‧‧已預先處理之第三硬遮罩特徵部
545d‧‧‧已修改之第三硬遮罩特徵部
546b‧‧‧已處理之SiARC材料
546c‧‧‧已預先處理之SiARC材料
546d‧‧‧已修改之SiARC材料
547b‧‧‧特徵部寬度
547c‧‧‧特徵部寬度
547d‧‧‧特徵部寬度
548‧‧‧高度(厚度)
548a‧‧‧高度(厚度)
548b‧‧‧高度(厚度)
548c‧‧‧高度(厚度)
548d‧‧‧高度(厚度)
549b‧‧‧分隔寬度
549c‧‧‧分隔寬度
549d‧‧‧分隔寬度
550‧‧‧遮罩特徵部
550a‧‧‧已修改之遮罩特徵部
551‧‧‧光阻材料
551a‧‧‧已固化/硬化之光阻材料
552‧‧‧特徵部寬度
552a‧‧‧特徵部寬度
553‧‧‧高度(厚度)
553a‧‧‧高度(厚度)
554‧‧‧分隔寬度
554a‧‧‧分隔寬度
以下,將僅藉由範例並參考隨附示意圖來說明本發明之實施例,於這些示意圖中,對應的參考符號係標示對應的部分,而其中:圖1顯示依照本發明之實施例之處理系統的示範方塊圖;圖2A顯示依照本發明之實施例之可切換準中性射束(SQNB)子系統的簡化視圖;圖2B說明依照本發明之實施例在圖2A所示之可切換準中性射束(SQNB)子系統內所執行之第一及/或第二SQNB程序的示範情況;圖3顯示依照本發明之實施例之另一可切換準中性射束(SQNB)處理系統的示範方塊圖;圖4顯示依照本發明之實施例使用可切換準中性射束(SQNB)系統以處理基板的示範方法流程圖;及圖5A與5B說明依照本發明之實施例使用可切換準中性射束(SQNB)系統來處理金屬閘極結構之程序的示範圖。
200...SQNB子系統
210...上部電漿腔室
212...上部電漿
214...DC導電接地電極
216...電漿產生系統
220...可切換電漿腔室
222...可切換電漿
224...DC導電偏壓電極
225...基板
226...DC電壓源
230...分隔部件

Claims (20)

  1. 一種可切換準中性射束(SQNB,Switchable Quasi-Neutral Beam)系統,包含:一電漿產生腔室,具有一上部電漿區域,該電漿產生腔室用以在第一可切換準中性射束(SQNB)程序期間以第一上部電漿電位來建立第一上部電漿並且用以在第二可切換準中性射束(SQNB)程序期間以第二上部電漿電位來產生第二上部電漿;一可切換準中性射束(SQNB)處理腔室,具有一可切換電漿區域,該可切換準中性射束處理腔室用以在該第一SQNB程序期間以第一SQNB處理電漿電位來建立第一SQNB處理電漿並且用以在該第二SQNB程序期間以第二SQNB處理電漿電位來產生第二SQNB處理電漿;一分隔部件,配置在該電漿產生腔室與該SQNB處理腔室之間,其中該分隔部件包含一或多個開口,該開口用以在該第一SQNB程序期間於該可切換電漿區域內產生第一射束群並且用以在該第二SQNB程序期間於該可切換電漿區域內產生第二射束群,其中該第一射束群包含來自該上部電漿區域的第一電子通量,其用以在該第一SQNB程序期間形成該第一SQNB處理電漿,以及該第二射束群包含來自該上部電漿區域的第二電子通量,其用以在該第二SQNB程序期間形成該第二SQNB處理電漿;一可切換基板支座,用以在該SQNB處理腔室內支撐一圖案化基板,該可切換基板支座在該第一SQNB程序期間係耦合至接地電位並且在該第二SQNB程序期間係與該接地電位隔離;一偏壓電極系統,用以包圍位在該SQNB處理腔室內的該可切換基板支座,該偏壓電極系統用以將該第一SQNB處理電漿電位提升超過該第一上部電漿電位以在該第一SQNB程序期間控制該第一電子通量並且用以將該第二SQNB處理電漿電位提升超過該第二上部電漿電位以在該第二SQNB程序期間控制該第二電子通量;及一控制器,耦合至該電漿產生腔室內所設置的一或多個第一 感測器、該SQNB處理腔室內所設置的至少一第二感測器、該偏壓電極系統、以及該可切換基板支座,該控制器用以判定該圖案化基板的材料資料並且使用該已判定之材料資料來建立該第一SQNB程序與該第二SQNB程序。
  2. 如申請專利範圍第1項所述之可切換準中性射束系統,更包含:一或多個第一氣體分佈元件,設置在該電漿產生腔室內,其中,該其中至少一第一氣體分佈元件用以在該第一SQNB程序期間以第一流率將一第一電漿產生氣體提供至該上部電漿區域,以及該其中一或多個第一氣體分佈元件用以在該第二SQNB程序期間以第二流率將一第二電漿產生氣體提供至該上部電漿區域;及一或多個上部氣體供應系統,使用至少一第一供應線路而耦合至該其中一或多個第一氣體分佈元件。
  3. 如申請專利範圍第2項所述之可切換準中性射束系統,其中該第一電漿產生氣體及/或該第二電漿產生氣體包含一氟碳化合物氣體以及一惰性氣體,該氟碳化合物氣體包含C4 F6 、C4 F8 、C5 F8 、CHF3 、或CF4 、或其任何組合,而該惰性氣體包含氬(Ar)、氦(He)、氪(Kr)、氖(Ne)、氡(Rn)、或氙(Xe)、或其任何組合。
  4. 如申請專利範圍第1項所述之可切換準中性射束系統,更包含:一或多個可切換氣體分佈元件,設置在該SQNB處理腔室內,其中,該其中至少一可切換氣體分佈元件用以在該第一SQNB程序期間將一第一SQNB處理氣體提供至該可切換電漿區域,以及該其中一或多個可切換氣體分佈元件用以在該第二SQNB程序期間將一第二SQNB處理氣體提供至該可切換電漿區域;及一或多個可切換氣體供應系統,使用至少一第二供應線路而耦合至該其中一或多個可切換氣體分佈元件。
  5. 如申請專利範圍第4項所述之可切換準中性射束系統,其中該第 一SQNB處理氣體及/或該第二SQNB處理氣體包含一氟碳化合物氣體以及一惰性氣體,該氟碳化合物氣體包含C4 F6 、C4 F8 、C5 F8 、CHF3 、或CF4 、或其任何組合,而該惰性氣體包含氬(Ar)、氦(He)、氪(Kr)、氖(Ne)、氡(Rn)、或氙(Xe)、或其任何組合。
  6. 如申請專利範圍第1項所述之可切換準中性射束系統,更包含:一或多個感應線圈,耦合至該電漿產生腔室,該其中至少一感應線圈用以在該上部電漿區域內以該第一上部電漿電位來產生該第一上部電漿;及一電漿產生源,使用至少一匹配網路而耦合至該其中一或多個感應線圈。
  7. 如申請專利範圍第6項所述之可切換準中性射束系統,其中該電漿產生源包含一無線射頻(RF,radio frequency)產生器,其中來自該電漿產生源的RF功率係從約10瓦特分佈至約1000瓦特,以及該電漿產生源的RF頻率係從約0.1MHz分佈至約100MHz。
  8. 如申請專利範圍第6項所述之可切換準中性射束系統,其中來自該電漿產生源的輸出在該第一及/或第二SQNB程序期間係設置成變化式、脈衝式、步進式(stepped)、等變式(ramped)、或保持固定式、或其任何組合。
  9. 如申請專利範圍第1項所述之可切換準中性射束系統,更包含:一上部多位置開關,使用一或多個上部饋穿(feed-thru)元件而耦合至該電漿產生腔室內所設置的一或多個上部DC導電電極;及一上部電源,耦合至該上部多位置開關,該上部多位置開關具有耦合至該其中至少一上部饋穿元件的一共用端口、耦合至該接地電位的一第一可切換端口、以及耦合至該上部電源的一第二可切換端口,該上部多位置開關具有一第一位置以及一第二位 置,該第一位置用以將該其中至少一上部DC導電電極耦合至該接地電位,該第二位置用以將該其中一或多個上部DC導電電極耦合至該上部電源。
  10. 如申請專利範圍第9項所述之可切換準中性射束系統,其中該上部電源用以提供DC功率、或AC功率、或其任何組合,以及來自該上部電源的輸出在該第一及/或第二SQNB程序期間係設置成變化式、脈衝式、步進式、等變式、或保持固定式、或其任何組合。
  11. 如申請專利範圍第1項所述之可切換準中性射束系統,更包含:一下部多位置開關,使用一或多個下部饋穿元件而耦合至該SQNB處理腔室內所設置的一或多個下部偏壓電極;及一偏壓電源,耦合至該下部多位置開關,該下部多位置開關具有耦合至該其中至少一下部饋穿元件的一共用端口、耦合至該接地電位的一第一可切換端口、以及耦合至該偏壓電源的一第二可切換端口,該下部多位置開關具有一第一位置以及一第二位置,該第一位置用以將該其中至少一下部偏壓電極耦合至該接地電位,該第二位置用以將該其中一或多個下部偏壓電極耦合至該偏壓電源。
  12. 如申請專利範圍第11項所述之可切換準中性射束系統,其中該偏壓電源用以提供DC功率、或AC功率、或其任何組合,以及來自該偏壓電源的輸出在該第一及/或第二SQNB程序期間係設置成變化式、脈衝式、步進式、等變式、或保持固定式、或其任何組合。
  13. 如申請專利範圍第11項所述之可切換準中性射束系統,其中一上部電源用以將DC電壓提供至該電漿產生腔室內所設置的至少一上部DC導電電極,該DC電壓係小於由該偏壓電源提供至該 SQNB處理腔室內之一下部偏壓電極的偏壓DC電壓。
  14. 如申請專利範圍第1項所述之可切換準中性射束系統,更包含:一第一多位置開關,使用一或多個第一饋穿元件而耦合至該可切換基板支座內所設置的一或多個基板偏壓電極;及一偏壓產生器,使用一濾波器網路而耦合至該第一多位置開關,該第一多位置開關具有耦合至該其中至少一基板偏壓電極的一共用端口、耦合至該接地電位的一第一可切換端口、以及耦合至該濾波器網路的一第二可切換端口,該第一多位置開關具有一第一位置、一第二位置、以及一第三位置,該第一位置用以將該其中至少一基板偏壓電極耦合至該接地電位,該第二位置係使用該濾波器網路將該其中一或多個基板偏壓電極耦合至該偏壓產生器,該第三位置用以將該其中至少一基板偏壓電極與該接地電位隔離。
  15. 如申請專利範圍第14項所述之可切換準中性射束系統,其中該偏壓產生器包含一無線射頻(RF)產生器,其中在該第一及/或第二SQNB程序期間,來自該偏壓產生器的第一RF功率係從約10瓦特分佈至約1000瓦特,以及該偏壓產生器的第一RF頻率係從約0.1MHz分佈至約100MHz。
  16. 如申請專利範圍第14項所述之可切換準中性射束系統,其中該偏壓產生器提供DC功率、或AC功率、或其任何組合,以及來自該偏壓產生器的輸出在該第一及/或第二SQNB程序期間係設置成變化式、脈衝式、步進式、等變式、或保持固定式、或其任何組合。
  17. 如申請專利範圍第1項所述之可切換準中性射束系統,其中該其中至少一第一感測器用以在該第一及/或第二SQNB程序期間偵測該電漿產生腔室內的上部電漿狀態,以及該一或多個第二感測 器用以在該第一及/或第二SQNB程序期間偵測該SQNB處理腔室內的下部電漿狀態。
  18. 如申請專利範圍第1項所述之可切換準中性射束系統,其中該可切換基板支座包含雙重背側氣體元件以及溫度控制元件,該雙重背側氣體元件耦合至一背側氣體系統,且該溫度控制元件耦合至用以建立該圖案化基板之第一邊緣溫度與第一中心溫度之一溫度控制系統,其中該第一邊緣溫度與該第一中心溫度係介於約0℃與約100℃之間。
  19. 如申請專利範圍第1項所述之可切換準中性射束系統,其中該第一SQNB程序在該圖案化基板上產生一已修改之遮罩層,而該第二SQNB程序使用該已修改之遮罩層在該圖案化基板上產生新特徵部。
  20. 一種使用可切換準中性射束(SQNB,Switchable Quasi-Neutral Beam)源處理基板的方法,包含下列步驟:將一圖案化基板設置在一可切換基板支座上,該可切換基板支座用以將該圖案化基板支撐在一可切換處理腔室內;在第一可切換準中性射束(SQNB)程序期間,將該可切換基板支座連接至接地電位;在該第一SQNB程序期間,使用來自該SQNB源的第一空間-電荷中性化中性射束來修改該圖案化基板上的一遮罩層;在第二SQNB程序期間,將該可切換基板支座與該接地電位隔離;及在該第二SQNB程序期間,使用來自該SQNB源的第二空間-電荷中性化中性射束在該圖案化基板上產生新特徵部。
TW100101449A 2010-01-15 2011-01-14 可切換中性射束源 TWI428982B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/688,721 US20110177694A1 (en) 2010-01-15 2010-01-15 Switchable Neutral Beam Source

Publications (2)

Publication Number Publication Date
TW201145383A TW201145383A (en) 2011-12-16
TWI428982B true TWI428982B (zh) 2014-03-01

Family

ID=44277885

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100101449A TWI428982B (zh) 2010-01-15 2011-01-14 可切換中性射束源

Country Status (6)

Country Link
US (1) US20110177694A1 (zh)
JP (1) JP5968225B2 (zh)
KR (2) KR20170034916A (zh)
CN (1) CN102804933B (zh)
TW (1) TWI428982B (zh)
WO (1) WO2011087984A2 (zh)

Families Citing this family (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130059448A1 (en) * 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US9793126B2 (en) 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
US8802545B2 (en) * 2011-03-14 2014-08-12 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
CN103620729B (zh) * 2011-04-11 2016-10-12 朗姆研究公司 用于半导体处理的电子束增强解耦源
US9039911B2 (en) * 2012-08-27 2015-05-26 Lam Research Corporation Plasma-enhanced etching in an augmented plasma processing system
US9035553B2 (en) * 2011-11-09 2015-05-19 Dae-Kyu Choi Hybrid plasma reactor
US20130287963A1 (en) * 2012-04-26 2013-10-31 Varian Semiconductor Equipment Associates, Inc. Plasma Potential Modulated ION Implantation Apparatus
JP5988102B2 (ja) * 2013-03-01 2016-09-07 パナソニックIpマネジメント株式会社 プラズマクリーニング方法
US9230819B2 (en) 2013-04-05 2016-01-05 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing
US9245761B2 (en) * 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
GB201309583D0 (en) * 2013-05-29 2013-07-10 Spts Technologies Ltd Apparatus for processing a semiconductor workpiece
US20140360670A1 (en) * 2013-06-05 2014-12-11 Tokyo Electron Limited Processing system for non-ambipolar electron plasma (nep) treatment of a substrate with sheath potential
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
US9978568B2 (en) * 2013-08-12 2018-05-22 Tokyo Electron Limited Self-sustained non-ambipolar direct current (DC) plasma at low power
US9288890B1 (en) * 2014-10-31 2016-03-15 Tokyo Electron Limited Method and apparatus for providing an anisotropic and mono-energetic neutral beam by non-ambipolar electron plasma
WO2016123090A1 (en) * 2015-01-26 2016-08-04 Tokyo Electron Limited Method and system for high precision etching of substrates
US10475626B2 (en) 2015-03-17 2019-11-12 Applied Materials, Inc. Ion-ion plasma atomic layer etch process and reactor
US11824454B2 (en) * 2016-06-21 2023-11-21 Eagle Harbor Technologies, Inc. Wafer biasing in a plasma chamber
KR102453450B1 (ko) * 2017-10-23 2022-10-13 삼성전자주식회사 플라즈마 처리 장치, 반도체 소자의 제조설비 및 그의 제조방법
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US11257685B2 (en) 2018-09-05 2022-02-22 Tokyo Electron Limited Apparatus and process for electron beam mediated plasma etch and deposition processes
US12014901B2 (en) * 2018-10-25 2024-06-18 Tokyo Electron Limited Tailored electron energy distribution function by new plasma source: hybrid electron beam and RF plasma
US11205562B2 (en) 2018-10-25 2021-12-21 Tokyo Electron Limited Hybrid electron beam and RF plasma system for controlled content of radicals and ions
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
CN113169026B (zh) 2019-01-22 2024-04-26 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
KR102362893B1 (ko) * 2019-11-27 2022-02-11 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11815816B2 (en) 2021-02-15 2023-11-14 Applied Materials, Inc. Apparatus for post exposure bake of photoresist
JP2024509727A (ja) 2021-02-15 2024-03-05 アプライド マテリアルズ インコーポレイテッド フォトレジストの露光後ベークのための装置
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US20220399185A1 (en) 2021-06-09 2022-12-15 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
KR20230106868A (ko) * 2022-01-07 2023-07-14 피에스케이 주식회사 광 분석 유닛, 그리고 이를 포함하는 기판 처리 장치
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR0141659B1 (ko) * 1993-07-19 1998-07-15 가나이 쓰토무 이물제거 방법 및 장치
US5350480A (en) * 1993-07-23 1994-09-27 Aspect International, Inc. Surface cleaning and conditioning using hot neutral gas beam array
US5865896A (en) * 1993-08-27 1999-02-02 Applied Materials, Inc. High density plasma CVD reactor with combined inductive and capacitive coupling
KR100276736B1 (ko) * 1993-10-20 2001-03-02 히가시 데쓰로 플라즈마 처리장치
US5883005A (en) * 1994-03-25 1999-03-16 California Institute Of Technology Semiconductor etching by hyperthermal neutral beams
EP0680072B1 (en) * 1994-04-28 2003-10-08 Applied Materials, Inc. A method of operating a high density plasma CVD reactor with combined inductive and capacitive coupling
US5468955A (en) * 1994-12-20 1995-11-21 International Business Machines Corporation Neutral beam apparatus for in-situ production of reactants and kinetic energy transfer
JP3598717B2 (ja) * 1997-03-19 2004-12-08 株式会社日立製作所 プラズマ処理装置
JP3317209B2 (ja) * 1997-08-12 2002-08-26 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置及びプラズマ処理方法
JPH11330049A (ja) * 1998-05-12 1999-11-30 Matsushita Electric Ind Co Ltd プラズマ処理方法及び装置
JP2000178741A (ja) * 1998-12-09 2000-06-27 Hitachi Ltd プラズマcvd装置およびそれにおける成膜とクリーニング制御法
WO2000036631A1 (en) * 1998-12-11 2000-06-22 Surface Technology Systems Limited Plasma processing apparatus
JP3482904B2 (ja) * 1999-05-10 2004-01-06 松下電器産業株式会社 プラズマ処理方法及び装置
JP4371543B2 (ja) * 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
KR100380660B1 (ko) * 2000-11-22 2003-04-18 학교법인 성균관대학 중성빔을 이용한 반도체소자의 식각방법 및 이를 위한식각장치
JP4460183B2 (ja) * 2001-03-14 2010-05-12 パナソニック株式会社 表面処理方法及び装置
US6755150B2 (en) * 2001-04-20 2004-06-29 Applied Materials Inc. Multi-core transformer plasma source
JP4073204B2 (ja) * 2001-11-19 2008-04-09 株式会社荏原製作所 エッチング方法
KR100408137B1 (ko) * 2001-11-26 2003-12-06 학교법인 성균관대학 중성빔을 이용한 층대층 식각장치 및 식각방법
JP4620322B2 (ja) * 2002-08-21 2011-01-26 株式会社エバテック プラズマ表面処理装置
JP2004281230A (ja) * 2003-03-14 2004-10-07 Ebara Corp ビーム源及びビーム処理装置
JP2004281232A (ja) * 2003-03-14 2004-10-07 Ebara Corp ビーム源及びビーム処理装置
US7740737B2 (en) * 2004-06-21 2010-06-22 Tokyo Electron Limited Plasma processing apparatus and method
US7988816B2 (en) * 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
CN1973363B (zh) * 2004-06-21 2011-09-14 东京毅力科创株式会社 等离子体处理装置和方法
US7767561B2 (en) * 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
KR100663351B1 (ko) * 2004-11-12 2007-01-02 삼성전자주식회사 플라즈마 처리장치
US7358484B2 (en) * 2005-09-29 2008-04-15 Tokyo Electron Limited Hyperthermal neutral beam source and method of operating
US9520275B2 (en) * 2008-03-21 2016-12-13 Tokyo Electron Limited Mono-energetic neutral beam activated chemical processing system and method of using

Also Published As

Publication number Publication date
CN102804933B (zh) 2016-03-09
TW201145383A (en) 2011-12-16
JP5968225B2 (ja) 2016-08-10
JP2013517600A (ja) 2013-05-16
CN102804933A (zh) 2012-11-28
KR20120117872A (ko) 2012-10-24
KR20170034916A (ko) 2017-03-29
WO2011087984A3 (en) 2011-11-03
WO2011087984A2 (en) 2011-07-21
US20110177694A1 (en) 2011-07-21
KR101989629B1 (ko) 2019-06-14

Similar Documents

Publication Publication Date Title
TWI428982B (zh) 可切換中性射束源
US8343371B2 (en) Apparatus and method for improving photoresist properties using a quasi-neutral beam
US20100081285A1 (en) Apparatus and Method for Improving Photoresist Properties
JP5636486B2 (ja) 多層/多入力/多出力(mlmimo)モデル及び当該モデルの使用方法
US7993937B2 (en) DC and RF hybrid processing system
JP5577530B2 (ja) 六フッ化硫黄(sf6)および炭化水素ガスを用いた反射防止層のパターニング方法
US8883024B2 (en) Using vacuum ultra-violet (VUV) data in radio frequency (RF) sources
KR101445153B1 (ko) 포토마스크 플라즈마 에칭시 인시츄 챔버 건식 세정을 위한 방법 및 장치
JP5584388B2 (ja) 裏面光学センサ及びエッチング分布の多周波数制御を備えたマスクエッチングプラズマリアクタ
US20120252141A1 (en) Adaptive Recipe Selector
US9607811B2 (en) Workpiece processing method
US9911607B2 (en) Method of processing target object
JP2010041051A (ja) 金属ゲート構造への多層/多入力/多出力(mlmimo)モデルの使用方法
US9362185B2 (en) Uniformity in wafer patterning using feedback control
US9373520B2 (en) Multilayer film etching method and plasma processing apparatus
TW202332326A (zh) 具有體積減小和雙vhf的多相旋轉獨立氣體橫流的電漿腔室
KR20070051846A (ko) 게이트 스택 에칭을 위한 방법 및 시스템
US6506687B1 (en) Dry etching device and method of producing semiconductor devices
KR20230054684A (ko) 다중스케일 물리적 에칭 모델링 및 그의 방법.
US6914010B2 (en) Plasma etching method

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees