CN102804933B - 可切换中性束源 - Google Patents
可切换中性束源 Download PDFInfo
- Publication number
- CN102804933B CN102804933B CN201180014211.5A CN201180014211A CN102804933B CN 102804933 B CN102804933 B CN 102804933B CN 201180014211 A CN201180014211 A CN 201180014211A CN 102804933 B CN102804933 B CN 102804933B
- Authority
- CN
- China
- Prior art keywords
- changeable
- neutrality
- quasi
- plasma
- sqnb
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Fee Related
Links
- 230000007935 neutral effect Effects 0.000 title claims description 19
- 238000000034 method Methods 0.000 claims abstract description 408
- 230000008569 process Effects 0.000 claims abstract description 343
- 239000000758 substrate Substances 0.000 claims abstract description 340
- 239000007789 gas Substances 0.000 claims description 146
- 238000010438 heat treatment Methods 0.000 claims description 72
- 239000000463 material Substances 0.000 claims description 53
- 230000008878 coupling Effects 0.000 claims description 52
- 238000010168 coupling process Methods 0.000 claims description 52
- 238000005859 coupling reaction Methods 0.000 claims description 52
- 230000000873 masking effect Effects 0.000 claims description 37
- 238000009826 distribution Methods 0.000 claims description 29
- 238000005192 partition Methods 0.000 claims description 27
- 230000008859 change Effects 0.000 claims description 17
- 238000006386 neutralization reaction Methods 0.000 claims description 15
- 239000011261 inert gas Substances 0.000 claims description 9
- XPDWGBQVDMORPB-UHFFFAOYSA-N Fluoroform Chemical compound FC(F)F XPDWGBQVDMORPB-UHFFFAOYSA-N 0.000 claims description 8
- NBVXSUQYWXRMNV-UHFFFAOYSA-N fluoromethane Chemical compound FC NBVXSUQYWXRMNV-UHFFFAOYSA-N 0.000 claims description 7
- 239000012212 insulator Substances 0.000 claims description 5
- 229910052734 helium Inorganic materials 0.000 claims description 4
- 239000001307 helium Substances 0.000 claims description 4
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 claims description 4
- 229910052786 argon Inorganic materials 0.000 claims description 3
- 229910052743 krypton Inorganic materials 0.000 claims description 3
- DNNSSWSSYDEUBZ-UHFFFAOYSA-N krypton atom Chemical compound [Kr] DNNSSWSSYDEUBZ-UHFFFAOYSA-N 0.000 claims description 3
- 229910052754 neon Inorganic materials 0.000 claims description 3
- GKAOGPIIYCISHV-UHFFFAOYSA-N neon atom Chemical compound [Ne] GKAOGPIIYCISHV-UHFFFAOYSA-N 0.000 claims description 3
- 229910052704 radon Inorganic materials 0.000 claims description 3
- SYUHGPGVQRZVTB-UHFFFAOYSA-N radon atom Chemical compound [Rn] SYUHGPGVQRZVTB-UHFFFAOYSA-N 0.000 claims description 3
- 229910052724 xenon Inorganic materials 0.000 claims description 3
- FHNFHKCVQCLJFQ-UHFFFAOYSA-N xenon atom Chemical compound [Xe] FHNFHKCVQCLJFQ-UHFFFAOYSA-N 0.000 claims description 3
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 claims 4
- 238000005530 etching Methods 0.000 abstract description 57
- 229920002120 photoresistant polymer Polymers 0.000 abstract description 19
- 125000006850 spacer group Chemical group 0.000 abstract description 6
- 210000002381 plasma Anatomy 0.000 description 411
- 230000007797 corrosion Effects 0.000 description 44
- 238000005260 corrosion Methods 0.000 description 44
- 229910052751 metal Inorganic materials 0.000 description 40
- 239000002184 metal Substances 0.000 description 40
- 230000001276 controlling effect Effects 0.000 description 31
- 230000015572 biosynthetic process Effects 0.000 description 22
- 150000002500 ions Chemical class 0.000 description 21
- 230000006872 improvement Effects 0.000 description 20
- 238000012545 processing Methods 0.000 description 20
- 238000005259 measurement Methods 0.000 description 19
- 239000004065 semiconductor Substances 0.000 description 19
- 230000005540 biological transmission Effects 0.000 description 17
- 238000011156 evaluation Methods 0.000 description 15
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 14
- 238000000151 deposition Methods 0.000 description 14
- 230000008021 deposition Effects 0.000 description 14
- 229910052710 silicon Inorganic materials 0.000 description 14
- 239000010703 silicon Substances 0.000 description 14
- 238000007711 solidification Methods 0.000 description 14
- 230000008023 solidification Effects 0.000 description 14
- 208000034189 Sclerosis Diseases 0.000 description 13
- 238000012360 testing method Methods 0.000 description 13
- 230000009471 action Effects 0.000 description 11
- 229910002091 carbon monoxide Inorganic materials 0.000 description 11
- 229910052799 carbon Inorganic materials 0.000 description 10
- 239000002245 particle Substances 0.000 description 10
- PNEYBMLMFCGWSK-UHFFFAOYSA-N Alumina Chemical compound [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 9
- 238000007667 floating Methods 0.000 description 9
- 239000007769 metal material Substances 0.000 description 9
- 230000003287 optical effect Effects 0.000 description 9
- 238000000926 separation method Methods 0.000 description 9
- 241000894007 species Species 0.000 description 9
- 229910021417 amorphous silicon Inorganic materials 0.000 description 8
- 230000000875 corresponding effect Effects 0.000 description 8
- 238000007689 inspection Methods 0.000 description 8
- 238000001259 photo etching Methods 0.000 description 8
- 238000005516 engineering process Methods 0.000 description 7
- 238000002955 isolation Methods 0.000 description 7
- 239000000203 mixture Substances 0.000 description 7
- 238000012986 modification Methods 0.000 description 7
- 230000004048 modification Effects 0.000 description 7
- 238000000059 patterning Methods 0.000 description 7
- 238000012546 transfer Methods 0.000 description 7
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 6
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 6
- 238000004380 ashing Methods 0.000 description 6
- 238000004140 cleaning Methods 0.000 description 6
- 239000011248 coating agent Substances 0.000 description 6
- 238000000576 coating method Methods 0.000 description 6
- 238000010586 diagram Methods 0.000 description 6
- 238000009616 inductively coupled plasma Methods 0.000 description 6
- 238000004519 manufacturing process Methods 0.000 description 6
- 238000012544 monitoring process Methods 0.000 description 6
- 230000000737 periodic effect Effects 0.000 description 6
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 6
- 229920005591 polysilicon Polymers 0.000 description 6
- 239000000126 substance Substances 0.000 description 6
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 5
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 5
- 238000001994 activation Methods 0.000 description 5
- 230000005684 electric field Effects 0.000 description 5
- 230000005855 radiation Effects 0.000 description 5
- 238000003860 storage Methods 0.000 description 5
- 239000010949 copper Substances 0.000 description 4
- 239000003989 dielectric material Substances 0.000 description 4
- 239000010931 gold Substances 0.000 description 4
- 238000009413 insulation Methods 0.000 description 4
- 239000000047 product Substances 0.000 description 4
- 235000012239 silicon dioxide Nutrition 0.000 description 4
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 description 4
- 241001269238 Data Species 0.000 description 3
- 239000004411 aluminium Substances 0.000 description 3
- 229910052782 aluminium Inorganic materials 0.000 description 3
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 3
- 238000004458 analytical method Methods 0.000 description 3
- 238000005229 chemical vapour deposition Methods 0.000 description 3
- 238000004891 communication Methods 0.000 description 3
- 239000004020 conductor Substances 0.000 description 3
- 238000013461 design Methods 0.000 description 3
- 238000001035 drying Methods 0.000 description 3
- 230000005611 electricity Effects 0.000 description 3
- 230000006870 function Effects 0.000 description 3
- 239000002784 hot electron Substances 0.000 description 3
- 238000002347 injection Methods 0.000 description 3
- 239000007924 injection Substances 0.000 description 3
- 238000011068 loading method Methods 0.000 description 3
- 229910044991 metal oxide Inorganic materials 0.000 description 3
- 150000004706 metal oxides Chemical class 0.000 description 3
- 238000001020 plasma etching Methods 0.000 description 3
- 238000005086 pumping Methods 0.000 description 3
- 239000010453 quartz Substances 0.000 description 3
- 230000004044 response Effects 0.000 description 3
- 238000001228 spectrum Methods 0.000 description 3
- 238000005728 strengthening Methods 0.000 description 3
- BSYNRYMUTXBXSQ-UHFFFAOYSA-N Aspirin Chemical compound CC(=O)OC1=CC=CC=C1C(O)=O BSYNRYMUTXBXSQ-UHFFFAOYSA-N 0.000 description 2
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 2
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 2
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 2
- BQCADISMDOOEFD-UHFFFAOYSA-N Silver Chemical compound [Ag] BQCADISMDOOEFD-UHFFFAOYSA-N 0.000 description 2
- 238000010521 absorption reaction Methods 0.000 description 2
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 2
- 229910010293 ceramic material Inorganic materials 0.000 description 2
- 229910017052 cobalt Inorganic materials 0.000 description 2
- 239000010941 cobalt Substances 0.000 description 2
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 2
- 229910052802 copper Inorganic materials 0.000 description 2
- 230000002596 correlated effect Effects 0.000 description 2
- 239000003085 diluting agent Substances 0.000 description 2
- 239000011521 glass Substances 0.000 description 2
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 description 2
- 229910052737 gold Inorganic materials 0.000 description 2
- 230000007246 mechanism Effects 0.000 description 2
- 238000002156 mixing Methods 0.000 description 2
- 229910052759 nickel Inorganic materials 0.000 description 2
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 2
- 239000001301 oxygen Substances 0.000 description 2
- 229910052760 oxygen Inorganic materials 0.000 description 2
- 238000005240 physical vapour deposition Methods 0.000 description 2
- 229920000642 polymer Polymers 0.000 description 2
- 230000001737 promoting effect Effects 0.000 description 2
- 230000009467 reduction Effects 0.000 description 2
- 230000002441 reversible effect Effects 0.000 description 2
- 229910052707 ruthenium Inorganic materials 0.000 description 2
- 229910052709 silver Inorganic materials 0.000 description 2
- 239000004332 silver Substances 0.000 description 2
- 238000000547 structure data Methods 0.000 description 2
- 230000003746 surface roughness Effects 0.000 description 2
- 238000003079 width control Methods 0.000 description 2
- 238000012951 Remeasurement Methods 0.000 description 1
- 229910052581 Si3N4 Inorganic materials 0.000 description 1
- 229910004298 SiO 2 Inorganic materials 0.000 description 1
- PRPAGESBURMWTI-UHFFFAOYSA-N [C].[F] Chemical compound [C].[F] PRPAGESBURMWTI-UHFFFAOYSA-N 0.000 description 1
- 230000004913 activation Effects 0.000 description 1
- 230000006399 behavior Effects 0.000 description 1
- 230000008901 benefit Effects 0.000 description 1
- 239000006227 byproduct Substances 0.000 description 1
- 239000000919 ceramic Substances 0.000 description 1
- 238000006243 chemical reaction Methods 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 238000011109 contamination Methods 0.000 description 1
- 230000008602 contraction Effects 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- 238000004132 cross linking Methods 0.000 description 1
- 238000012217 deletion Methods 0.000 description 1
- 230000037430 deletion Effects 0.000 description 1
- 238000001514 detection method Methods 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 230000018109 developmental process Effects 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 238000010494 dissociation reaction Methods 0.000 description 1
- 230000005593 dissociations Effects 0.000 description 1
- 238000005315 distribution function Methods 0.000 description 1
- 235000013399 edible fruits Nutrition 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 238000010894 electron beam technology Methods 0.000 description 1
- 238000000295 emission spectrum Methods 0.000 description 1
- 230000002708 enhancing effect Effects 0.000 description 1
- 230000003628 erosive effect Effects 0.000 description 1
- 238000012854 evaluation process Methods 0.000 description 1
- 238000013401 experimental design Methods 0.000 description 1
- 239000012530 fluid Substances 0.000 description 1
- 230000010354 integration Effects 0.000 description 1
- 238000010884 ion-beam technique Methods 0.000 description 1
- -1 low k dielectric Inorganic materials 0.000 description 1
- 238000010801 machine learning Methods 0.000 description 1
- 238000012423 maintenance Methods 0.000 description 1
- 238000007726 management method Methods 0.000 description 1
- 230000003647 oxidation Effects 0.000 description 1
- 238000007254 oxidation reaction Methods 0.000 description 1
- 238000007591 painting process Methods 0.000 description 1
- 230000002093 peripheral effect Effects 0.000 description 1
- 230000010287 polarization Effects 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 230000002265 prevention Effects 0.000 description 1
- 238000012913 prioritisation Methods 0.000 description 1
- 238000004886 process control Methods 0.000 description 1
- 239000000376 reactant Substances 0.000 description 1
- 230000007261 regionalization Effects 0.000 description 1
- 238000004626 scanning electron microscopy Methods 0.000 description 1
- 230000035939 shock Effects 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 1
- 238000004088 simulation Methods 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 238000000391 spectroscopic ellipsometry Methods 0.000 description 1
- 230000009897 systematic effect Effects 0.000 description 1
- 238000013519 translation Methods 0.000 description 1
- 238000012795 verification Methods 0.000 description 1
Classifications
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/20—Exposure; Apparatus therefor
- G03F7/2051—Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source
- G03F7/2059—Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source using a scanning corpuscular radiation beam, e.g. an electron beam
- G03F7/2065—Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source using a scanning corpuscular radiation beam, e.g. an electron beam using corpuscular radiation other than electron beams
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/321—Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32357—Generation remote from the workpiece, e.g. down-stream
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32422—Arrangement for selecting ions or species in the plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/0271—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
- H01L21/0273—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0334—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/0337—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/28008—Making conductor-insulator-semiconductor electrodes
- H01L21/28017—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
- H01L21/28026—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
- H01L21/28123—Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32139—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
-
- H—ELECTRICITY
- H05—ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
- H05H—PLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
- H05H1/00—Generating plasma; Handling plasma
- H05H1/24—Generating plasma
- H05H1/46—Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Power Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Plasma & Fusion (AREA)
- Analytical Chemistry (AREA)
- Inorganic Chemistry (AREA)
- Health & Medical Sciences (AREA)
- Toxicology (AREA)
- Electromagnetism (AREA)
- Spectroscopy & Molecular Physics (AREA)
- Drying Of Semiconductors (AREA)
- Plasma Technology (AREA)
- Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
- Particle Accelerators (AREA)
- Cleaning Or Drying Semiconductors (AREA)
Abstract
本发明可提供利用可切换准中性束***实时处理衬底以改善光致抗蚀层的抗蚀刻性的装置和方法。此外,经修改的光致抗蚀层可用于蚀刻工序中以更准确地控制栅极和/或间隔区临界尺寸(CD)、控制栅极和/或间隔区CD均一性并且消除线边缘粗糙(LER)和线宽度粗糙(LWR)。
Description
技术领域
本发明涉及衬底处理,更具体而言涉及利用可切换中性束源(switchableneutralbeamsource)改善衬底处理。
背景技术
在半导体处理期间,等离子体经常被利用来通过促进沿着在半导体衬底上图案化的细线或者在半导体衬底上图案化的过孔(或触点)内的材料的各向异性去除来辅助蚀刻过程。另外,等离子体被利用来通过提供半导体衬底上的吸附原子的改善的迁移率来增强薄膜的沉积。
一旦形成了等离子体,就通过等离子体蚀刻衬底的所选表面。该过程被调整以实现适当的条件,包括合乎需要的反应物和离子群体的适当浓度以在衬底的所选区域中蚀刻各种特征(例如沟槽、过孔、触点等等)。需要蚀刻的这种衬底材料包括二氧化硅(SiO2)、低k介电材料、多晶硅和氮化硅。
然而,对等离子体(即,带电粒子)的使用本身在半导体器件的制造中产生问题。随着器件变得更小并且集成密度增大,其中的绝缘和隔离结构的击穿电压在许多情况下显著降低,经常降低到远低于10伏特。例如,一些集成电路(IC)器件设计要求亚微米厚度的绝缘体。
同时,结构的大小的减小使得绝缘或隔离结构的电容值减小,并且为了形成具有足以击穿绝缘或隔离结构的强度的电场需要的带电粒子相对更少。因此,半导体结构对于在制造过程(例如等离子干法蚀刻过程)期间撞击它们的粒子所携带的电荷的容忍度变得相当有限并且有时需要用于在制造期间耗散这种电荷的结构,这经常使半导体器件的设计复杂化。
虽然可通过利用不带电荷的粒子执行处理来避免此问题,但离子或电子的电荷是可用来有效地操纵和引导这些粒子的运动的唯一属性。因此,离子必须保持在带电状态中,直到其轨迹可被建立为止,并且离子的能量必须足以使得其在被电子中性化时轨迹将保持不变。即使那样,轨迹也可被更改并且中性束的流可由于与可能被中性化或不被中性化并且可具有不是精确平行的轨迹的其他粒子的碰撞而被严重耗尽。
由于此需求,开发了中性束源来产生任意能量的不带电荷的粒子的束,该任意能量可低至几电子伏特,并且可大至数万电子伏特或更大。
发明内容
本发明涉及可用于衬底处置工序的可切换准中性束(SQNB)源,所述衬底处置工序可包括掩蔽层固化、干燥、收缩、校正和/或硬化工序、蚀刻工序、灰化工序、清洁工序、沉积工序。在一些实施例中,SQNB源可用于固化和/或硬化图案化衬底上的掩蔽层并且将经固化和/或硬化的掩蔽层用在对图案化衬底的后续蚀刻工序中。
本发明涉及SQNB***和方法,用于利用空间-电荷中性化中性束激活过程固化、干燥、收缩、校正和/或硬化衬底上的图案化掩蔽层并且利用经固化、干燥、收缩、校正和/或硬化的图案化掩蔽层来蚀刻衬底。SQNB***可包括用于形成处于一个或多个不同的上方等离子体电势的一个或多个不同的上方等离子体的上方等离子体腔室,以及用于形成处于可大于上方等离子体电势的一个或多个不同的SQNB等离子体电势的一个或多个不同的SQNB过程等离子体的可切换准中性束(SQNB)过程腔室,并且SQNB过程等离子体是利用来自上方等离子体的电子流形成的。另外,SQNB***包括可切换衬底支架,该可切换衬底支架被配置为将衬底定位在SQNB过程腔室中,在第一SQNB工序期间提供第一衬底偏置配置,并且在第二SQNB工序期间提供第二衬底偏置配置。
SQNB***可被配置为在第一SQNB工序期间生成第一准中性束并且在第二SQNB工序期间生成第二准中性束。SQNB***可被配置为在第一SQNB工序期间利用第一组中性化束在SQNB过程腔室中生成第一SQNB等离子体并且在第二SQNB工序期间利用第二组中性化束和第二过程气体在SQNB过程腔室中生成第二SQNB等离子体。
本发明可包括一种SQNB***,该SQNB***包括:等离子体生成腔室,其包括被配置为以第一流速接收第一过程气体的上方等离子体区域;耦合到等离子体生成腔室并被配置为将第一过程气体引入到上方等离子体区域的第一气体注入***;耦合到等离子体生成腔室并被配置为从第一过程气体在上方等离子体区域中生成处于上方等离子体电势的上方等离子体的等离子体生成***;可切换准中性束(SQNB)过程腔室,其包括部署在上方等离子体区域的下游并被配置为以第二流速接收来自上方等离子体区域的至少一个上方等离子体种类的可切换等离子体区域;部署在上方等离子体区域和可切换等离子体区域之间的分隔构件,其中分隔构件包括被配置为允许从上方等离子体区域到可切换等离子体区域的电子流在SQNB过程腔室中形成处于可切换等离子体电势的可切换等离子体的一个或多个开口;耦合到SQNB过程腔室并被配置为将可切换等离子体电势提升到高于上方等离子体电势以便控制电子流的下方偏置电极;耦合到SQNB过程腔室并被配置为支撑邻近可切换等离子体区域的衬底的可切换衬底支架;可切换衬底支架耦合到多档位(multi-position)开关,该多档位开关被配置为在第一SQNB工序期间处于第一档位并且在第二SQNB工序期间处于第二档位;以及耦合到SQNB过程腔室的真空泵抽***。例如,真空泵抽***可被配置为在第一SQNB工序期间将SQNB过程腔室中的可切换等离子体区域泵抽到第一压力,并且可被配置为在第二SQNB工序期间将SQNB过程腔室中的可切换等离子体区域泵抽到第二压力。
本发明可包括一种SQNB***,该SQNB***包括:等离子体生成腔室和等离子体生成***,该等离子体生成***被配置为在第一SQNB工序期间在等离子体生成腔室中的上方等离子体区域中创建处于第一上方等离子体电势的第一上方等离子体并且还被配置为在第二SQNB工序期间在等离子体生成腔室中的上方等离子体区域中创建处于第二上方等离子体电势的第二上方等离子体;等离子体生成腔室被配置为在第一SQNB工序期间以第一流速接收第一等离子体生成气体并且还被配置为在第二SQNB工序期间以第二流速接收第二等离子体生成气体;SQNB过程腔室,其包括部署在上方等离子体区域的下游并被配置为在第一SQNB工序期间接收来自上方等离子体区域的至少一个上方等离子体种类并且还被配置为在第二SQNB工序期间接收来自上方等离子体区域的至少一个第二等离子体种类的可切换等离子体区域;耦合到等离子体生成腔室的第一气体注入***,其被配置为在第一SQNB工序期间将第一等离子体生成气体引入到上方等离子体区域并且还被配置为在第二SQNB工序期间将第二等离子体生成气体引入到上方等离子体区域;部署在上方等离子体区域和可切换等离子体区域之间的分隔构件,该分隔构件包括一个或多个“束创建”开口,这些开口被配置为允许从上方等离子体区域到可切换等离子体区域的第一电子流形成处于第一可切换等离子体电势的第一可切换等离子体并且还被配置为允许从上方等离子体区域到可切换等离子体区域的第二电子流形成处于第二可切换等离子体电势的第二可切换等离子体;耦合到SQNB过程腔室的下方偏置电极,其被配置为在第一SQNB工序期间将第一可切换等离子体电势提升到高于第一上方等离子体电势以便控制多个束中的第一电子流并且还被配置为在第二SQNB工序期间将第二可切换等离子体电势提升到高于第二上方等离子体电势以便控制多个束中的第二电子流;耦合到SQNB过程腔室并被配置为支撑邻近可切换等离子体区域的衬底的可切换衬底支架;可切换衬底支架耦合到多档位开关,该多档位开关被配置为在第一SQNB工序期间处于第一档位并且在第二SQNB工序期间处于第二档位;以及耦合到SQNB过程腔室并被配置为泵抽SQNB过程腔室中的可切换等离子体区域的真空泵抽***。例如,第一和/或第二可切换等离子体可在掩蔽层固化、干燥、收缩、校正和/或硬化工序、蚀刻工序、灰化工序、清洁工序或沉积工序或者其任何组合期间建立。
根据另一实施例,描述了一种用于处理图案化衬底的方法,该方法包括:将图案化衬底部署在被配置为修改图案化衬底上的掩蔽层的可切换过程腔室中;以第一上方等离子体电势在上方等离子体区域中形成第一上方等离子体;利用来自上方等离子体区域的多个束中的第一电子流以第一可切换等离子体电势在可切换等离子体区域中形成第一可切换(修改)等离子体;将第一可切换等离子体电势提升到高于第一上方等离子体电势以控制第一电子流;控制可切换过程腔室中的第一压力;以及使衬底暴露于第一可切换(修改)等离子体;以第二上方等离子体电势在上方等离子体区域中形成第二上方等离子体;利用来自上方等离子体区域的多个束中的第二电子流以第二可切换等离子体电势在可切换等离子体区域中形成第二可切换(特征形成)等离子体;将第二可切换等离子体电势提升到高于第二上方等离子体电势以控制第二电子流;控制可切换过程腔室中的第二压力;以及使衬底暴露于第二可切换(特征形成)等离子体。
本发明可提供利用被创建为修改辐射敏感材料的子***和处理序列实时处理衬底的装置和方法。此外,经修改的辐射敏感层可用在第二SQNB工序中以更准确地控制栅极和/或间隔区临界尺寸(CD)、控制栅极和/或间隔区CD均一性、并且消除线边缘粗糙(LER)和线宽度粗糙(LWR)。
从接下来的描述和从附图中将清楚本发明的其他方面。
附图说明
现在将参考附图仅作为示例描述本发明的实施例,附图中相应的标号指示相应的部件,其中:
图1示出了根据本发明实施例的处理***的示例性框图;
图2A示出了根据本发明实施例的可切换准中性束(SQNB)子***的简化视图;
图2B示出了根据本发明实施例在图2A中所示的可切换准中性束(SQNB)子***中执行第一和/或第二SQNB工序的示例性条件;
图3示出了根据本发明实施例的另一可切换准中性束(SQNB)处理***的示例性框图;
图4示出了根据本发明实施例的利用可切换准中性束(SQNB)***来处理衬底的方法的示例性流程图;并且
图5A和5B示出了根据本发明实施例的利用可切换准中性束(SQNB)***来处理金属栅极结构的工序的示例性视图。
具体实施方式
本发明提供了利用被创建来修改辐射敏感材料的可切换准中性束(SQNB)子***和SQNB处理序列来实时处理衬底的装置和方法。此外,经修改的辐射敏感层可用于更准确地控制栅极和/或间隔区临界尺寸(CD)、控制栅极和/或间隔区CD均一性并且消除线边缘粗糙(LER)和线宽度粗糙(LWR)。例如,SQNB子***和SQNB处理序列可用于更改掩蔽层材料的机械属性,可用于修改掩蔽层材料的化学和/或机械属性,并且可用于更改掩蔽层材料的蚀刻电阻。
在一些实施例中,提供了用于创建和/或使用度量库的装置和方法,该度量库包括关于在第一SQNB工序期间创建的经修改的光致抗蚀特征和周期性结构的轮廓数据和衍射信号数据。此外,度量库可包括关于在额外SQNB工序中利用经修改的光致抗蚀特征和周期性结构创建的新特征的轮廓数据和衍射信号数据。
在衬底上的各种位置可提供一个或多个评估特征,并且这些评估特征可用于评估和/或验证SQNB工序和相关联的模型。衬底可具有实时和历史数据与之相关联,并且衬底数据可包括SQNB数据。此外,衬底可具有其他数据与之相关联,并且其他数据可包括栅极结构数据、所需部位数目、已访问部位数目、一个或多个部位的置信数据和/或风险数据、部位排名数据、传送序列数据、或过程相关数据、或评估/验证相关数据、或者其任何组合。与衬底相关联的数据可包括可用于建立何时向何处传送衬底的传送序列数据,并且可利用操作状态数据来改变传送序列。
随着特征大小减小到45nm技术节点以下,准确的处理和/或测量数据变得更重要并且更难以获得。SQNB工序可用于更准确地处理和/或测量这些超小器件和特征。来自SQNB工序的数据可与警告和/或控制限度相比较。当违反了运行规则时,可以生成指示处理问题的警报,并且可实时执行校正工序。
图1示出根据本发明实施例的处理***的示例性框图。在图示实施例中,处理***100可包括光刻子***110、曝光子***120、蚀刻子***130、沉积子***140、SQNB子***150、评估子***160、传送子***170、制造执行***(MES)180、***控制器190以及存储器/数据库195。在图示实施例中示出了单个子***(110、120、130、140、150、160和170),但这不是本发明必需的。在一些实施例中,在处理***100中可使用多个子***(110、120、130、140、150、160和170)。此外,子***(110、120、130、140、150、160和170)中的一个或多个可包括可用于SQNB处理序列和相关联的模型中的一个或多个处理元件。或者,可使用可切换中性束(SNB)子***和/或SNB处理序列。
***控制器190可利用数据传送子***191耦合到光刻子***110、曝光子***120、蚀刻子***130、沉积子***140、SQNB子***150、评估子***160和传送子***170。***控制器190可利用第一数据传送子***181耦合到MES180。或者,可使用其他配置。例如,蚀刻子***130、沉积子***140、SQNB子***150、评估子***160以及传送子***170的一部分可以是可从TokyoElectronLimited获得的子***。
光刻子***110可包括一个或多个传送/存储元件112、一个或多个处理元件113、一个或多个控制器114以及一个或多个评估元件115。传送/存储元件112中的一个或多个可耦合到处理元件113中的一个或多个和/或评估元件115中的一个或多个并且可利用一个或多个传送装置111耦合到传送子***170。可利用传送装置111中的一个或多个在传送子***170和光刻子***110之间实时传送一个或多个衬底105。例如,传送子***170可耦合到传送/存储元件112中的一个或多个、处理元件113中的一个或多个和/或评估元件115中的一个或多个。控制器114中的一个或多个可耦合到传送/存储元件112中的一个或多个、处理元件113中的一个或多个和/或评估元件115中的一个或多个。
在一些实施例中,光刻子***110可对一个或多个衬底执行涂布工序、热工序、测量工序、检验工序、校准工序和/或存储工序。例如,一个或多个光刻相关过程可用于沉积可包括光致抗蚀材料和/或抗反射涂层(ARC)材料的一个或多个掩蔽层,并且可用于对掩蔽层中的一个或多个进行热处理(烘烤)。此外,光刻子***110可用于显影、测量和/或检验一个或多个衬底上的一个或多个图案化的掩蔽层。
曝光子***120可包括一个或多个传送/存储元件122、一个或多个处理元件123、一个或多个控制器124以及一个或多个评估元件125。传送/存储元件122中的一个或多个可耦合到处理元件123中的一个或多个和/或评估元件125中的一个或多个并且可利用一个或多个传送装置121耦合到传送子***170。可利用传送装置121中的一个或多个在传送子***170和曝光子***120之间实时传送一个或多个衬底105。例如,传送子***170可耦合到传送/存储元件122中的一个或多个、处理元件123中的一个或多个和/或评估元件125中的一个或多个。控制器124中的一个或多个可耦合到传送/存储元件122中的一个或多个、处理元件123中的一个或多个和/或评估元件125中的一个或多个。
在一些实施例中,曝光子***120可用于执行湿法和/或干法曝光工序,并且在其他情况下,曝光子***120可用于执行远紫外(EUV)曝光工序。
蚀刻子***130可包括一个或多个传送/存储元件132、一个或多个处理元件133、一个或多个控制器134以及一个或多个评估元件135。传送/存储元件132中的一个或多个可耦合到处理元件133中的一个或多个和/或评估元件135中的一个或多个并且可利用一个或多个传送装置131耦合到传送子***170。可利用传送装置131中的一个或多个在传送子***170和蚀刻子***130之间实时传送一个或多个衬底105。例如,传送子***170可耦合到传送/存储元件132中的一个或多个、处理元件133中的一个或多个和/或评估元件135中的一个或多个。控制器134中的一个或多个可耦合到传送/存储元件132中的一个或多个、处理元件133中的一个或多个和/或评估元件135中的一个或多个。例如,处理元件133中的一个或多个可用于执行等离子或非等离子蚀刻、灰化和清洁工序,或者等离子或非等离子蚀刻工序。评估工序和/或检验工序可用于测量和/或检验衬底的一个或多个表面和/或层。
沉积子***140可包括一个或多个传送/存储元件142、一个或多个处理元件143、一个或多个控制器144以及一个或多个评估元件145。传送/存储元件142中的一个或多个可耦合到处理元件143中的一个或多个和/或评估元件145中的一个或多个并且可利用一个或多个传送装置141耦合到传送子***170。可利用传送装置141中的一个或多个在传送子***170和沉积子***140之间实时传送一个或多个衬底105。例如,传送子***170可耦合到传送/存储元件142中的一个或多个、处理元件143中的一个或多个和/或评估元件145中的一个或多个。控制器144中的一个或多个可耦合到传送/存储元件142中的一个或多个、处理元件143中的一个或多个和/或评估元件145中的一个或多个。例如,处理元件143中的一个或多个可用于执行物理气相沉积(PVD)工序、化学气相沉积(CVD)工序、等离子增强原子层沉积(PEALD)工序和/或等离子增强化学气相沉积(PECVD)工序。评估工序和/或检验工序可用于测量和/或检验衬底的一个或多个表面。
SQNB子***150可包括一个或多个传送/存储元件152、一个或多个可切换处理元件153、一个或多个控制器154以及一个或多个可切换评估元件155。例如,可切换评估元件155中的一个或多个可在SQNB处理序列期间执行实时测量、检验和/或验证工序。传送/存储元件152中的一个或多个可耦合到可切换处理元件153中的一个或多个和/或可切换评估元件155中的一个或多个并且可利用一个或多个传送装置151耦合到传送子***170。可利用传送装置111中的一个或多个在传送子***170和SQNB子***150之间实时传送一个或多个衬底105。例如,传送子***170可耦合到传送/存储元件152中的一个或多个、可切换处理元件153中的一个或多个和/或可切换评估元件155中的一个或多个。控制器154中的一个或多个可耦合到传送/存储元件152中的一个或多个、可切换处理元件153中的一个或多个和/或可切换评估元件155中的一个或多个。
评估子***160可包括一个或多个传送/存储元件162、一个或多个测量元件163、一个或多个控制器164以及一个或多个检验元件165。传送/存储元件162中的一个或多个可耦合到测量元件163中的一个或多个和/或检验元件165中的一个或多个并且可利用一个或多个传送装置161耦合到传送子***170。可利用一个或多个传送装置161在传送子***170和评估子***160之间实时传送一个或多个衬底105。例如,传送子***170可耦合到传送/存储元件162中的一个或多个、测量元件163中的一个或多个和/或检验元件165中的一个或多个。控制器164中的一个或多个可耦合到传送/存储元件162中的一个或多个、测量元件163中的一个或多个和/或检验元件165中的一个或多个。评估子***160可包括可用于执行实时光学评估工序的一个或多个测量元件163,实时光学评估工序可用于利用基于库或基于回归的技术测量衬底上的一个或多个部位处的目标结构。例如,衬底上的部位可包括SQNB相关部位、目标部位、覆盖部位、校准部位、测量部位、验证部位、检验部位或损坏评定部位,或者其任何组合。例如,一个或多个“标准衬底”或参考芯片可被存储并周期性地用于验证测量元件163中的一个或多个和/或检验元件165中的一个或多个的性能。
在一些实施例中,评估子***160可包括集成光学数字轮廓测定(iODP)元件(未示出),并且iODP元件/***可从TimbreTechnologiesInc.(一家TEL公司)获得。或者,可以使用其他度量***和/或检验***。例如,iODP技术可用于获得可包括临界尺寸(CD)数据、栅极结构数据和厚度数据的实时数据,并且iODP数据的波长范围可从小于约200nm到大于约900nm不等。示例性的iODP元件可包括轮廓仪库元件、轮廓仪应用服务器(PAS)元件和ODP轮廓仪软件元件。ODP轮廓仪库元件可包括光谱及其相应的半导体轮廓、CD和膜厚度的专用数据库元件。PAS元件可包括与光学硬件和计算机网络相连接的至少一个计算机。PAS元件可被配置为提供数据通信、ODP库操作、测量过程、结果生成、结果分析和结果输出。ODP轮廓仪软件元件可包括安装在PAS元件上以管理测量配方、ODP轮廓仪库元件、ODP轮廓仪数据、ODP轮廓仪搜索/匹配结果、ODP轮廓仪计算/分析结果、数据通信和到各种度量元件和计算机网络的PAS接口的软件。
评估子***160可使用偏振反射测定术、椭圆偏振光谱术、反射测定术或其他光学测量技术来测量准确的器件轮廓、准确的CD和衬底的多层膜厚度。集成度量过程(iODP)可作为集成的过程在集成的一组子***中被执行。此外,集成过程消除了为了执行分析或长时间等待来自外部***的数据而破坏衬底的需要。iODP技术可与现有的薄膜度量***一起用于联机轮廓和CD测量,并且可与TEL处理***和/或光刻***集成以提供实时过程监视和控制。仿真的度量数据可通过应用麦克斯韦方程并利用数值分析技术求解麦克斯韦方程来生成。
传送子***170可包括耦合到传送轨道(175和176)的传送元件174,传送轨道可用于接收衬底、传送衬底、校准衬底、存储衬底和/或延迟衬底。例如,传送元件174可支撑两个或更多个衬底。或者,可以使用其他传送手段。传送子***170可基于SQNB工序、SQNB处理序列、传送序列、操作状态、衬底和/或处理状态、处理时间、当前时间、衬底数据、衬底上的部位的数目、衬底上的部位的类型、所要求的部位的数目、完成的部位的数目、剩余部位的数目或置信数据或者其任何组合来加载、传送、存储和/或卸载衬底。
在一些示例中,传送子***170可使用加载数据来确定何时向何处传送衬底。在其他示例中,传送***可使用SQNB处理数据来确定何时向何处传送衬底。或者,可使用其他工序。例如,当衬底的第一数目小于或等于可用处理元件的第一数目时,可利用传送子***170将第一数目的衬底传送到一个或多个子***中的第一数目的可用处理元件。当衬底的第一数目大于可用处理元件的第一数目时,可利用传送/存储元件(112、122、132、142、152和162)中的一个或多个和/或传送子***170来存储和/或延迟一些衬底。
此外,当执行光刻相关工序、曝光相关工序、检验相关工序、测量相关工序、评估相关工序、蚀刻相关工序、沉积相关工序、热处理工序、涂布相关工序、校准相关工序、抛光相关工序、存储相关工序、传送工序、清洁相关工序、返工相关工序、氧化相关工序、氮化相关工序或外部处理元素或者其任何组合时,可使用一个或多个子***(110、120、130、140、150、160和170)。
可为子***(110、120、130、140、150、160和170)建立操作状态数据,并且可通过SQNB工序来使用和/或更新这些操作状态数据。此外,可为传送/存储元件(112、122、132、142、152和162)、元件(113、123、133、143、153和163)和评估元件(115、125、135、145、155和165)建立操作状态数据,并且可通过SQNB工序来更新这些操作状态数据。例如,用于处理元件的操作状态数据可包括可用性数据、处理元件的匹配数据、一些过程步骤和/或部位的预期处理时间、产量数据、处理元件的置信数据和/或风险数据、或者一个或多个第一SQNB和/或第二SQNB工序的置信数据和/或风险数据。可通过实时查询一个或多个处理元件和/或一个或多个子***来获得经更新的操作状态。可通过实时查询一个或多个传送元件和/或一个或多个传送子***来获得经更新的加载数据。
控制器(114、124、134、144、154和164)中的一个或多个可利用数据传送子***191耦合到***控制器190和/或耦合到彼此。或者,可使用其他耦合配置。控制器可串联和/或并联耦合,并且可具有一个或多个输入端口和/或一个或多个输出端口。例如,控制器可包括具有一个或多个核心处理元件的微处理器。
此外,子***(110、120、130、140、150、160和170)可利用内联网、互联网、有线和/或无线连接耦合到彼此以及耦合到其他装置。控制器(114、124、134、144和190)可根据需要耦合到外部装置。
控制器(114、124、134、144、154、164和190)中的一个或多个可以在执行实时SQNB工序时使用。控制器可接收来自SQNB模型的实时数据以更新子***、处理元件、过程、配方、轮廓、图像、图案、仿真、序列数据和/或模型数据。控制器(114、124、134、144、154、164和190)中的一个或多个可用于与制造执行***(MES)180或其他***(未示出)交换一个或多个半导体设备通信标准(SECS)消息、读取和/或删除信息、前馈和/或反馈信息、和/或将信息作为SECS消息发送。格式化的消息中的一个或多个在控制器之间交换,并且控制器可实时处理消息并提取新数据。当有新数据可用时,新数据可被实时用于更新当前正用于衬底和/或批次的模型和/或工序。例如,当在检查当前布局之前能够更新模型和/或工序时,可利用经更新的模型和/或工序来检查当前布局。当在处理当前布局之前不能执行更新时,可利用未更新的模型和/或工序来检查当前布局。此外,当改变抗蚀剂时,当改变抗蚀模型时,当改变处理序列时,当改变设计规则时或者当改变布局时,可以使用格式化的消息。
在一些示例中,MES180可被配置为实时监视一些子***和/或***过程,并且工厂级干预和/或判断规则可用于确定监视哪些过程和可以使用哪些数据。例如,过程级干预和/或判断规则可用于确定当在SQNB工序中发生差错状况时如何管理数据。MES180还可提供建模数据、处理序列数据和/或衬底数据。
此外,控制器(114、124、134、144、154、164和190)根据需要可包括存储器(未示出)。例如,存储器(未示出)可用于存储信息和控制器要执行的指令,并且可用于在处理***100中的各种计算机/处理器执行指令期间存储临时变量和/或其他中间信息。控制器(114、124、134、144、154、164和190)中的一个或多个或者其他***组件可包括用于从计算机可读介质中读取数据和/或指令的装置并且可包括用于将数据和/或指令写入到计算机可读介质的装置。
处理***100可响应于处理***中的计算机/处理器执行存储器中包含的和/或在消息中接收的一个或多个指令的一个或多个序列而执行本发明的处理步骤的一部分或全部。可从另一计算机、计算机可读介质或网络连接接收这种指令。
在一些实施例中,可利用来自TokyoElectroLimited(TEL)的***组件配置集成***,并且可包括外部子***和/或工具。例如,可提供测量元件,这些测量元件可包括CD扫描电子显微镜(CDSEM)***、透射电子显微镜(TEM)***、聚焦离子束(FIB)***、光学数字轮廓测定(ODP)***、原子力显微镜(AFM)***或另外的检验***。子***和/或处理元件可具有不同的接口要求,并且控制器可被配置为满足这些不同的接口要求。
子***(110、120、130、140、150、160和170)中的一个或多个可执行控制应用、图形用户界面(GUI)应用和/或数据库应用。此外,子***(110、120、130、140、150、160和170)和/或控制器(114、124、134、144、154、164和190)中的一个或多个可包括实验设计(DOE)应用、先进过程控制(APC)应用、故障检测和分类(FDC)应用和/或运行到运行(R2R)应用。
来自SQNB工序的输出数据和/或消息可被用在后续工序中优化过程准确度和精度。数据可作为实时可变参数被实时传递到SQNB工序,推翻当前模型值并简化DOE表格。实时数据可与基于库的***或者基于回归的***或者其任何组合一起使用来优化P-H工序。
当使用基于库的过程时,可利用SQNB工序、配方、轮廓和/或模型来生成和/或增强库中的一些数据。例如,库可包括仿真的和/或测量到的SQNB工序的数据以及相应的轮廓数据的集合。可实时执行基于库的过程。为库生成SQNB数据的替换工序可包括使用机器学习***(MLS)。例如,在生成库数据之前,可利用已知的输入和输出数据来训练MLS,并且可利用SQNB数据的子集来训练MLS。
SQNB工序可包括每当遇到匹配情境时可执行的干预和/或判断规则。可基于历史工序、客户的经验或者过程知识建立或者从主机计算机获得干预和/或判断规则和/或限度。规则可用在故障检测和分类(FDC)工序中以确定如何对警报状况、差错状况、故障状况和/或警告状况作出响应。基于规则的FDC工序可对故障进行优先级区分和/或分类、预测***性能、预测预防性维护安排、减短维护停工期以及延长***中的消耗性部件的使用寿命。响应于警报/故障可发生各种动作,并且在警报/故障时采取的动作可以是基于情境的,并且情境数据可由规则、***/过程配方、腔室类型、标识号、加载端口号、盒号、批次号、控制作业ID、过程作业ID、槽号和/或数据类型来指定。
不成功的SQNB工序可在超过限度时报告失败,并且成功的工序可在接近限度时创建警告消息。针对工序差错预先指定的失败动作可被存储在数据库中,并且可在发生差错时被从数据库取回。例如,SQNB工序可在测量工序失败时拒绝衬底的一个或多个部位处的数据。
SQNB工序可用于创建、修改和/或评估在不同时间和/或部位的隔离和/或嵌套的结构。例如,栅极层叠(gatestack)尺寸和衬底厚度数据在隔离和/或嵌套的结构附近可能是不同的,并且栅极层叠尺寸和衬底厚度数据在开放区域和/或沟槽阵列区域附近可能是不同的。由SQNB工序创建的经修改的光致抗蚀特征可随后用于为经蚀刻的隔离和/或嵌套结构创建优化的特征和/或结构。
SQNB工序可用于加强光致抗蚀膜、供应最优聚合物并且抑制在各种SQNB工序的一些期间使用的气体的离解。因此,可减小光致抗蚀剂的表面粗糙度。另外,可防止在光致抗蚀膜中形成的开口部分的CD扩大,从而实现了具有高准确度的图案形成。特别地,通过控制DC电压以适当地运用这里描述的三个功能,即蚀刻功能、等离子优化功能和电子供应功能,可以更增强这些效果。
在SQNB工序期间沉积的副产物的量取决于等离子体与DC电极、腔室壁等等之间的电势差。从而,可通过控制等离子体电势来抑制副产物的沉积,并且可控制从多输出供应***施加到DC电极的电压以降低等离子体电势。等离子体电势Vp优选被设定为负100到负3000伏特的范围内的值。
图2A示出了根据本发明实施例的SQNB子***的简化视图。在图2A中所示的图示实施例中,描述了被配置为以可在第一SQNB工序和/或第二SQNB工序期间激活的空间-电荷中性化束利用衬底上的无图案和/或图案化的光致抗蚀层来执行第一SQNB工序和/或第二SQNB工序的SQNB子***200。
图2B示出了当在图2A中所示的SQNB子***中执行第一SQNB和/或第二SQNB工序时的示例性状况。示出了存在束电子浮动电势(Vfe),因为在等离子体中的某处存在不受束电子轰击的绝缘体表面;反而,这些表面在麦克斯韦热电子流下。这些表面的浮动电势是“热麦克斯韦浮动电势”。
如图2A和2B中所示,SQNB子***200可包括用于以上方等离子体电势(Vp1)形成上方等离子体212的上方等离子体腔室210,和用于以大于上方等离子体电势(Vp1)的可切换等离子体电势(Vp2)形成可切换等离子体222的可切换等离子体腔室220。上方等离子体212可通过将功率例如射频(RF)功率耦合到上方等离子体腔室210中的可电离气体来形成,而可切换等离子体222是利用来自上方等离子体212的电子流(例如高能电子(ee)电流)(jee)来形成的。功率的范围是从约10瓦特到约700瓦特。另外,可切换等离子体腔室220可包括可切换衬底支架,该可切换衬底支架被配置为将衬底225定位在可切换等离子体腔室220中的直流(DC)地或浮动地处以暴露于处于可切换等离子体电势的可切换等离子体222。
上方等离子体腔室210包括被配置为点燃和加热上方等离子体212的等离子体生成***216。可通过包括但不限于电感耦合等离子体(ICP)源、变压器耦合等离子体(TCP)源、电容耦合等离子体(CCP)源、电子回旋共振(ECR)源、螺旋波源、表面波等离子体源、具有开槽式平面天线的表面波等离子体源等等在内的任何传统的等离子体生成***来加热上方等离子体212。虽然可由任何等离子体源来加热上方等离子体212,但期望通过在其等离子体电势(Vp1)中产生减小的或最小化的波动的方法来加热上方等离子体212。例如,ICP源是产生减小的或最小化的(Vp1)波动的实用技术。
此外,上方等离子体腔室210包括直流(DC)导电地电极214,该DC导电地电极214具有充当与上方等离子体212接触的边界的导电表面。DC导电地电极214耦合到DC地。DC导电地电极214充当由处于上方等离子体电势(Vp1)的上方等离子体212驱动的离子吸收器。虽然在图2A中示出了一个DC导电地电极214,但SQNB子***200可包括一个或多个DC导电地电极。
虽然不是必须,但希望DC导电地电极214包括相对大的与上方等离子体212接触的面积。处于DC地的面积越大,上方等离子体电势就越低。例如,DC导电地电极214与上方等离子体212接触的导电表面的表面面积可大于与上方等离子体212接触的任何其他表面面积。此外,例如,DC导电地电极214与上方等离子体212接触的导电表面的表面面积可大于与上方等离子体212接触的所有其他导电表面的总和。或者,作为示例,DC导电地电极214与上方等离子体212接触的导电表面可以是唯一的与上方等离子体212接触的导电表面。DC导电地电极214可提供到地的最低阻抗路径。
如上所述,来自上方等离子体212的(高能)电子流(或电子电流)(jee)发起并维持可切换等离子体腔室220中的可切换等离子体222。为了控制电子流并且产生单能空间-电荷中性化中性束,如上所述的上方等离子体电势(Vp1)以及可切换等离子体电势(Vp2)应当是稳定的,只有充分减小或最小的波动,或者没有任何波动。为了在可切换等离子体222中实现此稳定性,可切换等离子体腔室220包括DC导电偏置电极224,该DC导电偏置电极224具有与可切换等离子体222接触的导电表面,其中DC导电偏置电极224耦合到DC电压源226。DC电压源226被配置为将DC导电偏置电极224偏置在正DC电压(+VDC)。结果,可切换等离子体电势(Vp2)是被(+VDC)电压源驱动的边界驱动等离子体电势,从而使得(Vp2)上升到大约(+VDC)并且保持基本稳定。虽然在图2A中示出了一个DC导电偏置电极224,但SQNB子***200可包括一个或多个DC导电偏置电极。
另外,SQNB子***200包括部署在上方等离子体腔室210和可切换等离子体腔室220之间的分隔构件230。分隔构件230可充当电子扩散器。电子扩散是由经过由电势差ΔV={(Vp2)–(Vp1)}产生的电子加速层的电场驱动的。分隔构件230可包括绝缘体,例如石英或氧化铝,或者分隔构件230可包括涂布电介质的导电材料,该涂布电介质的导电材料是电气浮动的并具有较高的到地RF阻抗。由于穿过电子加速层的大电场Δz={(Vp2)-(Vp1)},电子流充分高能以维持可切换等离子体222中的电离。然而,SQNB子***200可以可选地包括被配置为进一步加热可切换等离子体222的等离子体加热***。
分隔构件230可包括一个或多个开口,以允许来自上方等离子体腔室210的高能电子流通过到可切换等离子体腔室220。可相对于DC导电地电极214的表面面积调整一个或多个开口的总面积以确保相对大的电势差ΔV={(Vp2)-(Vp1)},同时最小化从可切换等离子体222到上方等离子体212的反向离子电流,并从而确保充分的离子能量以便离子撞击衬底225。
如图2A中所示,来自上方等离子体212中的第一离子群体的第一离子流(例如离子电流ji1)流到上方等离子体腔室210中的DC导电地电极214,其数量大致等同于从上方等离子体212经过分隔构件230处的电子加速层到可切换等离子体222中的电子流(或电子电流jee),即|ji1|~|jee|。
如上所述,高能电子流充分高能以形成可切换等离子体222。其中,形成热电子的群体和第二离子群体。热电子大部分是进入的高能电子流(或电子电流jee)在可切换等离子体222电离时射出的电子的结果,然而,来自高能电子流的一些高能电子可失去充分量的能量,从而变成热电子群体的一部分。
由于德拜屏蔽,只有可切换等离子体222的热电子流到DC导电偏置电极224(例如热电子电流jte),其数量大致等同于高能电子流,即|jte|~|jee|。热电子电流jte指向DC导电偏置电极224,而来自第二离子群体的第二离子流在(Vp2)指向衬底(作为离子电流,ji2),其大致等同于到衬底225的高能电流jee和高能电子生成的二次电子电流(jese)的总和。
如果进入的高能电子能量充分高,则高能电子流(jee)的很大一部分将在经过可切换等离子体222时存活并且撞击衬底(晶片)225。然而,无论其起源如何(即,来自高能电子流(jee)的高能电子或来自热电子群体的高能电子),只有能够通过衬底鞘(即,爬上电势“山”或者{(Vfe)–(Vp1)},其中(Vfe)是高能电子浮动电势)的高能电子会到达衬底225。由于衬底225是浮动DC地,所以由可切换等离子体222中的第二离子群体馈送的离子电流(ji2)(具有由{(Vp2)-(Vfe)}表征的离子能量)将等同于电子电流(je2)(即,没有净电流,或者|ji2|~je2|或者(ji2+je2)~(ji2+jee+jese)~0)。或者,衬底225可大致处于DC地,因为预期浮动地表面电势略高于DC地。
在SQNB子***200的这种配置中,可切换等离子体电势提升到高于上方等离子体电势会驱动(具有电子电流jee的)高能电子束以形成可切换等离子体222,而整个SQNB子***200中的粒子平衡强制了相等数目的电子(例如电子电流je2)和离子(例如离子电流ji2)撞击衬底225(即|ji2|~|je2|)。此电荷平衡表现为指向衬底225的空间-电荷中性化中性束,其可激活衬底225处的第一SQNB工序和/或第二SQNB工序。
图3示出了根据本发明实施例的可切换中性束子***的示例性框图。在图示实施例中,示出了示例性的可切换准中性束(SQNB)***,并且示例性的SQNB***300可包括可切换准中性束(SQNB)子***305,SQNB子***305可包括至少一个等离子体生成腔室310和至少一个SQNB过程腔室315。等离子体生成腔室310中的一个或多个可被配置为产生处于上方等离子体电势的上方等离子体313,并且SQNB过程腔室315中的至少一个可被配置为提供无污染的真空环境,用于利用图案化衬底325在第一SQNB时间期间执行第一SQNB工序并且在第二SQNB时间期间执行第二SQNB工序。例如,第一和/或第二SQNB工序可包括掩蔽层固化、干燥、收缩、校正和/或硬化工序、蚀刻工序、灰化工序、清洁工序或者沉积工序,或者其任何组合。或者,可使用中性束(NB)子***或可切换中性束(SNB)***。
等离子体生成腔室310可包括上方等离子体区域312,上方等离子体区域312可被配置为以第一流速接收第一等离子体生成气体,并且可被配置为形成上方等离子体313。SQNB过程腔室315可包括部署在上方等离子体区域312的下游的可切换等离子体区域352。SQNB过程腔室315可被配置为接收电子流和来自上方等离子体区域312的一个或多个等离子体种类,并且以可切换等离子体电势和第二压力在其中形成可切换等离子体353。在一些示例中,一个或多个分隔构件370可被配置在上方等离子体区域312和可切换等离子体区域352之间。
SQNB***300可包括上方气体供应***345,上方气体供应***345可利用至少一个第一供应线346耦合到等离子体生成腔室310中的一个或多个第一气体分配元件347。第一气体分配元件347可被配置在等离子体生成腔室310内,并且可用于将第一等离子体生成气体引入到上方等离子体区域312中的一个或多个区域。控制器395中的一个或多个可耦合到上方气体供应***345,并且控制器395中的至少一个可被配置为控制和/或监视上方气体供应***345。此外,第一气体分配元件347可被配置为以不同流速提供不同气体到上方等离子体区域312中的一个或多个区域。或者,可使用不同的引入方法。第一等离子体生成气体可包括正电性气体或者负电性气体或者其混合。例如,第一等离子体生成气体可包括惰性气体、含氧气体、含氮气体、含氟气体或者含碳气体,或者其任何组合。在额外的示例中,第一等离子体生成气体可包括适合于利用图案化衬底325执行SQNB工序的任何气体,并且第一等离子体生成气体可包括具有适合于利用图案化衬底325执行SQNB工序的化学成分、原子或分子的任何气体。这些化学成分可包括蚀刻剂、膜形成气体、稀释剂、清洁气体,等等。上方气体供应***345可包括一个或多个气体供应或气体源、一个或多个控制阀、一个或多个过滤器、一个或多个质量流量控制器、一个或多个测量装置等等。第一供应线346和/或第一气体分配元件347可包括一个或多个控制阀、一个或多个过滤器、一个或多个质量流量控制器,等等。
此外,示例性的SQNB***300可包括可耦合到多匝电感线圈362的等离子体生成源360,并且等离子体生成源360可包括射频(RF)生成器,该RF生成器将RF功率通过匹配网络361耦合到多匝电感线圈362。控制器395中的一个或多个可耦合到等离子体生成源360和匹配网络361,并且控制器395中的至少一个可被配置为控制和/或监视等离子体生成源360和匹配网络361。例如,来自等离子体生成源360的RF功率的范围可以是从约10瓦特到约700瓦特。RF功率被从多匝电感线圈362通过介电窗363电感耦合到上方等离子体区域312中的上方等离子体313。匹配网络361可用于通过降低反射功率来改善RF功率到等离子体的传送,并且可用于测量透射和/或反射的功率。匹配网络技术(例如L型、π型、T型等等)和自动控制方法是本领域技术人员公知的。
向多匝电感线圈362施加RF功率的典型频率的范围是从约2MHz至约100MHz。此外,可以采用开槽式法拉第屏蔽364来减小多匝电感线圈362和等离子体之间的电感耦合。虽然可由任何等离子体源加热上方等离子体313,但希望通过图2中所示的在其等离子体电势Vup中产生最小波动的方法来加热上方等离子体。
在替换实施例中,一不同的等离子体生成***(未示出)可耦合到等离子体生成腔室310并被配置为在上方等离子体区域312中生成上方等离子体313。该不同等离子体生成***可包括被配置为产生电容耦合等离子体(CCP)、电感耦合等离子体(ICP)、变压器耦合等离子体(TCP)、表面波等离子体、螺旋波等离子体或电子回旋共振(ECR)加热等离子体或者等离子体形成领域的技术人员了解的其他类型的等离子体的***。此外,可以使用产生减小或最小的(Vp1)波动的任何ICP源。
在一些实施例中,SQNB***300可包括上方电源340、可耦合到上方电源340的上方多档位开关342以及上方馈通(feed-thru)元件314。控制器395中的一个或多个可耦合到上方电源340和上方多档位开关342,并且控制器395中的至少一个可被配置为控制和/或监视上方电源340和上方多档位开关342。例如,上方馈通元件314可包括过滤器和/或传感器。上方馈通元件314可用于将上方多档位开关342的第一共同端口(c)耦合到等离子体生成腔室310中的上方直流(DC)导电电极311,并且上方馈通元件314可被配置为允许到上方DC导电电极311的电连接。
此外,上方多档位开关342可包括共同端口(c)、可耦合到地电势的第一可切换端口(a)以及可耦合到上方电源340的第二可切换端口(b)。当使用第一档位(路径c-a)时,上方DC导电电极311可耦合到地电势,并且当使用第二档位(路径c-b)时,上方DC导电电极311可耦合到上方电源340。例如,上方电源340可提供DC功率和/或AC功率,并且上方电源340的输出可以是恒定的、可被改变、可以是脉冲式的、可以是阶梯式的和/或可以是斜坡式的。在一些示例中,当上方DC导电电极311耦合到上方电源340时,上方电源340可提供小于提供给下方偏置电极317的偏置DC电压的DC电压。
在其他实施例中,上方DC导电电极311可耦合到地,并且可不需要上方馈通元件314、上方电源340和/或上方多档位开关342。在其他实施例中,上方DC导电电极311可利用上方电源340耦合到地。
上方DC导电电极311可具有充当与上方等离子体313接触的边界的导电表面。例如,上方DC导电电极311可包括掺杂硅电极。上方DC导电电极311可充当由处于上方等离子体电势(Vp1)的上方等离子体313驱动的离子吸收器。虽然在图3中示出了单个元件,但SQNB***300可包括一个或多个上方DC导电电极311、一个或多个上方电源以及一个或多个上方多档位开关342。
当上方DC导电电极311接地时,希望上方DC导电电极311包括相对大的与上方等离子体313接触的面积。可通过增大上方DC导电电极311在耦合到DC地时的表面面积来使得上方等离子体电势更低。例如,上方DC导电电极311与上方等离子体313接触的导电表面的表面面积可大于与上方等离子体313接触的任何其他表面面积。此外,例如,上方DC导电电极311与上方等离子体313接触的导电表面的表面面积可大于与上方等离子体313接触的所有其他导电表面的总和。或者,作为示例,上方DC导电电极311与上方等离子体313接触的导电表面可以是唯一的与上方等离子体313接触的导电表面。上方DC导电电极311可提供到DC地的最低阻抗路径。
此外,SQNB子***305可包括配置在上方等离子体区域312和可切换等离子体区域352之间的至少一个分隔构件370。分隔构件370可包括一个或多个开口372,这些开口372可被配置为创建多个束350,这多个束350可包括从上方等离子体区域312中的上方等离子体313到可切换等离子体区域352的电子流以及至少一个等离子体种类。例如,多个束350中的电子和/或离子可用于在可切换等离子体区域352中形成可切换等离子体353。例如,分隔构件370可包括多个开口372,并且每个开口372可被配置为创建可具有束角度的束350。束角度可从约80度到约89.5度不等。在一些示例中,可利用电子/粒子角轨迹的概率分布函数来限定束角度
分隔构件370中的开口372中的一个或多个可包括超德拜长度孔径,即横向尺寸或直径大于德拜长度。开口372可充当大到允许充足的电子传输,并且开口372可充分小到允许上方等离子体电势与可切换等离子体电势之间的充分高的电势差并且减小可切换等离子体353与上方等离子体313之间的任何反向离子电流。另外,一个或多个开口372可充分小到维持上方等离子体区域312中的第一压力与可切换等离子体区域352中的第二压力之间的压力差。
仍参考图3,SQNB***300可包括压力控制***354,压力控制***354可耦合到SQNB过程腔室315。控制器395中的一个或多个可耦合到压力控制***354,并且控制器395中的至少一个可被配置为控制和/或监视压力控制***354。在一些示例中,压力控制***354可包括真空泵358和可耦合到SQNB过程腔室315的真空阀359,并且压力控制***354可被配置为抽空SQNB过程腔室315并控制SQNB过程腔室315中的压力。或者,可利用不同数目的泵和/或不同数目的流控制装置来配置压力控制***354。真空泵358可包括能够具有高达5000升每秒(以及更高)的泵速的涡轮分子真空泵(TMP),并且真空阀359可包括闸门阀。真空阀359可耦合以排空在SQNB过程腔室315的底部形成的空间。另外,用于监视腔室状况的一个或多个第一传感器338可耦合到SQNB过程腔室315,并且第一传感器338中的一个或多个可用于测量SQNB过程腔室315中的压力。
此外,可切换衬底支架320可被延伸到超出可切换衬底支架320的***边缘的档板构件321围绕。档板构件321可用于将压力控制***354递送的泵速均一地分配到可切换等离子体区域352。档板构件321可由诸如石英或氧化铝之类的介电材料制成。档板构件321可为可切换等离子体353提供较高的到地RF阻抗。
在一些实施例中,用于半导体衬底的传送端口301可形成在SQNB过程腔室315的侧壁中,并且可被附于其上的闸门阀302打开/闭合。控制器395中的一个或多个可耦合到闸门阀302,并且控制器395中的至少一个可被配置为控制和/或监视闸门阀302。图案化衬底325例如可从传送子***(170,图1)通过传送端口301和闸门阀302被传送到SQNB过程腔室315中和传送出SQNB过程腔室315,并且其可被容纳在可切换衬底支架320内的衬底提升销(未示出)接收并且被容纳于其中的装置(未示出)机械平移。在从传送***接收到图案化衬底325后,其可被降低到可切换衬底支架320的上表面。衬底提升销的设计和实现是本领域技术人员公知的。或者,可使用无图案的衬底。
SQNB***300可包括可切换气体供应***355,可切换气体供应***355可利用至少一个第二供应线356耦合到SQNB过程腔室315中的可切换气体分配元件357。控制器395中的一个或多个可耦合到可切换气体供应***355,并且控制器395中的至少一个可被配置为控制和/或监视可切换气体供应***355。可切换气体供应***355和可切换气体分配元件357可用于在第一SQNB工序期间将至少一个第一SQNB过程气体引入到可切换等离子体区域352,可用于在第二SQNB工序期间将至少一个第二SQNB过程气体引入到可切换等离子体区域352,并且可用于在第二SQNB工序期间将至少一个第二SQNB过程气体引入到可切换等离子体区域352。例如,第一和/或第二SQNB过程气体可包括固化气体、干燥气体、校正气体、硬化气体、蚀刻气体、灰化气体、清洁气体或沉积气体,或者其任何组合。或者,可使用不同的引入方法。
可切换气体分配元件357可用于将过程气体引入到可切换等离子体区域352中的一个或多个区域。此外,可切换气体分配元件357可被配置为以不同流速提供不同气体到可切换等离子体区域352中的一个或多个区域。或者,可使用不同的引入方法。过程气体可包括正电性气体或者负电性气体或者其混合。例如,过程气体可包括惰性气体、含氧气体、含氮气体、含氟气体或者含碳气体,或者其任何组合。在额外的示例中,过程气体可包括适合于利用图案化衬底325执行SQNB工序的任何气体,并且第一等离子体生成气体可包括具有适合于利用图案化衬底325执行SQNB工序的化学成分、原子或分子的任何气体。这些化学成分可包括蚀刻剂、膜形成气体、稀释剂、清洁气体,等等。可切换气体供应***355可包括一个或多个气体供应或气体源、一个或多个控制阀、一个或多个过滤器、一个或多个质量流量控制器、一个或多个测量装置等等。第二供应线356和/或可切换气体分配元件357可包括一个或多个控制阀、一个或多个过滤器、一个或多个质量流量控制器、一个或多个开关,等等。
如图3中所示,SQNB过程腔室315可包括可耦合到地的一个或多个腔室线状构件316。例如,一个或多个腔室线状构件316可被部署在SQNB过程腔室的壁中的一个或多个与可切换等离子体区域352中的可切换等离子体353之间。此外,每个腔室线状构件316可由诸如石英或氧化铝之类的介电材料制成,并且腔室线状构件316可为可切换等离子体353提供较高的到地RF阻抗。
此外,SQNB过程腔室315可包括一个或多个下方偏置电极317,下方偏置电极317可利用至少一个绝缘体318与SQNB过程腔室315电绝缘。下方偏置电极317可具有与可切换等离子体353接触的至少一个导电表面。下方偏置电极317可包括导电材料,例如金属或掺杂硅。虽然图3中示出了单个下方偏置电极317,但SQNB***300可包括一个或多个下方偏置电极。
在一些实施例中,SQNB***300可包括偏置电源380、可耦合到下方电源380的下方多档位开关382以及下方馈通元件384。控制器395中的一个或多个可耦合到偏置电源380和/或下方多档位开关382,并且控制器395中的至少一个可被配置为控制和/或监视偏置电源380和/或下方多档位开关382。例如,下方馈通元件384可包括过滤器和/或传感器,并且可被配置为允许到下方偏置电极317的电连接。下方馈通元件384可用于将下方多档位开关382的第一共同端口(d)耦合到SQNB过程腔室315中的下方偏置电极317。此外,下方多档位开关382可包括可耦合到下方电源380的第一可切换端口(e)并且可包括可耦合到地电势的第二可切换端口(f)。当使用第一档位(路径(d-e))时,下方偏置电极317可耦合到下方电源380,并且当使用第二档位(路径(d-f))时,下方偏置电极317可耦合到地电势。例如,下方电源380可提供DC功率和/或AC功率,并且下方电源380的输出可以是恒定的、变化的、脉冲式的、阶梯式的和/或斜坡式的。
在其他实施例中,下方偏置电极317可耦合到地,并且可不需要下方馈通元件384、下方电源340和/或下方多档位开关382。在其他实施例中,下方偏置电极317可耦合到下方电源380。
偏置电源380和下方偏置电极317可被配置为将可切换等离子体电势提升到高于上方等离子体电势的值以便在正确方向上驱动电子流。虽然不是必须的,但希望下方偏置电极317包括相对大的与可切换等离子体353的接触的面积。处于+VDC电势的面积越大,可切换等离子体电势就将越接近+VDC。作为示例,下方偏置电极317的总面积可大于与可切换等离子体353接触的所有其他导电表面的总和。或者,作为示例,下方偏置电极317的总面积可以是与可切换等离子体353接触的唯一导电表面。
偏置电源380可包括可变DC电源。此外,偏置电源380可包括双极DC电源。偏置电源380还可包括被配置为执行监视调整或者控制偏置电源380的极性、电流、电压或开/关状态中的至少一个的***。可利用滤波器来使RF功率与偏置电源380解除耦合。
例如,由偏置电源380施加到下方偏置电极317的DC电压的范围可以是从约0伏特(V)到约10000V。希望由偏置电源380施加到下方偏置电极317的DC电压的范围可以是从约50伏特(V)到约5000V。此外,希望DC电压具有正极性。另外,希望DC电压是具有大于约50V的绝对值的正电压。
仍参考图3,SQNB过程腔室315可包括被配置为支撑图案化衬底325的可切换衬底支架320。可切换衬底支架320可包括静电夹持(ESC)电极323,静电夹持(ESC)电极323可利用至少一个馈通(ft)耦合到夹持电源322,并且可用于将图案化衬底325固定到可切换衬底支架320的上表面。控制器395中的一个或多个可耦合到夹持电源322,并且控制器395中的至少一个可被配置为控制和/或监视夹持电源322。在一些实施例中,静电夹持(ESC)电极323和夹持电源322可用于改善图案化衬底325与可切换衬底支架320之间的热传递。在其他实施例中,静电夹持(ESC)电极323可用于将图案化衬底325与可切换衬底支架320隔离。
此外,可切换衬底支架320可包括背面气体元件327,背面气体元件327可利用至少一个馈通(ft)耦合到背面气体递送***326,并且可被配置为将气体引入到图案化衬底325的背面,以便改善图案化衬底325与可切换衬底支架320之间的气体间隙热传导。控制器395中的一个或多个可耦合到背面气体递送***326,并且控制器395中的至少一个可被配置为控制和/或监视背面气体递送***326。当在升高或降低的温度下需要对图案化衬底325的温度控制时,可利用这种***。例如,背面气体递送***326可耦合到两区域(中央/边缘)背面气体元件327,并且在图案化衬底325的中央和边缘之间可独立地改变氦气间隙压力。在其他实施例中,背面气体元件327可用于将图案化衬底325与可切换衬底支架320隔离。
此外,SQNB***300可包括温度控制***328,温度控制***328利用至少一个馈通(ft)耦合到可切换衬底支架320,并且可被配置为调整和控制图案化衬底325的温度。温度控制***328可耦合一个或多个温度控制元件329。控制器395中的一个或多个可耦合到温度控制***328,并且控制器395中的至少一个可被配置为控制和/或监视温度控制***328。例如,温度控制元件329可用于再循环热交换液体。此外,温度控制元件329可包括加热/冷却元件,例如电阻性加热元件或者热电加热器/冷却器,其可包括被在可切换衬底支架320中以及SQNB过程腔室315的腔室壁和SQNB过程腔室315内的任何其他组件中。在一些实施例中,耦合到背面气体递送***326的两区域背面气体元件327和耦合到温度控制***328的温度控制元件329可被配置为为衬底建立第一边缘温度和第一中央温度,并且第一边缘温度和第一中央温度可在约0摄氏度到约100摄氏度之间。
在其他实施例中,SQNB***300可包括额外的衬底偏置组件,并且可切换衬底支架320可利用至少一个隔离元件335与SQNB过程腔室315中的底部腔室壁隔离。可切换衬底支架320可包括衬底偏置电极333,其可耦合到偏置生成器330、滤波器网络331、第一多档位开关332和/或第一馈通元件334。控制器395中的一个或多个可耦合到偏置生成器330、滤波器网络331和/或第一多档位开关332,并且控制器395中的至少一个可被配置为控制和/或监视偏置生成器330、滤波器网络331和/或第一多档位开关332。例如,第一馈通元件334可包括过滤器和/或传感器,并且可被配置为允许到衬底偏置电极333的电连接。第一馈通元件334可用于将第一多档位开关332的共同端口(g)耦合到可切换衬底支架320中的衬底偏置电极333。此外,第一多档位开关332可包括可耦合到地电势的第一可切换端口(h),可包括隔离的第二可切换端口(i),并且可包括可耦合到滤波器网络331的第一可切换端口(j)。当使用第一档位(路径(g-h))时,衬底偏置电极333和/或可切换衬底支架320可耦合到地电势,当使用第二路径(g-i)时,衬底偏置电极333和/或可切换衬底支架320可被隔离,并且当使用第三路径(g-j)时,衬底偏置电极333和/或可切换衬底支架320可利用滤波器网络331耦合到偏置生成器330。在一些示例中,偏置生成器330可提供DC功率和/或AC功率,并且偏置生成器330的输出可以是恒定的、变化的、脉冲式的、阶梯式的和/或斜坡式的。在其他示例中,偏置生成器330可提供一个或多个RF信号,RF信号频率的范围可以是从约0.1MHz到约100MHz,并且RF信号功率在一些SQNB工序期间可从大约10瓦特到大约1000瓦特不等。
在其他实施例中,可切换衬底支架320可耦合到地或被隔离,并且可不需要偏置生成器330、滤波器网络331、第一馈通元件334、第一多档位开关332。在其他实施例中,可切换衬底支架320可利用偏置生成器330和/或滤波器网络331被耦合到地或被隔离。
当可切换衬底支架320耦合到地时,图案化衬底325处于浮动地,因此,可切换等离子体353接触的唯一的地是由图案化衬底325提供的浮动地。例如,当图案化衬底325被夹持到可切换衬底支架320时,陶瓷静电夹持(ESC)层可使图案化衬底325与可切换衬底支架320绝缘。例如,ESC电压可从大约2000V到大约3000V不等。
当使用聚焦环306时,聚焦环306可包括含硅材料,并且可被部署在可切换衬底支架320的顶上。在一些示例中,聚焦环306可被配置为围绕静电电极323、背面气体元件327和图案化衬底325以改善衬底的边缘处的均一性。在其他示例中,聚焦环306可包括校正环部分(未示出),校正环部分可用于修改图案化衬底325的边缘温度。在各种实施例中,可以使用导电或非导电聚焦环。
当使用内部沉积屏蔽308时,内部沉积屏蔽308可被可脱离地耦合到衬底支架屏蔽307以防止在第一和/或第二SQNB工序期间创建的副产物沉积在可切换衬底支架320上。或者,可不需要内部沉积屏蔽308和/或衬底支架屏蔽307。档板构件321和衬底支架屏蔽307可包括覆盖有陶瓷的铝主体,例如Y2O3。
如图3中所示,SQNB***300可包括一个或多个传感器(338、339),这些传感器可包括用于监视从可切换等离子体区域352中的可切换等离子体353发射的光的一个或多个光学装置,和/或用于监视排出的气体的一个或多个气体感测装置。传感器(338、339)可包括可用作结束点检测器(EPD)并可提供EPD数据的光学传感器。例如,可以使用光学发射光谱术(OES)传感器。此外,传感器(338、339)可包括电压和/或电压探测器上、功率计、谱分析器或者RF阻抗分析器,或者其任何组合。另外,诸如电压或电流的时间追踪之类的电信号的测量允许了利用离散傅立叶级数表示将信号变换到频域(假定是周期性信号)。然后,傅立叶谱(或者对于时变信号是频率谱)可被监视和/或分析以表征等离子体的状态。
此外,SQNB***300可包括一个或多个控制器395,控制器395可包括一个或多个微处理器、一个或多个存储器元件以及能够生成足以传达并激活到SQNB***300的输入以及监视来自SQNB***300的输出的控制电压的一个或多个模拟和/或数字I/O装置(可能包括D/A和/或A/D转换器)。如图3中所示,控制器395可耦合到闸门阀302、夹持电源322上、背面气体递送***326、温度控制***328、偏置生成器330上、滤波器网络331、第一多档位开关332、传感器(338、339)、上方电源340、上方多档位开关342、上方气体供应***345、可切换气体供应***355、压力控制***354、等离子体生成源360、偏置电源380和下方多档位开关382并与之交换信息。可利用存储器中存储的一个或多个程序根据存储的过程配方与SQNB***300的上述组件交互。
控制器395中的一个或多个可被实现为响应于控制器/处理器执行存储器中包含的一个或多个指令的一个或多个序列而基于本发明的处理步骤执行微处理器的一部分或全部的通用计算机***。这种指令可被从硬盘或可移除介质驱动器之类的另一计算机可读介质读取到控制器存储器中。多处理布置中的一个或多个处理器也可被采用来控制微处理器以执行主存储器中包含的指令的序列。在替换实施例中,可以取代软件指令或者与软件指令相结合使用硬连线的电路。从而,实施例不限于硬件电路和软件的任何特定组合。
在各种实施例中,与上方气体供应***345相关联的等离子体种类可包括Ar、CF4、F2、C4F8、CO、C5F8、C4F6、CHF3、N2/H2或HBr,或者其中的两个或更多个的任何组合。多个第一气体分配元件347可提供到上方等离子体区域312的不同区域的不同流速。此外,与可切换气体供应***355相关联的等离子体种类可包括Ar、CF4、F2、C4F8、CO、C5F8、C4F6、CHF3、N2/H2或HBr,或者其中的两个或更多个的任何组合。多个第一SQNB过程气体分配元件357可提供到可切换等离子体区域352的不同区域的不同流速。
当第一等离子体生成气体和或第一SQNB过程气体包括至少一个氟碳气体和至少一个惰性气体时,第一氟碳气体流速在大约10sccm和大约50sccm之间不等,并且第一惰性气体流速在大约3sccm和大约20sccm之间不等,并且氟碳气体包括C4F6、C4F8、C5F8、CHF3或CF4,或其任何组合,并且惰性气体可包括Ar、氦(He)、氪(Kr)、氖(Ne)、氡(Rn)或氙(Xe),或者其任何组合。
当第一等离子体生成气体和或第一SQNB过程气体包括CO时,CO流速可在大约2sccm和大约20sccm之间不等。
作为示例,在正电性放电中,电子密度的范围可以是从大约1010cm-3到1013cm-3,并且电子温度的范围可以是从约1eV到约10eV(取决于所利用的等离子体源的类型)。
如图3中所示,多个束350可包括经过分隔构件370在上方等离子体区域312和可切换等离子体区域352之间发生的电子流。电子传输由电场增强扩散驱动,其中电场是由上方等离子体电势与可切换等离子体电势之间的电势差建立的。多个束350可包括可充分高能以维持可切换等离子体353中的电离的电子流。
当SQNB***300执行第一和/或第二SQNB过程时,闸门阀302可被打开,并且图案化衬底325可被传送到SQNB过程腔室315并放置在可切换衬底支架320上。等离子体生成腔室310可提供等离子体种类并且SQNB过程腔室315可被配置为使用上方等离子体种类来促进可切换等离子体区域352中附近图案化衬底325的表面处的可切换等离子体353的生成。可切换等离子体种类可包括氟碳元素(CxFy),例如C4F8,并且可包含另外的成分,例如Ar或CO。上方等离子体种类(离子)和/或电子的流速可利用第一和/或第二SQNB过程配方来建立。在第一SQNB工序期间,可从可切换气体供应***355引入可电离的气体或气体的混合,并且可利用压力控制***354来调整过程压力。此外,可从可切换气体供应***355引入可电离的过程气体或过程气体的混合,并且在SQNB处理工序期间可利用压力控制***354来调整过程压力。例如,等离子体生成腔室310内部的压力的范围可以是从约1毫托(mtorr)到约1200mtorr,并且SQNB过程腔室315内部的压力的范围在各种第一和/或第二SQNB工序期间可在约0.1mtorr和约150mtorr之间。在其他示例中,等离子体生成腔室310内部的压力的范围可以是从约10mtorr到约150mtorr,并且SQNB过程腔室315内部的压力的范围在其他SQNB第一和/或第二SQNB工序期间可在约1mtorr和约15mtorr之间。
在一些SQNB处理工序期间,可按预定的功率水平从偏置生成器330向衬底偏置电极333施加RF信号以维持和控制在可切换等离子体区域352中创建的可切换等离子体353。例如,当上方等离子体种类、电子和/或过程气体被供应到SQNB过程腔室315中时,RF信号可在一个或多个信号功率水平提供到下方电极的离子吸引。此外,预定的DC电压可被从偏置电源380施加到DC导电偏置电极中的一个或多个。另外,另外的DC电压可被从夹持电源322施加到静电电极323以将半导体衬底固定在可切换衬底支架320上。在可切换等离子体353中生成的辐射物和离子可用于处理图案化衬底325上的光致抗蚀层。
传感器(338、339)中的一个或多个可被配置为检测等离子体状态,以使得控制器395可利用检测到的等离子体状态来控制SQNB子***305、第一SQNB工序(配方)参数和/或第二SQNB工序(配方)参数。此外,传感器(338、339)中的一个或多个可用于在第一和/或第二SQNB工序期间测量等离子体鞘长度和/或电子密度。
当图案化衬底325上的光致抗蚀膜包括193nm光致抗蚀材料时,193nm光致抗蚀材料当在SQNB固化工序期间被电子辐射时改变其聚合物结构。当193nm光致抗蚀材料的构成由于抗蚀交联反应而被重组时,193nm光致抗蚀材料的抗蚀刻属性可增大,并且193nm光致抗蚀材料的表面粗糙度可减小。因此,控制器395可控制等离子体状态以通过用电子照射来增强193nm光致抗蚀材料(特别是ArF抗蚀材料)的抗蚀刻属性。
图4示出了根据本发明实施例的切换准中性束(SQNB)工序的示例性流程图。在图示实施例中,提供了用于利用例如图2A、2B和3中所示的SQNB子***对一个或多个图案化衬底执行一个或多个SQNB过程的工序400。例如,SQNB过程可包括掩蔽层固化、干燥、收缩、校正和/或硬化工序、蚀刻工序、灰化工序、清洁工序或沉积工序,或者其任何组合。
在410中,由可耦合到子***(110、120、130、140、150、160和170)中的一个或多个的传送子***(170,图1)接收第一组图案化衬底。或者,传送子***(170,图1)可接收无图案衬底。每个图案化上可具有多个第一栅极层叠(501,图5A),并且第一栅极层叠(501,图5A)可包括多个栅极相关掩蔽特征(550,图5A)和多个额外的层(510、515、520、525、530、535、540和545,图5A-5B)。或者,可按不同的方式配置第一栅极层叠(501,图5A)。控制器(114、124、134、144、154、164和190)中的一个或多个可用于接收、确定和/或发送与第一组图案化衬底中的一个或多个相关联的实时和/或历史数据。
在415中,利用控制器(114、124、134、144、154、164和190)中的一个或多个为第一组图案化衬底确定第一SQNB相关处理序列。第一SQNB相关处理序列可包括一个或多个固化工序、一个或多个干燥工序、一个或多个收缩工序、一个或多个校正工序、一个或多个硬化工序、一个或多个蚀刻工序、一个或多个灰化工序、一个或多个清洁工序、一个或多个评估工序、一个或多个验证工序、一个或多个测量工序或一个或多个沉积工序,或者其任何组合。
在一些实施例中,可利用可如图2A、2B和3中所示配置的SQNB子***(150,图1)来执行第一SQNB相关处理序列中的工序。在其他实施例中,可利用其他子***(110、120、130、140、150、160和170)中的一个或多个执行第一SQNB相关处理序列中的工序。此外,可利用子***(110、120、130、140、150、160和170)中的一个或多个执行验证工序。例如,可利用评估子***(160,图1)为第一组图案化衬底获得度量数据和/或CDSEM数据,并且可以使用光学数字轮廓测定(ODP)模型来为栅极层叠(501a-501c,图5A)和(501c-501e,图5B)提供度量数据。此外,度量数据可包括轮廓数据、周期数据、波长数据、衍射信号数据、反射数据、CD数据和SWA数据。
在420中,执行第一SQNB工序。可利用第一SQNB工序处理可从第一组图案化衬底中选择的第一图案化衬底。例如,第一SQNB工序可用于修改和/或评估掩蔽层。第一图案化衬底可被定位在SQNB过程腔室(315,图3)中的可切换衬底支架(320,图3)上,并且可切换衬底支架(320,图3)可利用至少一个隔离元件(335,图3)与SQNB过程腔室(315,图3)中的底部腔室壁电隔离。
第一图案化衬底上可具有多个第一栅极层叠(501,图5A),并且第一栅极层叠(501,图5A)可包括可以是金属栅极相关的多个掩蔽特征(550,图5A)和多个额外的层(510、515、520、525、530、535、540和545,图5A-5B),这些额外的层可包括一个或多个金属栅极相关层。或者,第一栅极层叠(501,图5A)可按不同的方式配置并且可用在多晶硅栅极工序中。在一些示例中,第一SQNB抗蚀修改工序可用于收缩、校正、保护、固化和/或硬化第一栅极层叠(501,图5A)中的掩蔽特征(550,图5A)以创建如第二栅极层叠(501a,图5A)中所示的多个经修改、固化、收缩、保护和/或硬化的掩蔽特征(550a,图5A)。或者,可按不同的方式配置第一栅极层叠(501,图5A)和/或第二栅极层叠(501a,图5A)。
在第一SQNB抗蚀修改工序期间,可利用处于第一上方等离子体电势的上方等离子体区域中的第一等离子体生成气体创建第一上方等离子体。在各种示例中,第一等离子体生成气体可包括Ar、CF4、F2、O2、N2、CO、C4F8、C5F8、C4F6、CHF3、N2/H2或HBr,或者其中的两个或更多个的任何组合。多个第一气体分配元件(347,图3)可提供到上方等离子体区域(312,图3)的不同区域的不同流速。
在一些实施例中,上方多档位开关(342,图3)可用于在第一SQNB抗蚀修改工序的一些部分期间将上方DC导电电极(311,图3)耦合到地电势,并且上方多档位开关(342,图3)可用于在第一SQNB抗蚀修改工序的其他部分期间将上方DC导电电极(311,图3)耦合到上方电源(340,图3)以控制第一上方等离子体电势。在其他实施例中,上方多档位开关(342,图3)可用于在基本上整个第一SQNB抗蚀修改工序期间将上方DC导电电极(311,图3)耦合到地电势以控制第一上方等离子体电势。在一些其他实施例中,上方多档位开关(342,图3)可用于在基本上整个第一SQNB抗蚀修改工序期间将上方DC导电电极(311,图3)耦合到上方电源(340,图3)以控制第一上方等离子体电势。例如,上方电源(340,图3)可提供DC功率和/或AC功率,并且上方电源(340,图3)的输出可以是恒定的、变化的、脉冲式的、阶梯式的和/或斜坡式的,以在第一SQNB抗蚀修改工序期间控制第一上方等离子体电势。
也可利用来自第一上方等离子体的电子流在处于第一SQNB等离子体电势的可切换等离子体区域中形成第一SQNB抗蚀修改等离子体。来自上方等离子体区域中的第一上方等离子体的电子流从等离子体生成腔室通过分隔构件传递到SQNB过程腔室,在该SQNB过程腔室中可创建第一SQNB抗蚀修改等离子体。如图2A、2B和3中所示,可切换等离子体区域可位于SQNB过程腔室中,并且部署在等离子体生成腔室与SQNB过程腔室之间的分隔构件中的一个或多个开口或通道可用于促进电子和一个或多个等离子体种类从上方等离子体区域到可切换等离子体区域的传输或供应。
此外,第一SQNB抗蚀修改等离子体电势可被提升到高于第一上方等离子体电势以控制电子流。上方等离子体区域中的第一上方等离子体可以是边界驱动等离子体(即,等离子体边界对于相应的等离子体电势具有实质影响),并且与第一等离子体接触的边界的一部分或全部可耦合到DC地。此外,可切换等离子体区域中的第一SQNB抗蚀修改等离子体可以是边界驱动等离子体,其中与可切换等离子体接触的边界的一部分或全部耦合到处于+VDC的DC电压源。将第一SQNB等离子体电势提升到高于第一上方等离子体电势可利用图2A、2B和3中提供的实施例的任何一个或组合来执行。
在一些替换实施例中,下方多档位开关(382,图3)可用于在第一SQNB抗蚀修改工序的一些部分期间将下方偏置电极(317,图3)耦合到地电势,并且下方多档位开关(382,图3)可用于在第一SQNB抗蚀修改工序的其他部分期间将下方偏置电极(317,图3)耦合到偏置电源(380,图3)以控制第一SQNB等离子体电势。在其他替换实施例中,下方多档位开关(382,图3)可用于在基本上整个第一SQNB抗蚀修改工序期间将下方偏置电极(317,图3)耦合到地电势以控制第一SQNB等离子体电势。在一些其他替换实施例中,下方多档位开关(382,图3)可用于在基本上整个第一SQNB抗蚀修改工序期间将下方偏置电极(317,图3)耦合到偏置电源(380,图3)以控制第一SQNB等离子体电势。例如,偏置电源(380,图3)可提供DC功率和/或AC功率,并且偏置电源(380,图3)的输出可以是恒定的、变化的、脉冲式的、阶梯式的和/或斜坡式的以在第一SQNB抗蚀修改工序期间控制第一SQNB处理等离子体电势。
另外,在第一SQNB抗蚀修改工序期间可通过泵抽SQNB过程腔室并控制第一抗蚀修改气体进入SQNB过程腔室的流速来控制SQNB过程腔室中的压力。在各种示例中,第一抗蚀修改气体可包括Ar、CF4、F2、O2、N2、CO、C4F8、C5F8、C4F6、CHF3、N2/H2或HBr,或者其中的两个或更多个的任何组合。多个第二气体分配元件(357,图3)可提供到可切换等离子体区域(352,图3)的不同区域的不同流速。在第一SQNB抗蚀修改工序期间可以使图案化衬底暴露于可切换等离子体区域中的第一SQNB等离子体。使衬底暴露于第一SQNB处理等离子体可包括使衬底暴露于单能空间-电荷中性化中性束激活化学过程。
在一些额外的实施例中,第一多档位开关(332,图3)可用于在第一SQNB抗蚀修改工序的一些部分期间将可切换衬底支架(320,图3)耦合到地电势,第一多档位开关(332,图3)可用于在第一SQNB抗蚀修改工序的另一些部分期间隔离可切换衬底支架(320,图3),并且/或者第一多档位开关(332,图3)可用于在第一SQNB抗蚀修改工序的另外一些部分期间将可切换衬底支架(320,图3)耦合到偏置电源(380,图3)以控制第一SQNB等离子体。在另一些额外的实施例中,第一多档位开关(332,图3)可用于在基本上整个第一SQNB抗蚀修改工序期间将可切换衬底支架(320,图3)耦合到地电势以控制第一SQNB处理等离子体。在另外一些额外的实施例中,第一多档位开关(332,图3)可用于在基本上整个第一SQNB抗蚀修改工序期间隔离可切换衬底支架(320,图3)以控制第一SQNB处理等离子体。在一些其他额外的实施例中,第一多档位开关(332,图3)可用于在基本上整个第一SQNB抗蚀修改工序期间将可切换衬底支架(320,图3)耦合到偏置电源(380,图3)以控制第一SQNB处理等离子体。
在425中,可执行一个或多个第二SQNB工序,并且第二SQNB工序可包括特征形成和/或特征修改序列,这些序列可包括测量过程、评估过程、验证过程、蚀刻过程、灰化过程、显影过程或者其他抗蚀剂去除过程。在一些实施例中,第二SQNB工序可用于处理第二栅极层叠(501a,图5A)以创建第三(新)栅极层叠(501b,图5A)。可利用第二SQNB工序来处理其上具有经修改的掩蔽特征(550a,图5A)的图案的第一衬底。例如,需要特征形成和/或特征修改序列的每个衬底可被定位在SQNB过程腔室(315,图3)中的可切换衬底支架(320,图3)上,并且可切换衬底支架(320,图3)可利用至少一个隔离元件(335,图3)与SQNB过程腔室(315,图3)中的底部腔室壁电隔离。
第一图案化衬底上可具有多个第二栅极层叠(501a,图5A),并且第二栅极层叠(501a,图5A)可包括可以是金属栅极相关的多个经修改的掩蔽特征(550a,图5A)和多个额外的层(510、515、520、525、530、535、540和545,图5A-5B),这些额外的层可包括一个或多个金属栅极相关层。或者,第二栅极层叠(501a,图5A)可按不同的方式配置并且可用在多晶硅栅极工序中。此外,第二SQNB工序可使用第二栅极层叠(501a,图5A)中的经修改的掩蔽特征(550,图5A)来创建如第三栅极层叠(501b,图5A)中所示的多个经处理(蚀刻)的栅极宽度控制特征(540b,图5)和多个经处理(蚀刻)的第三硬掩模特征(545b,图5A)。或者,可按不同的方式配置第二栅极层叠(501a,图5A)和/或第三栅极层叠(501b,图5A)。
在第二SQNB工序期间,可利用处于第二上方等离子体电势的上方等离子体区域中的第二等离子体生成气体创建第二上方等离子体。在各种示例中,第二等离子体生成气体可包括Ar、CF4、F2、O2、N2、CO、C4F8、C5F8、C4F6、CHF3、N2/H2或HBr,或者其中的两个或更多个的任何组合。多个第一气体分配元件(347,图3)可提供到上方等离子体区域(312,图3)的不同区域的不同流速。
在一些实施例中,上方多档位开关(342,图3)可用于在第二SQNB工序的一些部分期间将上方DC导电电极(311,图3)耦合到地电势,并且上方多档位开关(342,图3)可用于在第二SQNB工序的其他部分期间将上方DC导电电极(311,图3)耦合到上方电源(340,图3)以控制第二上方等离子体电势。在其他实施例中,上方多档位开关(342,图3)可用于在基本上整个第二SQNB工序期间将上方DC导电电极(311,图3)耦合到地电势以控制第二上方等离子体电势。在一些其他实施例中,上方多档位开关(342,图3)可用于在基本上整个第二SQNB工序期间将上方DC导电电极(311,图3)耦合到上方电源(340,图3)以控制第二上方等离子体电势。例如,上方电源(340,图3)可提供DC功率和/或AC功率,并且上方电源(340,图3)的输出可以是恒定的、变化的、脉冲式的、阶梯式的和/或斜坡式的,以在第二SQNB工序期间控制第二上方等离子体电势。
也可利用来自第二上方等离子体的电子流在处于第二SQNB处理等离子体电势的可切换等离子体区域中形成第二SQNB处理等离子体。来自上方等离子体区域中的第二上方等离子体的电子流从等离子体生成腔室通过分隔构件传递到SQNB过程腔室,在该SQNB过程腔室中可创建第二SQNB处理等离子体。如图2A、2B和3中所示,可切换等离子体区域可位于SQNB过程腔室中,其中部署在等离子体生成腔室与SQNB过程腔室之间的分隔构件中的一个或多个开口或通道在第二SQNB工序期间可促进电子和一个或多个等离子体种类从上方等离子体区域到可切换等离子体区域的传输或供应。
此外,第二SQNB处理等离子体电势可被提升到高于第二上方等离子体电势以控制电子流。上方等离子体区域中的第二上方等离子体可以是边界驱动等离子体(即,等离子体边界对于相应的等离子体电势具有实质影响),其中与第二等离子体接触的边界的一部分或全部耦合到DC地。此外,可切换等离子体区域中的第二SQNB处理等离子体可以是边界驱动等离子体,其中与第二SQNB处理等离子体接触的边界的一部分或全部耦合到处于+VDC的DC电压源。将第二SQNB处理等离子体电势提升到高于第二上方等离子体电势可利用图2A、2B和3中提供的实施例的任何一个或组合来执行。
在一些替换实施例中,下方多档位开关(382,图3)可用于在第二SQNB工序的一些部分期间将下方偏置电极(317,图3)耦合到地电势,并且下方多档位开关(382,图3)可用于在第二SQNB工序的其他部分期间将下方偏置电极(317,图3)耦合到偏置电源(380,图3)以控制第二SQNB处理等离子体电势。在其他替换实施例中,下方多档位开关(382,图3)可用于在基本上整个第二SQNB工序期间将下方偏置电极(317,图3)耦合到地电势以控制第二SQNB处理等离子体电势。在一些其他替换实施例中,下方多档位开关(382,图3)可用于在基本上整个第二SQNB工序期间将下方偏置电极(317,图3)耦合到偏置电源(380,图3)以控制第二SQNB处理等离子体电势。例如,偏置电源(380,图3)可提供DC功率和/或AC功率,并且偏置电源(380,图3)的输出可以是恒定的、变化的、脉冲式的、阶梯式的和/或斜坡式的以在第二SQNB工序期间控制第二SQNB处理等离子体电势。
另外,在第二SQNB工序期间可通过泵抽SQNB过程腔室并控制第二SQNB过程气体进入SQNB过程腔室的流速来控制SQNB过程腔室中的压力,并且第二SQNB工序可包括一个或多个蚀刻过程、一个或多个灰化过程、一个或多个显影过程或者一个或多个抗蚀去除过程。在各种示例中,第二SQNB过程气体可包括Ar、CF4、F2、O2、N2、CO、C4F8、C5F8、C4F6、CHF3、N2/H2或HBr,或者其中的两个或更多个的任何组合。多个第二气体分配元件(357,图3)可提供到可切换等离子体区域(352,图3)的不同区域的不同流速。可以使图案化衬底暴露于可切换等离子体区域中的第二SQNB处理等离子体。使衬底暴露于第二SQNB处理等离子体可包括使衬底暴露于单能空间-电荷中性化中性束激活化学过程。
在一些额外的实施例中,第一多档位开关(332,图3)可用于在第二SQNB工序的一些部分期间将可切换衬底支架(320,图3)耦合到地电势,第一多档位开关(332,图3)可用于在第二SQNB工序的另一些部分期间隔离可切换衬底支架(320,图3),并且/或者第一多档位开关(332,图3)可用于在第二SQNB工序的另外一些部分期间将可切换衬底支架(320,图3)耦合到偏置电源(380,图3)以控制第二SQNB处理等离子体。在另一些额外的实施例中,第一多档位开关(332,图3)可用于在基本上整个第二SQNB工序期间将可切换衬底支架(320,图3)耦合到地电势以控制第二SQNB处理等离子体。在另外一些额外的实施例中,第一多档位开关(332,图3)可用于在基本上整个第二SQNB工序期间隔离可切换衬底支架(320,图3)以控制第二SQNB处理等离子体。在一些其他额外的实施例中,第一多档位开关(332,图3)可用于在基本上整个第二SQNB工序期间将可切换衬底支架(320,图3)耦合到偏置电源(380,图3)以控制第二SQNB处理等离子体。
在430中,可执行查询以确定第一处理序列是否已完成。当第一处理序列已完成时,工序400可分支到步骤450。当第一处理序列尚未完成时,工序400可分支到步骤435并且如图4中所示继续。
在435中,可执行一个或多个第三SQNB工序。在一些实施例中,第三SQNB工序可用于修改第四栅极层叠(501c,图5B)以创建第五(新)栅极层叠(501d,图5B)。在一些处理序列期间,可利用第三SQNB工序进一步处理可从第一组预处理衬底中选择的第一预处理衬底。第一预处理衬底可具有可以是金属栅极相关的多个预处理栅极宽度控制特征(540c,图5B),以及如可以是金属栅极相关的第四栅极层叠(501c,图5B)中所示的多个预处理第三硬掩模特征(545c,图5B)。或者,第四栅极层叠(501c,图5B)和/或第五(新)栅极层叠(501d,图5B)可按不同的方式配置并且可用在多晶硅栅极工序中。
在第三SQNB工序期间,第一预处理衬底可被定位在SQNB过程腔室(315,图3)中的可切换衬底支架(320,图3)上,并且可切换衬底支架(320,图3)可利用至少一个隔离元件(335,图3)与SQNB过程腔室(315,图3)中的底部腔室壁电隔离。此外,第三SQNB工序可用于修改第四栅极层叠(501c,图5B)中的多个预处理栅极宽度控制特征(540c,图5B)和多个预处理第三硬掩模特征(545c,图5B)以创建如第五栅极层叠(501d,图5B)中所示的多个经修改的栅极宽度控制特征(540d,图5B)和/或多个经修改的第三硬掩模特征(545d,图5B)。或者,可按不同的方式配置第四栅极层叠(501c,图5B)和/或第五栅极层叠(501d,图5B)。
在第三SQNB工序期间,可利用处于第三上方等离子体电势的上方等离子体区域中的一个或多个第三等离子体生成气体创建第三上方等离子体。在各种示例中,第三等离子体生成气体可包括Ar、CF4、F2、O2、N2、CO、C4F8、C5F8、C4F6、CHF3、N2/H2或HBr,或者其中的两个或更多个的任何组合。多个第一气体分配元件(347,图3)可为第三等离子体生成气体中的一个或多个提供到上方等离子体区域(312,图3)的不同区域的不同流速。
在一些实施例中,上方多档位开关(342,图3)可用于在第三SQNB工序的一些部分期间将上方DC导电电极(311,图3)耦合到地电势,并且上方多档位开关(342,图3)可用于在第三SQNB工序的其他部分期间将上方DC导电电极(311,图3)耦合到上方电源(340,图3)以控制第三上方等离子体电势。在其他实施例中,上方多档位开关(342,图3)可用于在基本上整个第三SQNB工序期间将上方DC导电电极(311,图3)耦合到地电势以控制第三上方等离子体电势。在一些其他实施例中,上方多档位开关(342,图3)可用于在基本上整个第三SQNB工序期间将上方DC导电电极(311,图3)耦合到上方电源(340,图3)以控制第三上方等离子体电势。例如,上方电源(340,图3)可提供DC功率和/或AC功率,并且上方电源(340,图3)的输出可以是恒定的、变化的、脉冲式的、阶梯式的和/或斜坡式的,以在第三SQNB工序期间控制第三上方等离子体电势。
也可利用来自第三上方等离子体的电子流在处于第三SQNB处理等离子体电势的可切换等离子体区域中形成第三SQNB处理等离子体。来自上方等离子体区域中的第三上方等离子体的电子流从等离子体生成腔室通过分隔构件传递到SQNB过程腔室,在该SQNB过程腔室中可创建第三SQNB处理等离子体。如图2A、2B和3中所示,可切换等离子体区域可位于SQNB过程腔室中。例如,分隔构件中的一个或多个开口或通道可被部署在等离子体生成腔室与SQNB过程腔室之间以促进电子从上方等离子体区域到SQNB过程腔室中的可切换等离子体区域的传输或供应。
此外,第三SQNB处理等离子体电势可被提升到高于第三上方等离子体电势以控制电子流。上方等离子体区域中的第三上方等离子体可以是边界驱动等离子体(即,等离子体边界对于相应的等离子体电势具有实质影响),并且可与第三等离子体接触的边界的一部分或全部可耦合到DC地。此外,可切换等离子体区域中的第三SQNB处理等离子体可以是边界驱动等离子体,并且与可切换等离子体接触的边界的一部分或全部可耦合到处于+VDC的DC电压源。将第三SQNB处理等离子体电势提升到高于第三上方等离子体电势可利用图2A、2B和3中提供的实施例的任何一个或组合来执行。
在一些替换实施例中,下方多档位开关(382,图3)可用于在第三SQNB工序的一些部分期间将下方偏置电极(317,图3)耦合到地电势,并且下方多档位开关(382,图3)可用于在第三SQNB工序的其他部分期间将下方偏置电极(317,图3)耦合到偏置电源(380,图3)以控制第三SQNB处理等离子体电势。在其他替换实施例中,下方多档位开关(382,图3)可用于在基本上整个第三SQNB工序期间将下方偏置电极(317,图3)耦合到地电势以控制第三SQNB处理等离子体电势。在一些其他替换实施例中,下方多档位开关(382,图3)可用于在基本上整个第三SQNB工序期间将下方偏置电极(317,图3)耦合到偏置电源(380,图3)以控制第三SQNB处理等离子体电势。例如,偏置电源(380,图3)可提供DC功率和/或AC功率,并且偏置电源(380,图3)的输出可以是恒定的、变化的、脉冲式的、阶梯式的和/或斜坡式的以在第三SQNB工序期间控制第三SQNB处理等离子体电势。
另外,在第三SQNB工序期间可通过泵抽SQNB过程腔室并控制第三SQNB处理气体进入SQNB过程腔室的流速来控制SQNB过程腔室中的压力。在各种示例中,第三SQNB处理气体可包括Ar、CF4、F2、O2、N2、CO、C4F8、C5F8、C4F6、CHF3、N2/H2或HBr,或者其中的两个或更多个的任何组合。多个第二气体分配元件(357,图3)在第三SQNB工序期间可提供第三SQNB处理气体到可切换等离子体区域(352,图3)的不同区域的不同流速。可以使图案化衬底暴露于可切换等离子体区域中的第三SQNB处理等离子体。使衬底暴露于第三SQNB处理等离子体可包括使衬底暴露于第三单能空间-电荷中性化中性束激活化学过程。
在一些额外的实施例中,第一多档位开关(332,图3)可用于在第三SQNB工序的一些部分期间将可切换衬底支架(320,图3)耦合到地电势,第一多档位开关(332,图3)可用于在第三SQNB工序的另一些部分期间隔离可切换衬底支架(320,图3),并且/或者第一多档位开关(332,图3)可用于在第三SQNB工序的另外一些部分期间将可切换衬底支架(320,图3)耦合到偏置电源(380,图3)以控制第三SQNB处理等离子体。在另一些额外的实施例中,第一多档位开关(332,图3)可用于在基本上整个第三SQNB工序期间将可切换衬底支架(320,图3)耦合到地电势以控制第三SQNB处理等离子体。在另外一些额外的实施例中,第一多档位开关(332,图3)可用于在基本上整个第三SQNB工序期间隔离可切换衬底支架(320,图3)以控制第三SQNB处理等离子体。在一些其他额外的实施例中,第一多档位开关(332,图3)可用于在基本上整个第三SQNB工序期间将可切换衬底支架(320,图3)耦合到偏置电源(380,图3)以控制第三SQNB处理等离子体。
在440中,可执行查询以确定第一处理序列是否已完成。当第一处理序列已完成时,工序400可分支到步骤450。当第一处理序列尚未完成时,工序400可分支到步骤445并且如图4中所示继续。
在445中,可执行一个或多个第四SQNB工序。在一些实施例中,第四SQNB工序可以使用第五栅极层叠(501d,图5B)来创建第六(新)栅极层叠(501e,图5B)。或者,可按不同的方式配置第五栅极层叠(501d,图5B)和/或第六栅极层叠(501e,图5B)。需要第四SQNB工序的每个衬底可被定位在SQNB过程腔室(315,图3)中的可切换衬底支架(320,图3)上,并且可切换衬底支架(320,图3)可利用至少一个隔离元件(335,图3)与SQNB过程腔室(315,图3)中的底部腔室壁电隔离。
需要第四SQNB工序的每个衬底上可具有多个第五栅极层叠(501d,图5B),并且第五栅极层叠(501d,图5B)可包括可以是金属栅极相关的多个预先修改的栅极宽度控制特征(540d,图5B)和多个预先修改的第三硬掩模特征(545d,图5B),以及多个额外的层(510、515、520、525、530和535,图5B),这些额外的层可包括一个或多个金属栅极相关层。或者,第五栅极层叠(501d,图5B)和第六栅极层叠(501e,图5B)可按不同的方式配置并且可用在多晶硅栅极工序中。此外,第四SQNB工序可使用预先修改的栅极宽度控制特征(540d,图5B)中的图案和/或预先修改的第三硬掩模特征(545d,图5B)中的图案来创建如第六栅极层叠(501e,图5B)中所示的经处理(蚀刻)的金属栅极特征520e的基本上相似的图案。或者,第六栅极层叠(501e,图5B)在第四SQNB工序被执行之后可按不同的方式配置。
在第四SQNB工序期间,可利用处于第四上方等离子体电势的上方等离子体区域中的第四等离子体生成气体创建第四上方等离子体。在各种示例中,第四等离子体生成气体可包括Ar、CF4、F2、O2、N2、CO、C4F8、C5F8、C4F6、CHF3、N2/H2或HBr,或者其中的两个或更多个的任何组合。多个第一气体分配元件(347,图3)可利用不同流速将第四等离子体生成气体提供到上方等离子体区域(312,图3)的不同区域。
在一些实施例中,上方多档位开关(342,图3)可用于在第四SQNB工序的一些部分期间将上方DC导电电极(311,图3)耦合到地电势,并且上方多档位开关(342,图3)可用于在第四SQNB工序的其他部分期间将上方DC导电电极(311,图3)耦合到上方电源(340,图3)以控制第四上方等离子体电势。在其他实施例中,上方多档位开关(342,图3)可用于在基本上整个第四SQNB工序期间将上方DC导电电极(311,图3)耦合到地电势以控制第四上方等离子体电势。在一些其他实施例中,上方多档位开关(342,图3)可用于在基本上整个第四SQNB工序期间将上方DC导电电极(311,图3)耦合到上方电源(340,图3)以控制第四上方等离子体电势。例如,上方电源(340,图3)可提供DC功率和/或AC功率,并且上方电源(340,图3)的输出可以是恒定的、变化的、脉冲式的、阶梯式的和/或斜坡式的,以在第四SQNB工序期间控制第四上方等离子体电势。
也可利用来自第四上方等离子体的电子流在处于第四SQNB处理等离子体电势的可切换等离子体区域中形成第四SQNB处理等离子体。来自上方等离子体区域中的第四上方等离子体的电子流从等离子体生成腔室通过分隔构件传递到SQNB过程腔室,在该SQNB过程腔室中可创建第四SQNB处理等离子体。如图2A、2B和3中所示,可切换等离子体区域可位于SQNB过程腔室中,其中,部署在等离子体生成腔室与SQNB过程腔室之间的分隔构件中的一个或多个开口或通道促进电子从上方等离子体区域到可切换等离子体区域的传输或供应。
此外,第四SQNB处理等离子体电势可被提升到高于第四上方等离子体电势以控制电子流。上方等离子体区域中的第四上方等离子体可以是边界驱动等离子体(即,等离子体边界对于相应的等离子体电势具有实质影响),其中与第四等离子体接触的边界的一部分或全部耦合到DC地。此外,可切换等离子体区域中的第四SQNB处理等离子体可以是边界驱动等离子体,其中与第四SQNB处理等离子体接触的边界的一部分或全部耦合到处于+VDC的DC电压源。将第四SQNB处理等离子体电势提升到高于第四上方等离子体电势可利用图2A、2B和3中提供的实施例的任何一个或组合来执行。
在一些替换实施例中,下方多档位开关(382,图3)可用于在第四SQNB工序的一些部分期间将下方偏置电极(317,图3)耦合到地电势,并且下方多档位开关(382,图3)可用于在第四SQNB工序的其他部分期间将下方偏置电极(317,图3)耦合到偏置电源(380,图3)以控制第四SQNB处理等离子体电势。在其他替换实施例中,下方多档位开关(382,图3)可用于在基本上整个第四SQNB工序期间将下方偏置电极(317,图3)耦合到地电势以控制第四SQNB处理等离子体电势。在一些其他替换实施例中,下方多档位开关(382,图3)可用于在基本上整个第四SQNB工序期间将下方偏置电极(317,图3)耦合到偏置电源(380,图3)以控制第四SQNB处理等离子体电势。例如,偏置电源(380,图3)可提供DC功率和/或AC功率,并且偏置电源(380,图3)的输出可以是恒定的、变化的、脉冲式的、阶梯式的和/或斜坡式的以在第四SQNB工序期间控制第四SQNB处理等离子体电势。
另外,在第四SQNB工序期间可通过泵抽SQNB过程腔室并控制第四SQNB过程气体进入SQNB过程腔室的流速来控制SQNB过程腔室中的压力。在各种示例中,第四SQNB过程气体可包括Ar、CF4、F2、O2、N2、CO、C4F8、C5F8、C4F6、CHF3、N2/H2或HBr,或者其中的两个或更多个的任何组合。多个第二气体分配元件(357,图3)可利用不同流速将第四SQNB过程气体中的一个或多个提供到可切换等离子体区域(352,图3)的不同区域。可以使图案化衬底上的第五栅极层叠(501d,图5B)暴露于可切换等离子体区域中的第四SQNB处理等离子体,从而创建第六栅极层叠(501e,图5B)。使衬底暴露于第四SQNB处理等离子体可包括使衬底暴露于单能空间-电荷中性化中性束激活化学过程。
在一些额外的实施例中,第一多档位开关(332,图3)可用于在第四SQNB工序的一些部分期间将可切换衬底支架(320,图3)耦合到地电势,第一多档位开关(332,图3)可用于在第四SQNB工序的另一些部分期间隔离可切换衬底支架(320,图3),并且/或者第一多档位开关(332,图3)可用于在第四SQNB工序的另外一些部分期间将可切换衬底支架(320,图3)耦合到偏置电源(380,图3)以控制第四SQNB处理等离子体。在另一些额外的实施例中,第一多档位开关(332,图3)可用于在基本上整个第四SQNB工序期间将可切换衬底支架(320,图3)耦合到地电势以控制第四SQNB处理等离子体。在另外一些额外的实施例中,第一多档位开关(332,图3)可用于在基本上整个第四SQNB工序期间隔离可切换衬底支架(320,图3)以控制第四SQNB处理等离子体。在一些其他额外的实施例中,第一多档位开关(332,图3)可用于在基本上整个第四SQNB工序期间将可切换衬底支架(320,图3)耦合到偏置电源(380,图3)以控制第四SQNB处理等离子体。
在445中,来自第一处理序列的数据可作为实时和/或历史数据被存储。
在450中,工序400可结束。
当利用SQNB掩蔽层修改工序处理提前发送衬底时,经处理的提前发送衬底可包括多个经修改的掩蔽特征和至少一个经修改的周期性结构。当需要测量数据时,可将提前发送衬底传送到评估子***(160,图1),并且可利用ODP技术和至少一个经修改的周期性结构为经处理的提前发送衬底获得测量数据。此外,可通过将测量数据与用于SQNB掩蔽层修改工序的第一限度相比较来确定SQNB掩蔽层修改工序的风险数据。在一些示例中,可利用SQNB掩蔽层修改工序的第一风险数据为一组(一批)图案化衬底确定风险数据。此外,可为SQNB掩蔽层修改工序确定置信数据。当风险数据不小于第一风险限度时,可执行一个或多个校正性动作。
当利用SQNB“特征形成”工序处理提前发送衬底时,经处理的提前发送衬底可包括多个经修改的掩蔽特征和至少一个经修改的周期性结构。当需要测量数据时,可将提前发送衬底传送到评估子***(160,图1),并且可利用ODP技术和至少一个经处理的周期性结构为经处理的提前发送衬底获得测量数据。此外,可通过将测量数据与用于SQNB“特征形成”工序的第一限度相比较来确定SQNB“特征形成”工序的风险数据。在一些示例中,可利用SQNB“特征形成”工序的第一风险数据为一组(一批)图案化衬底确定风险数据。此外,可为SQNB“特征形成”工序确定置信数据。当风险数据不小于第一风险限度时,可执行一个或多个校正性动作。
在一些示例中,校正性动作可包括停止处理、暂停处理、重评估衬底中的一个或多个、重测量衬底中的一个或多个、重检验衬底中的一个或多个,重加工衬底中的一个或多个、存储衬底中的一个或多个、清洁衬底中的一个或多个、延迟衬底中的一个或多个或者剥除衬底中的一个或多个,或者其任何组合。
图5A和5B示出了根据本发明实施例的用于利用至少一个可切换准中性束(SQNB)***(300,图3)创建金属栅极衬底的第一处理序列的示意性视图。在图5A中,示出了可用于例示第一处理序列500A的三个示例性栅极层叠(501、501a和501b)。在图5B中,示出了可用于例示第二处理序列500B的三个其他示例性栅极层叠(501c、501d和501e)。或者,可以使用不同数目的栅极层叠、不同数目的层和不同配置。
参考图5A,第一栅极层叠501可以是来自显影工序或评估工序的结果的示例性视图;第二栅极层叠501a可以是来自第一掩蔽层修改工序的结果的示例性视图;并且第三栅极层叠501b可以是来自第一特征形成和/或特征修改工序的结果的示例性视图。或者,可以示出不同数目的栅极层叠。
第一栅极层叠501可包括衬底层510、界面层515、金属栅极层520、第一硬掩模层525、含硅层530、第二硬掩模层535、栅极控制层540、第三硬掩模层545和多个掩蔽特征550。在各种实施例中,衬底层510可包括半导体材料;界面层515可包括隔离材料;金属栅极层520可包括金属材料;第一硬掩模层525可包括TiN;含硅层530可包括非晶硅(a-Si);第二硬掩模层535可包括原硅酸四乙酯(TEOS){Si(OC2H5)4};栅极控制层540可包括栅极控制材料;第三硬掩模层545可包括含硅抗反射涂布(SiARC)材料;并且多个掩蔽特征550可包括光致抗蚀材料551。在其他实施例中,衬底层510可包括玻璃材料、陶瓷材料、塑料材料、介电材料和/或金属材料。例如,半导体材料可包括硅和/或砷化镓;金属材料可包括铝(Al)、铜(Cu)、银(Ag)、金(Au)、钌(Ru)、镍(Ni)、钴(Co)和/或诸如HfO2之类的金属氧化物;并且光致抗蚀材料可包括157nm光致抗蚀剂或193nm光致抗蚀材料。
衬底层510可具有可从大约25nm到大约200nm不等的高度(厚度)513;界面层515可具有可从大约2nm到大约10nm不等的高度(厚度)518;金属栅极层520可具有可从大约20nm到大约50nm不等的高度(厚度)523;第一硬掩模层525可具有可从大约15nm到大约40nm不等的高度(厚度)528;含硅层530可具有可从大约25nm到大约60nm不等的高度(厚度)533;第二硬掩模层535可具有可从大约5nm到大约20nm不等的高度(厚度)538;栅极控制层540可具有可从大约50nm到大约300nm不等的高度(厚度)543;第三硬掩模层545可具有可从大约15nm到大约60nm不等的高度(厚度)548;并且掩蔽特征550可具有可从大约30nm到大约400nm不等的高度(厚度)553。此外,掩蔽特征550可具有可从大约30nm到大约400nm不等的特征宽度552并且可具有可从大约30nm到大约400nm不等的分隔宽度554。
在第一处理序列500A和第二处理序列500B期间,可执行一个或多个SQNB工序,并且当金属栅极层520被处理时可以使用掩蔽特征550的图案来创建多个经处理的金属栅极特征520e。例如,掩蔽层修改过程时间、掩蔽层修改过程结束点时间以及光致抗蚀轮廓参数可在SQNB掩蔽层修改工序期间被用作控制变量,并且蚀刻时间、蚀刻结束点时间和经修改的光致抗蚀轮廓参数可在SQNB处理工序期间被用作控制变量。此外,经处理的金属栅极特征520e的CD(522e、523e和524e)和/或SWA数据可在第一处理序列500A和/或第二处理序列500B中的处理序列中的一个或多个期间被用作控制变量。子***(110、120、130、140、150、160和170,图1)中的一个或多个可提供可用于为经处理的金属栅极特征520e确定CD(522e、523e和524e)和/或SWA数据的额外控制变量。
仍参考图5A,示出了第二栅极层叠501a,其包括衬底层510、界面层515、金属栅极层520、第一硬掩模层525、含硅层530、第二硬掩模层535、栅极控制层540、第三硬掩模层545和经修改的掩蔽特征550a的图案。
在各种实施例中,衬底层510可包括半导体材料;界面层515可包括隔离材料;金属栅极层520可包括金属材料;第一硬掩模层525可包括TiN;含硅层530可包括非晶硅(a-Si);第二硬掩模层535可包括TEOS;栅极控制层540可包括栅极控制材料;第三硬掩模层545可包括含硅抗反射涂布(SiARC)材料;并且经硬化的软掩模特征550a可包括光致抗蚀材料551和经固化/硬化的光致抗蚀材料551a。
第三硬掩模层545a可具有可从大约15nm到大约60nm不等的高度(厚度)548a;并且经修改的掩蔽特征550a可具有可从大约30nm到大约300nm不等的高度(厚度)553a。经修改的掩蔽特征550a可具有可从大约30nm到大约400nm不等的特征宽度552a并且可具有可从大约30nm到大约400nm不等的分隔宽度554a。此外,经固化/硬化的193nm光致抗蚀材料551a的厚度可从大约1nm到大约10nm不等。
仍参考图5A,示出了可利用第二SQNB处理工序创建的第三栅极层叠501b。或者,可执行不需要SQNB源的另一不同处理工序。第三栅极层叠501b可包括衬底层510、界面层515、金属栅极层520、第一硬掩模层525、含硅层530、第二硬掩模层535、多个经处理的栅极宽度控制特征540b和多个经处理的第三硬掩模特征545b。在第二SQNB工序期间,多个经修改的掩蔽特征550a可用于创建多个新的(经修改的)栅极宽度控制特征540b和多个新的(经处理的)第三硬掩模特征545b。或者,多个新的(经处理的)栅极宽度控制特征540b可按不同方式配置并且多个新的(经处理的)第三硬掩模特征545b可不存在。
在各种实施例中,衬底层510可包括半导体材料;界面层515可包括隔离材料;金属栅极层520可包括金属材料;第一硬掩模层525可包括TiN;含硅层530可包括非晶硅(a-Si);第二硬掩模层535可包括TEOS;经处理的栅极宽度控制特征540b可包括经处理的栅极宽度控制材料541b;并且经处理的第三硬掩模特征545b可包括经处理的SiARC材料546b。
经处理的第三硬掩模特征545b当存在时可具有可从大约0nm到大约60nm不等的高度(厚度)548b。经处理的第三硬掩模特征545b可具有可从大约30nm到大约300nm不等的特征宽度547b并且可具有可从大约30nm到大约300nm不等的分隔宽度549b。
经处理的栅极宽度控制特征540b可具有可从大约30nm到大约300nm不等的高度(厚度)543b。经处理的栅极宽度控制特征540b可具有可从大约30nm到大约300nm不等的特征宽度542b并且可具有可从大约30nm到大约300nm不等的分隔宽度544b。
图5B示出了根据本发明实施例的另一处理序列。在图示实施例中,示出了第二SQNB处理序列500B并且第二SQNB处理序列可包括第三SQNB工序和第四SQNB工序。例如,第三SQNB工序可包括第二掩蔽层修改工序,并且第四SQNB工序可包括第二特征形成工序。参考图5B,第四栅极层叠501c可以是来自第一处理序列或第二评估工序的结果的示例性视图;第五栅极层叠501d可以是来自第三SQNB工序(额外的掩蔽层修改工序)的结果的示例性视图;并且第六栅极层叠501e可以是来自第四SQNB工序(额外的特征形成工序)的结果的示例性视图。或者,可以示出不同数目的栅极层叠。
在图5B中,示出了利用第一SQNB处理序列创建的第四栅极层叠501c。或者,可执行不需要SQNB源的另一不同处理序列。第四栅极层叠501c可包括衬底层510、界面层515、金属栅极层520、第一硬掩模层525、含硅层530、第二硬掩模层535、多个经预处理的栅极宽度控制特征540c和多个经预处理的第三硬掩模特征545c。在第二处理序列500B期间,多个经预处理的栅极宽度控制特征540c和/或多个经预处理的第三硬掩模特征545c可用于创建多个经蚀刻的金属栅极特征520e。或者,可按不同的方式配置多个经预处理的栅极宽度控制特征540c,并且多个经预先蚀刻的第三硬掩模特征545c可不存在。
在各种实施例中,衬底层510可包括半导体材料;界面层515可包括隔离材料;金属栅极层520可包括金属材料;第一硬掩模层525可包括TiN;含硅层530可包括非晶硅(a-Si);第二硬掩模层535可包括TEOS;经预处理的栅极宽度控制特征540c可包括经预处理的栅极宽度控制材料541c;并且经预处理的第三硬掩模特征545c可包括经预处理的SiARC材料546c。在其他实施例中,衬底层510可包括玻璃材料、陶瓷材料、塑料材料、介电材料和/或金属材料。例如,半导体材料可包括硅和/或砷化镓;金属材料可包括铝(Al)、铜(Cu)、银(Ag)、金(Au)、钌(Ru)、镍(Ni)、钴(Co)和/或诸如HfO2之类的金属氧化物;并且光致抗蚀材料可包括157nm光致抗蚀剂或193nm光致抗蚀材料。
经预处理的栅极宽度控制特征540c可具有可从大约30nm到大约300nm不等的高度(厚度)543c;经预处理的第三硬掩模特征545c可具有可从大约0nm到大约60nm不等的高度(厚度)548c。经预处理的第三硬掩模特征545c可具有可从大约30nm到大约300nm不等的特征宽度547c并且可具有可从大约30nm到大约300nm不等的分隔宽度549c。此外,经预处理的栅极宽度控制特征540c可具有可从大约30nm到大约300nm不等的特征宽度542c并且可具有可从大约30nm到大约300nm不等的分隔宽度544c。评估子***(160,图1)可用于为经预处理的栅极宽度控制特征540c确定CD(542c、543c和544c)和SWA数据,并且/或者可用于在经预处理的第三硬掩模特征545c存在时为其确定CD(547c、548c和549c)和SWA数据。
在第二处理序列500B期间,可执行一个或多个SQNB工序,并且当金属栅极层520被蚀刻时可以使用经预处理的第三硬掩模特征545c和/或经预处理的栅极宽度控制特征540c来创建多个经蚀刻的金属栅极特征520e。此外,经蚀刻的金属栅极特征520e的CD(522e、523e和524e)可在第二处理序列500B和/或第一处理序列500A中的蚀刻工序中的一个或多个期间建立并且可具有从大约20nm到大约300nm的范围。评估子***(160,图1)可用于为经蚀刻的金属栅极特征520e确定CD(522e、523e和524e)和SWA数据。或者,CD(522e、523e和524e)可与经蚀刻的多晶硅栅极特征520e相关联并且可具有从大约20nm到大约100nm的范围。
仍参考图5B,示出了第五栅极层叠501d,其包括衬底层510、界面层515、金属栅极层520、第一硬掩模层525、含硅层530、第二硬掩模层535、多个经修改的栅极宽度控制特征540d和多个经修改的第三硬掩模特征545d。或者,多个经修改的第三硬掩模特征545d可不存在。
在各种实施例中,衬底层510可包括半导体材料;界面层515可包括隔离材料;金属栅极层520可包括金属材料;第一硬掩模层525可包括TiN;多个经修改的栅极宽度控制特征540d可包括栅极宽度控制材料541c和经修改的栅极宽度控制材料541d;并且多个经修改的第三硬掩模特征545d可包括经修改(固化和/或硬化)的SiARC材料546d和SiARC经修改(固化和/或硬化)材料546c。
多个经修改的第三硬掩模特征545d可具有可从大约0nm到大约60nm不等的高度(厚度)548d;可具有可从大约30nm到大约300nm不等的特征宽度547d并且可具有可从大约30nm到大约300nm不等的分隔宽度549d。此外,经修改的第三硬掩模材料546d的厚度可从大约1nm到大约10nm不等。经修改的栅极宽度控制特征540d可具有可从大约30nm到大约300nm不等的高度(厚度)543d;可具有可从大约30nm到大约300nm不等的特征宽度542d并且可具有可从大约30nm到大约300nm不等的分隔宽度544d。此外,经修改的栅极宽度控制材料541d的厚度可从大约1nm到大约10nm不等。
仍参考图5B,示出了可利用第四SQNB工序创建的第六栅极层叠501e。或者,可执行不需要SQNB源的另一不同特征形成工序。第六栅极层叠501e可包括衬底层510、经处理的界面层515e以及多个经处理(蚀刻)的金属栅极特征520e。在第四SQNB工序期间,经修改的第三硬掩模特征545d和/或经修改的栅极宽度控制特征540d可用于创建多个经处理(蚀刻)的金属栅极特征520e。或者,多个经修改的栅极宽度控制特征540d可按不同方式配置并且经修改的第三硬掩模特征545d可不存在。
在一些示例中,衬底层510可包括半导体材料;经处理的界面层515可包括经处理的隔离材料;经蚀刻的金属栅极特征520e可包括经蚀刻的金属氧化物材料,例如HfO2材料。经蚀刻的金属栅极特征520e可具有可从大约30nm到大约100nm不等的(特征宽度)CD522e、可具有可从大约10nm到大约60nm不等的高度(厚度)523e,并且可具有可从大约30nm到大约400nm不等的分隔宽度524e。
在一些替换SQNB处理序列期间,只创建栅极层叠501和501e,并且可利用与栅极相关掩蔽特征550相关联的CD(552、553、554)和SWA数据来确定与金属栅极特征520e相关联的CD(522e、523e、524e)和SWA数据。例如,可执行一个或多个SQNB蚀刻工序,并且可按不同的方式配置栅极层叠501和501e。此外,可不需要或者可按不同的方式定位层(515、520、525、530、535、540和545)中的一个或多个。
在其他替换SQNB处理序列期间,只创建栅极层叠501、501a和501e,并且可利用与栅极相关掩蔽特征550相关联的CD(552、553、554)和SWA数据和/或利用与经修改的掩蔽特征550a相关联的CD(552a、553a、554a)和SWA数据来确定与金属栅极特征520e相关联的CD(522e、523e、524e)和SWA数据。例如,在执行了一个或多个光致抗蚀修改工序之后可执行一个或多个SQNB蚀刻工序,并且可按不同的方式配置栅极层叠501、501a和501e。此外,可不需要或者可按不同的方式定位层(515、520、525、530、535、540和545)中的一个或多个。此外,经修改的掩蔽特征550a可包括经修改、固化、收缩、保护和/或硬化的掩蔽特征。
在一些其他替换SQNB处理序列期间,只创建栅极层叠501c、501d和501e,并且可利用与经预处理的第三硬掩模特征545c相关联的CD(547c、548c、549c)和SWA数据和/或利用与经修改的第三硬掩模特征545d相关联的CD(547d、548d、549d)和SWA数据来确定与金属栅极特征520e相关联的CD(522e、523e、524e)和SWA数据。例如,在执行了一个或多个光致抗蚀修改工序之后可执行一个或多个SQNB蚀刻工序,并且可按不同的方式配置栅极层叠501c、501d和501e。此外,可不需要或者可按不同的方式定位层(515、520、525、530、535、540和545)中的一个或多个。此外,经修改的掩蔽特征550a可包括经修改、固化、收缩、保护和/或硬化的掩蔽特征。
在另外的替换SQNB处理序列期间,只创建栅极层叠501c、501d和501e,并且可利用与经预处理的栅极宽度控制特征540c相关联的CD(542c、543c、544c)和SWA数据和/或利用与经修改的栅极宽度控制特征540d相关联的CD(542d、543d、544d)和SWA数据来确定与金属栅极特征520e相关联的CD(522e、523e、524e)和SWA数据。例如,在执行了一个或多个光致抗蚀修改工序之后可执行一个或多个SQNB蚀刻工序,并且可按不同的方式配置栅极层叠501c、501d和501e。此外,可不需要或者可按不同的方式定位层(515、520、525、530、535、540和545)中的一个或多个。此外,经修改的掩蔽特征550a可包括经修改、固化、收缩、保护和/或硬化的掩蔽特征。
在各种SQNB掩蔽层修改工序期间,等离子体生成腔室(310,图3)中的压力的范围可以是从大约50mT到大约100mT;并且SQNB过程腔室(315,图3)中的压力的范围可以是从大约50mT到大约100mT。在各种SQNB特征形成工序期间,等离子体生成腔室(310,图3)中的压力的范围可以是从大约50mT到大约100mT;并且SQNB过程腔室(315,图3)中的压力的范围可以是从大约50mT到大约100mT。
在各种SQNB掩蔽层修改工序期间,等离子体生成源(360,图3)可向多匝电感线圈362提供第一RF功率,并且第一RF功率可从大约10瓦特到大约1500瓦特不等。在各种SQNB特征形成工序期间,等离子体生成源(360,图3)可向多匝电感线圈362提供第二RF功率,并且第二RF功率可从大约10瓦特到大约1500瓦特不等。
在各种SQNB掩蔽层修改工序期间,偏置电源(380,图3)提供的电压可从大约0伏特到大约1500伏特不等。在各种SQNB特征形成工序期间,偏置电源(380,图3)提供的电压可从大约0伏特到大约1500伏特不等。
在一些SQNB掩蔽层修改和/或特征形成工序期间,上方气体供应***(345,图3)可提供四氟化碳(CF4),并且CF4流速可在大约60sccm与大约100sccm之间不等。在其他SQNB掩蔽层修改和/或特征形成工序期间,上方气体供应***(345,图3)可提供三氟甲烷(CHF3),并且CHF3流速可在大约40sccm与大约60sccm之间不等。
在一些SQNB掩蔽层修改和/或特征形成工序期间,等离子体生成腔室(310,图3)中的温度可从大约70摄氏度到大约90摄氏度不等;等离子体生成腔室(310,图3)中的腔室壁温度可从大约50摄氏度到大约摄氏度不等;SQNB过程腔室(315,图3)中的温度可从大约10摄氏度到大约30摄氏度不等;可切换衬底支架(320,图3)的中央的温度可从大约12摄氏度到大约20摄氏度不等;可切换衬底支架(320,图3)的边缘的温度可从大约8摄氏度到大约12摄氏度不等;可切换衬底支架(320,图3)的中央背面压力可从大约5托到大约15托不等;可切换衬底支架(320,图3)的边缘背面压力可从大约27托到大约33托不等;并且处理时间可从大约20秒到大约150秒不等。或者,可需要另外的气体。
在替换实施例中,在第一处理序列500A期间,在执行第一SQNB掩蔽层修改工序之后可执行第一SQNB特征形成序列。例如,第一特征形成序列可包括第一SiARC蚀刻工序以及第一栅极控制层蚀刻工序,并且SiARC蚀刻时间、SiARC结束点时间、栅极控制层蚀刻时间、栅极控制层结束点时间和经蚀刻的光致抗蚀轮廓参数可在第一蚀刻序列期间被用作控制变量。此外,SiARC蚀刻工序可用于蚀刻SiARC层545a,并且第一栅极控制蚀刻工序可用于蚀刻栅极控制层540。
在第一Si-ARC层蚀刻工序期间,腔室压力的范围可以是从大约12mT到大约18mT;顶部功率可从大约450瓦特到大约550瓦特不等;下方功率可从大约90瓦特到大约110瓦特不等;ESC电压可被设定在大约2500V;四氟化碳(CF4)流速可在大约60sccm和大约100sccm之间不等;三氟甲烷(CHF3)流速可在大约40sccm和大约60sccm之间不等;顶部腔室温度可从大约70摄氏度到大约90摄氏度不等;腔室壁温度可从大约50摄氏度到大约70摄氏度不等;底部腔室温度可从大约10摄氏度到大约30摄氏度不等;衬底支架的中央的温度可从大约12摄氏度到大约20摄氏度不等;衬底支架的边缘的温度可从大约8摄氏度到大约12摄氏度不等;衬底支架的中央背面压力可从大约15托到大约25托不等;衬底支架的边缘背面压力可从大约27托到大约33托不等;并且处理时间可从大约60秒到大约90秒不等。
在第一栅极控制层蚀刻工序期间,腔室压力的范围可以是从大约15mT到大约25mT;顶部功率可从大约150瓦特到大约250瓦特不等;下方功率可从大约90瓦特到大约110瓦特不等;ESC电压可被设定在大约2500V;He流速可在大约25sccm和大约35sccm之间不等;O2流速可在大约30sccm和大约50sccm之间不等;CO2流速可在大约260sccm和大约320sccm之间不等;顶部腔室温度可从大约70摄氏度到大约90摄氏度不等;腔室壁温度可从大约50摄氏度到大约70摄氏度不等;底部腔室温度可从大约10摄氏度到大约30摄氏度不等;晶片支架的中央的温度可从大约12摄氏度到大约20摄氏度不等;晶片支架的边缘的温度可从大约8摄氏度到大约12摄氏度不等;晶片支架的中央背面压力可从大约15托到大约25托不等;晶片支架的边缘背面压力可从大约27托到大约33托不等;并且处理时间可从大约90秒到大约130秒不等。
在第一SiN(TEOS)层蚀刻工序期间,腔室压力的范围可以是从大约35mT到大约45mT;顶部功率可从大约550瓦特到大约650瓦特不等;下方功率可从大约90瓦特到大约110瓦特不等;ESC电压可被设定在大约2500V;O2流速可在大约3sccm和大约7sccm之间不等;CF4流速可在大约40sccm和大约60sccm之间不等;CHF3流速可在大约40sccm和大约60sccm之间不等;顶部腔室温度可从大约30摄氏度到大约90摄氏度不等;腔室壁温度可从大约50摄氏度到大约70摄氏度不等;底部腔室温度可从大约30摄氏度到大约50摄氏度不等;晶片支架的中央的温度可从大约25摄氏度到大约35摄氏度不等;晶片支架的边缘的温度可从大约8摄氏度到大约12摄氏度不等;晶片支架的中央背面压力可从大约15托到大约25托不等;晶片支架的边缘背面压力可从大约27托到大约33托不等;并且处理时间可从大约50秒到大约90秒不等。
在第一SiN过蚀刻(OE)工序期间,腔室压力的范围可以是从大约35mT到大约45mT;顶部功率可从大约550瓦特到大约650瓦特不等;下方功率可从大约1250瓦特到大约175瓦特不等;ESC电压可被设定在大约2500V;O2流速可在大约3sccm和大约7sccm之间不等;CF4流速可在大约40sccm和大约60sccm之间不等;CHF3流速可在大约40sccm和大约60sccm之间不等;顶部腔室温度可从大约70摄氏度到大约90摄氏度不等;腔室壁温度可从大约50摄氏度到大约70摄氏度不等;底部腔室温度可从大约10摄氏度到大约30摄氏度不等;衬底支架的中央的温度可从大约12摄氏度到大约20摄氏度不等;衬底支架的边缘的温度可从大约8摄氏度到大约12摄氏度不等;衬底支架的中央背面压力可从大约15托到大约25托不等;衬底支架的边缘背面压力可从大约27托到大约33托不等;并且处理时间可从大约60秒到大约90秒不等。
在一些示例中,可将SQNB工序的个体和/或总体置信值与个体和/或总体置信限度相比较。如果满足置信限度中的一个或多个,则对一组衬底的处理可继续,或者如果不满足置信限度中的一个或多个,则可应用校正性动作。校正性动作可包括为该组衬底中的一个或多个额外衬底建立置信值、将额外衬底中的一个或多个的置信值与额外的置信限度相比较;并且如果满足额外置信限度中的一个或多个,则继续SQNB工序,或者如果不满足额外置信限度中的一个或多个,则停止SQNB工序。
在其他示例中,可将衬底的个体和/或总体风险值与个体和/或总体风险限度相比较。如果满足风险限度中的一个或多个,则对一组衬底的处理可继续,或者如果不满足风险限度中的一个或多个,则可应用校正性动作。校正性动作可包括为该组衬底中的一个或多个额外衬底建立风险值、将额外衬底中的一个或多个的风险值与额外的风险限度相比较;并且如果满足额外风险限度中的一个或多个,则继续SQNB工序,或者如果不满足额外置信限度中的一个或多个,则停止SQNB工序。
在其他实施例中,可利用经验证的SQNB工序来处理一个或多个衬底。当使用经验证的SQNB工序时,在衬底(“标准晶片”)上可创建一个或多个经验证的结构。当检查该衬底时,可从该衬底上的数个经验证的结构中选择测试参考结构。可从包括经验证的结构和相关联的数据的库中选择最佳估计结构和相关联的最佳估计数据。可计算测试参考结构与来自库的最佳估计结构之间的一个或多个差异,将这些差异与匹配标准、创建标准或产品要求或其任何组合相比较。当使用匹配标准时,测试参考结构可被识别为库的成员,并且如果满足或超过匹配标准则当前衬底可被识别为参考“标准”衬底。当使用创建标准时,测试参考结构可被识别为库的新成员,并且如果满足创建标准则当前衬底可被识别为经验证的参考衬底。当使用产品要求数据,测试参考结构可被识别为经验证的结构,并且如果满足一个或多个产品要求则该衬底可被识别为经验证的生产衬底。如果不满足标准或产品要求中的一个或多个则可应用校正性动作。可利用测试参考结构数据和最佳估计结构数据为SQNB工序建立置信数据和/或风险数据。
当在SQNB工序期间产生和/或检查结构和/或特征时,可使用准确度和/或容忍度限度。当这些限度不正确时,可执行改进工序。或者,可执行其他工序,可使用其他部位,或者可使用其他衬底。当使用改进工序时,改进工序可利用双线性改进、拉格朗日改进、三次样条改进、埃特金改进、加权平均改进、多重二次改进、双三次改进、Turran改进、小波改进、贝塞耳改进、埃弗雷特改进、有限差改进、高斯改进、埃尔米特改进、牛顿均差改进、密切改进或者蒂勒改进算法,或者其组合。
在一些实施例中,与SQNB工序相关联的库数据可包括拟和优度(GOF)数据、创建规则数据、测量数据、检验数据、验证数据、地图数据、置信数据、准确度数据、过程数据或均一度数据,或者其任何组合。
在一些实施例中,历史和/或实时数据可包括一个或多个衬底的衬底相关地图、过程相关地图、损坏评定地图、参考地图、测量地图、预测地图、风险地图、检验地图、验证地图、评估地图、粒子地图和/或置信地图。此外,一些SQNB工序可使用衬底地图,衬底地图可包括一个或多个拟和优良(GOF)地图、一个或多个厚度地图、一个或多个栅极相关地图、一个或多个临界尺寸(CD)地图、一个或多个CD轮廓地图、一个或多个材料相关地图、一个或多个结构相关地图、一个或多个侧壁角度地图、一个或多个差分宽度地图,或者其组合。
当创建和/或修改衬底地图时,可能不是对整个衬底计算和/或需要值,并且衬底地图可包括关于一个或多个部位、一个或多个芯片/管芯、一个或多个不同区域和/或一个或多个不同形状的区域的数据。例如,SQNB***或腔室可具有在衬底的某些区域中可影响处理结果的质量的独特特性。此外,制造商可对于衬底的一个或多个区域中的芯片/管芯允许不那么准确的过程和/或评估数据以最大化产量。当地图中的值接近限度时,置信值可能比地图中的值不接近限度时的低。此外,可对衬底的不同芯片/管芯和/或不同区域加权准确度值。例如,可向与一个或多个先前使用的评估部位相关联的准确度计算和/或准确度数据赋予更高的置信权重。
此外,与一个或多个过程相关联的过程结果、测量、检验、验证、评估和/或预测地图可用于为衬底计算置信地图。例如,来自另一地图的值可被用作加权因子。
尽管以上只是详细描述了本发明的某些示例性实施例,但是本领域技术人员将很容易意识到,可以在实施例中进行许多修改,而实质上不脱离本发明的新颖教导和优点。因此,所有这些修改都欲包括在本发明的范围内。
从而,这里的描述并不欲限制本发明,并且已在理解给定这里提供的细节水平可以进行对实施例的修改和变化的情况下描述了本发明的配置、操作和行为。因此,前述详细描述并不想要或者意欲以任何方式限制本发明–本发明的范围由权利要求限定。
Claims (20)
1.一种可切换准中性束***,包括:
等离子体生成腔室,该等离子体生成腔室具有上方等离子体区域,该上方等离子体区域被配置为在第一可切换准中性束工序期间建立处于第一上方等离子体电势的第一上方等离子体并且被配置为在第二可切换准中性束工序期间产生处于第二上方等离子体电势的第二上方等离子体;
可切换准中性束过程腔室,该可切换准中性束过程腔室具有可切换等离子体区域,该可切换等离子体区域被配置为在所述第一可切换准中性束工序期间建立处于第一可切换准中性束处理等离子体电势的第一可切换准中性束处理等离子体并且被配置为在所述第二可切换准中性束工序期间产生处于第二可切换准中性束处理等离子体电势的第二可切换准中性束处理等离子体;
分隔构件,该分隔构件被部署在所述等离子体生成腔室和所述可切换准中性束过程腔室之间,其中所述腔室包括被配置为在所述第一可切换准中性束工序期间在所述可切换等离子体区域中创建第一组束并且被配置为在所述第二可切换准中性束工序期间在所述可切换等离子体区域中创建第二组束的一个或多个开口,其中所述第一组束包括在所述第一可切换准中性束工序期间来自被建立为形成所述第一可切换准中性束处理等离子体的所述上方等离子体区域的第一电子流并且所述第二组束包括在所述第二可切换准中性束工序期间来自被建立为形成所述第二可切换准中性束等离子体的所述上方等离子体区域的第二电子流,其中所述分隔构件包括绝缘体;
可切换衬底支架,该可切换衬底支架被配置为在所述可切换准中性束过程腔室中支撑图案化衬底,该可切换衬底支架耦合到多档位开关,该多档位开关被配置为在所述第一可切换准中性束工序期间使该可切换衬底支架耦合到地电势并且在所述第二可切换准中性束工序期间使该可切换衬底支架与地电势隔离;
直流偏置电极***,该直流偏置电极***被配置为在所述可切换准中性束过程腔室中围绕所述可切换衬底支架,该直流偏置电极***被配置为将正电压施加到所述第一可切换准中性束处理等离子体和所述第二可切换准中性束处理等离子体;以及
***控制器,该***控制器耦合到配置在所述等离子体生成腔室中的一个或多个第一传感器、配置在所述可切换准中性束过程腔室中的一个或多个第二传感器、所述直流偏置电极***以及所述可切换衬底支架,该***控制器被配置为所述图案化衬底确定材料数据并且利用所确定的材料数据来建立所述第一可切换准中性束工序和所述第二可切换准中性束工序,该***控制器包括一个或多个控制器,所述一个或多个控制器被配置为:(1)在所述第一可切换准中性束工序期间,通过控制所述直流偏置电极***将第一直流电压施加到所述第一可切换准中性束处理等离子体,将所述第一可切换准中性束处理等离子体电势提升到高于所述第一上方等离子体电势以便控制所述第一电子流,并且通过控制所述多档位开关使所述可切换衬底支架耦合到地;以及(2)在所述第二可切换准中性束工序期间,通过控制所述直流偏置电极***将第二直流电压施加到所述第二可切换准中性束处理等离子体,将所述第二可切换准中性束处理等离子体电势提升到高于所述第二上方等离子体电势以便控制所述第二电子流,并且通过控制所述多档位开关使所述可切换衬底支架与地隔离。
2.如权利要求1所述的可切换准中性束***,还包括:
一个或多个第一气体分配元件,该一个或多个第一气体分配元件被配置在所述等离子体生成腔室内,其中所述第一气体分配元件中的至少一个被配置为在所述第一可切换准中性束工序期间以第一流速向所述上方等离子体区域提供第一等离子体生成气体,并且所述第一气体分配元件中的一个或多个被配置为在所述第二可切换准中性束工序期间以第二流速向所述上方等离子体区域提供第二等离子体生成气体;以及
一个或多个上方气体供应***,该一个或多个上方气体供应***利用至少一个第一供应线耦合到所述第一气体分配元件中的一个或多个。
3.如权利要求2所述的可切换准中性束***,其中,所述第一等离子体生成气体和/或所述第二等离子体生成气体包括氟碳气体和惰性气体,所述氟碳气体包括C4F6、C4F8、C5F8、CHF3或CF4或其任何组合,并且所述惰性气体包括氩(Ar)、氦(He)、氪(Kr)、氖(Ne)、氡(Rn)或氙(Xe)或其任何组合。
4.如权利要求1所述的可切换准中性束***,还包括:
一个或多个可切换气体分配元件,该一个或多个可切换气体分配元件被配置在所述可切换准中性束过程腔室内,其中所述可切换气体分配元件中的至少一个被配置为在所述第一可切换准中性束工序期间向所述可切换等离子体区域提供第一可切换准中性束过程气体,并且所述可切换气体分配元件中的一个或多个被配置为在所述第二可切换准中性束工序期间向所述可切换等离子体区域提供第二可切换准中性束过程气体;以及
一个或多个可切换气体供应***,该一个或多个可切换气体供应***利用至少一个第二供应线耦合到所述可切换气体分配元件中的一个或多个。
5.如权利要求4所述的可切换准中性束***,其中,所述第一可切换准中性束过程气体和/或所述第二可切换准中性束过程气体包括氟碳气体和惰性气体,所述氟碳气体包括C4F6、C4F8、C5F8、CHF3或CF4或其任何组合,并且所述惰性气体包括氩(Ar)、氦(He)、氪(Kr)、氖(Ne)、氡(Rn)或氙(Xe)或其任何组合。
6.如权利要求1所述的可切换准中性束***,还包括:
一个或多个电感线圈,该一个或多个电感线圈耦合到所述等离子体生成腔室,该电感线圈中的至少一个被配置为在所述上方等离子体区域中产生处于所述第一上方等离子体电势的所述第一上方等离子体;以及
等离子体生成源,该等离子体生成源利用至少一个匹配网络耦合到所述电感线圈中的一个或多个。
7.如权利要求6所述的可切换准中性束***,其中,所述等离子体生成源包括射频生成器,其中来自所述等离子体生成源的射频功率的范围是从约10瓦特到约1000瓦特,并且所述等离子体生成源的射频频率的范围是从约0.1MHz到约100MHz。
8.如权利要求6所述的可切换准中性束***,其中,所述等离子体生成源的输出被配置为在所述第一可切换准中性束工序和/或第二可切换准中性束工序期间是经调制的、变化的、脉冲式的、阶梯式的、斜坡式的或者保持恒定或者其任何组合。
9.如权利要求1所述的可切换准中性束***,还包括:
上方多档位开关,该上方多档位开关利用一个或多个上方馈通元件耦合到配置在所述等离子体生成腔室中的一个或多个上方直流导电电极;以及
上方电源,该上方电源耦合到所述上方多档位开关,所述上方多档位开关具有耦合到所述上方馈通元件中的至少一个的共同端口、耦合到地电势的第一可切换端口以及耦合到所述上方电源的第二可切换端口,所述上方多档位开关具有被配置为将所述上方直流导电电极中的至少一个耦合到地电势的第一档位和被配置为将所述上方直流导电电极中的一个或多个耦合到所述上方电源的第二档位。
10.如权利要求9所述的可切换准中性束***,其中,所述上方电源被配置为提供直流功率或交流功率或者其任何组合,并且所述上方电源的输出被配置为在所述第一可切换准中性束工序和/或第二可切换准中性束工序期间是变化的、脉冲式的、阶梯式的、斜坡式的或者保持恒定或者其任何组合。
11.如权利要求1所述的可切换准中性束***,还包括:
下方多档位开关,该下方多档位开关利用一个或多个下方馈通元件耦合到配置在所述可切换准中性束过程腔室中的一个或多个下方偏置电极;以及
偏置电源,该偏置电源耦合到所述下方多档位开关,所述下方多档位开关具有耦合到所述下方馈通元件中的至少一个的共同端口、耦合到地电势的第一可切换端口以及耦合到所述偏置电源的第二可切换端口,所述下方多档位开关具有被配置为将所述下方偏置电极中的至少一个耦合到地电势的第一档位和被配置为将所述下方偏置电极中的一个或多个耦合到所述偏置电源的第二档位。
12.如权利要求11所述的可切换准中性束***,其中,所述偏置电源被配置为提供直流功率或交流功率或者其任何组合,并且所述偏置电源的输出被配置为在所述第一可切换准中性束工序和/或第二可切换准中性束工序期间是变化的、脉冲式的、阶梯式的、斜坡式的或者保持恒定或者其任何组合。
13.如权利要求11所述的可切换准中性束***,其中,上方电源被配置为向耦合在所述等离子体生成腔室中的至少一个上方直流导电电极提供直流电压,该直流电压小于由所述偏置电源向所述可切换准中性束过程腔室中的下方偏置电极提供的偏置直流电压。
14.如权利要求1所述的可切换准中性束***,还包括:
第一多档位开关,该第一多档位开关利用一个或多个第一馈通元件耦合到配置在所述可切换衬底支架中的一个或多个衬底偏置电极;以及
偏置生成器,该偏置生成器利用滤波器网络耦合到所述第一多档位开关,所述第一多档位开关具有耦合到所述衬底偏置电极中的至少一个的共同端口、耦合到地电势的第一可切换端口以及耦合到所述滤波器网络的第二可切换端口,所述第一多档位开关具有被配置为将所述衬底偏置电极中的至少一个耦合到地电势的第一档位、被配置为利用所述滤波器网络将所述衬底偏置电极中的一个或多个耦合到所述偏置生成器的第二档位以及被配置为将所述衬底偏置电极中的至少一个与地电势隔离的第三档位。
15.如权利要求14所述的可切换准中性束***,其中,所述偏置生成器包括射频生成器,其中在所述第一可切换准中性束工序和/或第二可切换准中性束工序期间来自所述偏置生成器的第一射频功率的范围是从约10瓦特到约1000瓦特并且所述偏置生成器的第一射频频率的范围是从约0.1MHz到约100MHz。
16.如权利要求14所述的可切换准中性束***,其中,所述偏置生成器提供直流功率或交流功率或者其任何组合,并且所述偏置生成器的输出在所述第一可切换准中性束工序和/或第二可切换准中性束工序期间是变化的、是脉冲式的、是阶梯式的、是斜坡式的或者保持恒定或者是其任何组合。
17.如权利要求1所述的可切换准中性束***,其中,所述第一传感器中的至少一个被配置为在所述第一可切换准中性束工序和/或第二可切换准中性束工序期间检测所述等离子体生成腔室中的上方等离子体状态,并且一个或多个第二传感器被配置为在所述第一可切换准中性束工序和/或第二可切换准中性束工序期间检测所述可切换准中性束过程腔室中的下方等离子体状态。
18.如权利要求1所述的可切换准中性束***,其中,所述可切换衬底支架包括耦合到背面气体***的双背面气体元件和耦合到温度控制***的温度控制元件,该温度控制***被配置为所述图案化衬底建立第一边缘温度和第一中央温度,其中所述第一边缘温度和所述第一中央温度在约0摄氏度和约100摄氏度之间。
19.如权利要求1所述的可切换准中性束***,其中,所述第一可切换准中性束工序在所述图案化衬底上创建经修改的掩蔽层,并且所述第二可切换准中性束工序使用所述经修改的掩蔽层在所述图案化衬底上创建新特征。
20.一种用于利用根据权利要求1至19中任一项所述的可切换准中性束***构造的可切换准中性束源来处理衬底的方法,包括:
将图案化衬底定位在可切换衬底支架上,该可切换衬底支架被配置为在可切换处理腔室中支撑该图案化衬底;
在第一可切换准中性束工序期间将所述可切换衬底支架连接到地电势;
在所述第一可切换准中性束工序期间利用来自所述可切换准中性束源的第一空间-电荷中性化中性束修改所述图案化衬底上的掩蔽层;
在第二可切换准中性束工序期间将所述可切换衬底支架与地电势隔离;以及
在所述第二可切换准中性束工序期间利用来自所述可切换准中性束源的第二空间-电荷中性化中性束在所述图案化衬底上创建新特征,
其中所述可切换衬底支架耦合到多档位开关,所述多档位开关被配置为在所述第一可切换准中性束工序期间使所述可切换衬底支架耦合到地电势并且在所述第二可切换准中性束工序期间使所述可切换衬底支架与地电势隔离,
其中直流偏置电极***在所述第一可切换准中性束工序和所述第一可切换准中性束工序期间将正电压施加到第一可切换准中性束处理等离子体和第二可切换准中性束处理等离子体;以及
其中,(1)在所述第一可切换准中性束工序期间,通过控制所述直流偏置电极***将第一直流电压施加到所述第一可切换准中性束处理等离子体,将第一可切换准中性束处理等离子体电势提升到高于第一上方等离子体电势以便控制第一电子流,并且通过控制所述多档位开关使所述可切换衬底支架耦合到地;以及(2)在所述第二可切换准中性束工序期间,通过控制所述直流偏置电极***将第二直流电压施加到所述第二可切换准中性束处理等离子体,将第二可切换准中性束处理等离子体电势提升到高于第二上方等离子体电势以便控制第二电子流,并且通过控制所述多档位开关使所述可切换衬底支架与地隔离。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US12/688,721 US20110177694A1 (en) | 2010-01-15 | 2010-01-15 | Switchable Neutral Beam Source |
US12/688,721 | 2010-01-15 | ||
PCT/US2011/020668 WO2011087984A2 (en) | 2010-01-15 | 2011-01-10 | Switchable neutral beam source |
Publications (2)
Publication Number | Publication Date |
---|---|
CN102804933A CN102804933A (zh) | 2012-11-28 |
CN102804933B true CN102804933B (zh) | 2016-03-09 |
Family
ID=44277885
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201180014211.5A Expired - Fee Related CN102804933B (zh) | 2010-01-15 | 2011-01-10 | 可切换中性束源 |
Country Status (6)
Country | Link |
---|---|
US (1) | US20110177694A1 (zh) |
JP (1) | JP5968225B2 (zh) |
KR (2) | KR20170034916A (zh) |
CN (1) | CN102804933B (zh) |
TW (1) | TWI428982B (zh) |
WO (1) | WO2011087984A2 (zh) |
Families Citing this family (45)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20130059448A1 (en) * | 2011-09-07 | 2013-03-07 | Lam Research Corporation | Pulsed Plasma Chamber in Dual Chamber Configuration |
US9793126B2 (en) | 2010-08-04 | 2017-10-17 | Lam Research Corporation | Ion to neutral control for wafer processing with dual plasma source reactor |
US8802545B2 (en) * | 2011-03-14 | 2014-08-12 | Plasma-Therm Llc | Method and apparatus for plasma dicing a semi-conductor wafer |
CN103620729B (zh) * | 2011-04-11 | 2016-10-12 | 朗姆研究公司 | 用于半导体处理的电子束增强解耦源 |
US9039911B2 (en) * | 2012-08-27 | 2015-05-26 | Lam Research Corporation | Plasma-enhanced etching in an augmented plasma processing system |
US9035553B2 (en) * | 2011-11-09 | 2015-05-19 | Dae-Kyu Choi | Hybrid plasma reactor |
US20130287963A1 (en) * | 2012-04-26 | 2013-10-31 | Varian Semiconductor Equipment Associates, Inc. | Plasma Potential Modulated ION Implantation Apparatus |
JP5988102B2 (ja) * | 2013-03-01 | 2016-09-07 | パナソニックIpマネジメント株式会社 | プラズマクリーニング方法 |
US9230819B2 (en) | 2013-04-05 | 2016-01-05 | Lam Research Corporation | Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing |
US9245761B2 (en) * | 2013-04-05 | 2016-01-26 | Lam Research Corporation | Internal plasma grid for semiconductor fabrication |
GB201309583D0 (en) * | 2013-05-29 | 2013-07-10 | Spts Technologies Ltd | Apparatus for processing a semiconductor workpiece |
US20140360670A1 (en) * | 2013-06-05 | 2014-12-11 | Tokyo Electron Limited | Processing system for non-ambipolar electron plasma (nep) treatment of a substrate with sheath potential |
US9017526B2 (en) | 2013-07-08 | 2015-04-28 | Lam Research Corporation | Ion beam etching system |
US9147581B2 (en) | 2013-07-11 | 2015-09-29 | Lam Research Corporation | Dual chamber plasma etcher with ion accelerator |
US9978568B2 (en) * | 2013-08-12 | 2018-05-22 | Tokyo Electron Limited | Self-sustained non-ambipolar direct current (DC) plasma at low power |
US9288890B1 (en) * | 2014-10-31 | 2016-03-15 | Tokyo Electron Limited | Method and apparatus for providing an anisotropic and mono-energetic neutral beam by non-ambipolar electron plasma |
WO2016123090A1 (en) * | 2015-01-26 | 2016-08-04 | Tokyo Electron Limited | Method and system for high precision etching of substrates |
US10475626B2 (en) | 2015-03-17 | 2019-11-12 | Applied Materials, Inc. | Ion-ion plasma atomic layer etch process and reactor |
US11824454B2 (en) * | 2016-06-21 | 2023-11-21 | Eagle Harbor Technologies, Inc. | Wafer biasing in a plasma chamber |
KR102453450B1 (ko) * | 2017-10-23 | 2022-10-13 | 삼성전자주식회사 | 플라즈마 처리 장치, 반도체 소자의 제조설비 및 그의 제조방법 |
US10555412B2 (en) | 2018-05-10 | 2020-02-04 | Applied Materials, Inc. | Method of controlling ion energy distribution using a pulse generator with a current-return output stage |
US11257685B2 (en) | 2018-09-05 | 2022-02-22 | Tokyo Electron Limited | Apparatus and process for electron beam mediated plasma etch and deposition processes |
US12014901B2 (en) * | 2018-10-25 | 2024-06-18 | Tokyo Electron Limited | Tailored electron energy distribution function by new plasma source: hybrid electron beam and RF plasma |
US11205562B2 (en) | 2018-10-25 | 2021-12-21 | Tokyo Electron Limited | Hybrid electron beam and RF plasma system for controlled content of radicals and ions |
US11476145B2 (en) | 2018-11-20 | 2022-10-18 | Applied Materials, Inc. | Automatic ESC bias compensation when using pulsed DC bias |
CN113169026B (zh) | 2019-01-22 | 2024-04-26 | 应用材料公司 | 用于控制脉冲电压波形的反馈回路 |
US11508554B2 (en) | 2019-01-24 | 2022-11-22 | Applied Materials, Inc. | High voltage filter assembly |
KR102362893B1 (ko) * | 2019-11-27 | 2022-02-11 | 세메스 주식회사 | 기판 처리 장치 및 기판 처리 방법 |
US11462389B2 (en) | 2020-07-31 | 2022-10-04 | Applied Materials, Inc. | Pulsed-voltage hardware assembly for use in a plasma processing system |
US11798790B2 (en) | 2020-11-16 | 2023-10-24 | Applied Materials, Inc. | Apparatus and methods for controlling ion energy distribution |
US11901157B2 (en) | 2020-11-16 | 2024-02-13 | Applied Materials, Inc. | Apparatus and methods for controlling ion energy distribution |
US11815816B2 (en) | 2021-02-15 | 2023-11-14 | Applied Materials, Inc. | Apparatus for post exposure bake of photoresist |
JP2024509727A (ja) | 2021-02-15 | 2024-03-05 | アプライド マテリアルズ インコーポレイテッド | フォトレジストの露光後ベークのための装置 |
US11495470B1 (en) | 2021-04-16 | 2022-11-08 | Applied Materials, Inc. | Method of enhancing etching selectivity using a pulsed plasma |
US11948780B2 (en) | 2021-05-12 | 2024-04-02 | Applied Materials, Inc. | Automatic electrostatic chuck bias compensation during plasma processing |
US11791138B2 (en) | 2021-05-12 | 2023-10-17 | Applied Materials, Inc. | Automatic electrostatic chuck bias compensation during plasma processing |
US11967483B2 (en) | 2021-06-02 | 2024-04-23 | Applied Materials, Inc. | Plasma excitation with ion energy control |
US20220399185A1 (en) | 2021-06-09 | 2022-12-15 | Applied Materials, Inc. | Plasma chamber and chamber component cleaning methods |
US11810760B2 (en) | 2021-06-16 | 2023-11-07 | Applied Materials, Inc. | Apparatus and method of ion current compensation |
US11569066B2 (en) | 2021-06-23 | 2023-01-31 | Applied Materials, Inc. | Pulsed voltage source for plasma processing applications |
US11776788B2 (en) | 2021-06-28 | 2023-10-03 | Applied Materials, Inc. | Pulsed voltage boost for substrate processing |
US11476090B1 (en) | 2021-08-24 | 2022-10-18 | Applied Materials, Inc. | Voltage pulse time-domain multiplexing |
US11694876B2 (en) | 2021-12-08 | 2023-07-04 | Applied Materials, Inc. | Apparatus and method for delivering a plurality of waveform signals during plasma processing |
KR20230106868A (ko) * | 2022-01-07 | 2023-07-14 | 피에스케이 주식회사 | 광 분석 유닛, 그리고 이를 포함하는 기판 처리 장치 |
US11972924B2 (en) | 2022-06-08 | 2024-04-30 | Applied Materials, Inc. | Pulsed voltage source for plasma processing applications |
Citations (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5531862A (en) * | 1993-07-19 | 1996-07-02 | Hitachi, Ltd. | Method of and apparatus for removing foreign particles |
US5571366A (en) * | 1993-10-20 | 1996-11-05 | Tokyo Electron Limited | Plasma processing apparatus |
US6162323A (en) * | 1997-08-12 | 2000-12-19 | Tokyo Electron Yamanashi Limited | Plasma processing apparatus |
US6220201B1 (en) * | 1993-08-27 | 2001-04-24 | Applied Materials, Inc. | High density plasma CVD reactor with combined inductive and capacitive coupling |
US6422172B1 (en) * | 1997-03-19 | 2002-07-23 | Hitachi, Ltd. | Plasma processing apparatus and plasma processing method |
CN1984523A (zh) * | 2004-06-21 | 2007-06-20 | 东京毅力科创株式会社 | 等离子体处理装置和方法 |
Family Cites Families (23)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5350480A (en) * | 1993-07-23 | 1994-09-27 | Aspect International, Inc. | Surface cleaning and conditioning using hot neutral gas beam array |
US5883005A (en) * | 1994-03-25 | 1999-03-16 | California Institute Of Technology | Semiconductor etching by hyperthermal neutral beams |
EP0680072B1 (en) * | 1994-04-28 | 2003-10-08 | Applied Materials, Inc. | A method of operating a high density plasma CVD reactor with combined inductive and capacitive coupling |
US5468955A (en) * | 1994-12-20 | 1995-11-21 | International Business Machines Corporation | Neutral beam apparatus for in-situ production of reactants and kinetic energy transfer |
JPH11330049A (ja) * | 1998-05-12 | 1999-11-30 | Matsushita Electric Ind Co Ltd | プラズマ処理方法及び装置 |
JP2000178741A (ja) * | 1998-12-09 | 2000-06-27 | Hitachi Ltd | プラズマcvd装置およびそれにおける成膜とクリーニング制御法 |
WO2000036631A1 (en) * | 1998-12-11 | 2000-06-22 | Surface Technology Systems Limited | Plasma processing apparatus |
JP3482904B2 (ja) * | 1999-05-10 | 2004-01-06 | 松下電器産業株式会社 | プラズマ処理方法及び装置 |
JP4371543B2 (ja) * | 2000-06-29 | 2009-11-25 | 日本電気株式会社 | リモートプラズマcvd装置及び膜形成方法 |
KR100380660B1 (ko) * | 2000-11-22 | 2003-04-18 | 학교법인 성균관대학 | 중성빔을 이용한 반도체소자의 식각방법 및 이를 위한식각장치 |
JP4460183B2 (ja) * | 2001-03-14 | 2010-05-12 | パナソニック株式会社 | 表面処理方法及び装置 |
US6755150B2 (en) * | 2001-04-20 | 2004-06-29 | Applied Materials Inc. | Multi-core transformer plasma source |
JP4073204B2 (ja) * | 2001-11-19 | 2008-04-09 | 株式会社荏原製作所 | エッチング方法 |
KR100408137B1 (ko) * | 2001-11-26 | 2003-12-06 | 학교법인 성균관대학 | 중성빔을 이용한 층대층 식각장치 및 식각방법 |
JP4620322B2 (ja) * | 2002-08-21 | 2011-01-26 | 株式会社エバテック | プラズマ表面処理装置 |
JP2004281230A (ja) * | 2003-03-14 | 2004-10-07 | Ebara Corp | ビーム源及びビーム処理装置 |
JP2004281232A (ja) * | 2003-03-14 | 2004-10-07 | Ebara Corp | ビーム源及びビーム処理装置 |
US7740737B2 (en) * | 2004-06-21 | 2010-06-22 | Tokyo Electron Limited | Plasma processing apparatus and method |
US7988816B2 (en) * | 2004-06-21 | 2011-08-02 | Tokyo Electron Limited | Plasma processing apparatus and method |
US7767561B2 (en) * | 2004-07-20 | 2010-08-03 | Applied Materials, Inc. | Plasma immersion ion implantation reactor having an ion shower grid |
KR100663351B1 (ko) * | 2004-11-12 | 2007-01-02 | 삼성전자주식회사 | 플라즈마 처리장치 |
US7358484B2 (en) * | 2005-09-29 | 2008-04-15 | Tokyo Electron Limited | Hyperthermal neutral beam source and method of operating |
US9520275B2 (en) * | 2008-03-21 | 2016-12-13 | Tokyo Electron Limited | Mono-energetic neutral beam activated chemical processing system and method of using |
-
2010
- 2010-01-15 US US12/688,721 patent/US20110177694A1/en not_active Abandoned
-
2011
- 2011-01-10 KR KR1020177007315A patent/KR20170034916A/ko not_active Application Discontinuation
- 2011-01-10 JP JP2012548988A patent/JP5968225B2/ja not_active Expired - Fee Related
- 2011-01-10 CN CN201180014211.5A patent/CN102804933B/zh not_active Expired - Fee Related
- 2011-01-10 WO PCT/US2011/020668 patent/WO2011087984A2/en active Application Filing
- 2011-01-10 KR KR1020127021404A patent/KR101989629B1/ko active IP Right Grant
- 2011-01-14 TW TW100101449A patent/TWI428982B/zh not_active IP Right Cessation
Patent Citations (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5531862A (en) * | 1993-07-19 | 1996-07-02 | Hitachi, Ltd. | Method of and apparatus for removing foreign particles |
US6220201B1 (en) * | 1993-08-27 | 2001-04-24 | Applied Materials, Inc. | High density plasma CVD reactor with combined inductive and capacitive coupling |
US5571366A (en) * | 1993-10-20 | 1996-11-05 | Tokyo Electron Limited | Plasma processing apparatus |
US6422172B1 (en) * | 1997-03-19 | 2002-07-23 | Hitachi, Ltd. | Plasma processing apparatus and plasma processing method |
US6162323A (en) * | 1997-08-12 | 2000-12-19 | Tokyo Electron Yamanashi Limited | Plasma processing apparatus |
CN1984523A (zh) * | 2004-06-21 | 2007-06-20 | 东京毅力科创株式会社 | 等离子体处理装置和方法 |
Also Published As
Publication number | Publication date |
---|---|
TW201145383A (en) | 2011-12-16 |
JP5968225B2 (ja) | 2016-08-10 |
JP2013517600A (ja) | 2013-05-16 |
CN102804933A (zh) | 2012-11-28 |
KR20120117872A (ko) | 2012-10-24 |
KR20170034916A (ko) | 2017-03-29 |
WO2011087984A3 (en) | 2011-11-03 |
WO2011087984A2 (en) | 2011-07-21 |
US20110177694A1 (en) | 2011-07-21 |
TWI428982B (zh) | 2014-03-01 |
KR101989629B1 (ko) | 2019-06-14 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN102804933B (zh) | 可切换中性束源 | |
US8343371B2 (en) | Apparatus and method for improving photoresist properties using a quasi-neutral beam | |
KR101633937B1 (ko) | Dc 및 rf 하이브리드 처리 시스템 | |
US7967995B2 (en) | Multi-layer/multi-input/multi-output (MLMIMO) models and method for using | |
US20100081285A1 (en) | Apparatus and Method for Improving Photoresist Properties | |
US8883024B2 (en) | Using vacuum ultra-violet (VUV) data in radio frequency (RF) sources | |
KR101530098B1 (ko) | 금속 게이트 구조에 대한 다층/다중입력/다중출력(mlmimo) 모델의 이용 방법 | |
CN104040679B (zh) | 自适应配方选择器 | |
CN1333308C (zh) | 控制蚀刻工序的精确度和再现性的方法 | |
TWI668530B (zh) | 被處理體之處理方法 | |
US20080038926A1 (en) | Method of treating a mask layer prior to performing an etching process | |
TW201618202A (zh) | 用於基板之射束處理的處理氣體增強 | |
WO2008021609A1 (en) | Method of treating a mask layer prior to performing an etching process | |
US7572386B2 (en) | Method of treating a mask layer prior to performing an etching process | |
US20080032507A1 (en) | Method of treating a mask layer prior to performing an etching process | |
US10658192B2 (en) | Selective oxide etching method for self-aligned multiple patterning | |
JP2006522480A (ja) | 多層フォトレジストのドライ現像のための方法及び装置 | |
KR102405202B1 (ko) | 교차 구조물들을 패터닝하는 방법 | |
KR102448699B1 (ko) | 자기 정렬된 다중 패터닝을 위한 선택적 질화물 에칭 방법 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
C14 | Grant of patent or utility model | ||
GR01 | Patent grant | ||
CF01 | Termination of patent right due to non-payment of annual fee |
Granted publication date: 20160309 Termination date: 20210110 |
|
CF01 | Termination of patent right due to non-payment of annual fee |