KR101633937B1 - Dc 및 rf 하이브리드 처리 시스템 - Google Patents

Dc 및 rf 하이브리드 처리 시스템 Download PDF

Info

Publication number
KR101633937B1
KR101633937B1 KR1020100092953A KR20100092953A KR101633937B1 KR 101633937 B1 KR101633937 B1 KR 101633937B1 KR 1020100092953 A KR1020100092953 A KR 1020100092953A KR 20100092953 A KR20100092953 A KR 20100092953A KR 101633937 B1 KR101633937 B1 KR 101633937B1
Authority
KR
South Korea
Prior art keywords
data
rfh
substrate
processing
eed
Prior art date
Application number
KR1020100092953A
Other languages
English (en)
Other versions
KR20110033097A (ko
Inventor
리 첸
메릿트 펑크
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20110033097A publication Critical patent/KR20110033097A/ko
Application granted granted Critical
Publication of KR101633937B1 publication Critical patent/KR101633937B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32018Glow discharge
    • H01J37/32027DC powered
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명은, 적어도 하나의 직류(DC)/무선 주파수(RF) 하이브리드(DC/RFH) 처리 시스템과, 관련 DC/RFH 절차와, DC/RFH 프로세스 파라미터 및/또는 DC/RFH 모델을 이용하여 기판 및/또는 웨이퍼를 처리하는 장치 및 방법을 제공할 수 있다.

Description

DC 및 RF 하이브리드 처리 시스템{DC AND RF HYBRID PROCESSING SYSTEM}
본 발명은, 기판 처리에 관한 것으로, 보다 자세하게는 직류 전류(DC) 및 무선 주파수(RF) 하이브리드 처리 툴 및 관련된 직류/무선 주파수 하이브리드(DC/RFH) 레시피 및/또는 모델을 이용하여, 실시간으로 기판 및/또는 웨이퍼를 처리하기 위한 장치 및 방법에 관한 것이다.
에칭 프로세스 동작은 내재적으로 각 단계별 (층들) 또는 프로세스 스택들(에칭/CVD/주입)이 컴파일(compile)됨에 따라, 비선형적이며 상호작용을 한다. 도쿄 엘렉트론 가부시키가이샤(TEL) 챔버들의 물리적인 모델링에 기초한 프로세스 상호 작용들에 관한 지식을 가지고, 다중-입력 다중-출력 비선형 모델들을 이용하여, 기반 프로세스들, 임페리얼(imperial) 데이터 및 프로세스 미세화로부터의 측정과, 임계 치수(CD)의 제어, 측벽 각도(SWA), 깊이, 막 두께, 오버 에칭, 언더컷, 표면 세정 및 손상 제어의 튜닝(tuning)이 반복적으로 계산되고 최적화될 수 있다. 최근 저가 제품들은 벌크(bulk) 실리콘 기술을 이용한다. 트랜지스터가 계속하여 크기가 줄어들수록, 채널 깊이의 영향은 매우 중요해지고 있다(초미세 소스/드레인 확장, ultra-shallow source/drain extension). 절연체 상의 실리콘(SOI) 막이 줄어들수록, 게이트 및/또는 스페이서 두께 및 SOI 막의 두께에서의 더 작은 변화가 트랜지스터의 성능에 영향을 줄 수 있다. 에칭 절차가 제어되지 않을 때에, 게이트 근처에서의 물질의 감소가 전기적인 성능에 영향을 준다.
최근 고성능 마이크로프로세서들은 PD(partially depleted) SOI(부분 공핍형 SOI 막 - 0.2 볼트의 임계 전압을 제공)를 이용한다. PD SOI 막들은 약 50 nm 두께이고, 게이트 및/또는 스페이서 감소량은 총 게이트 및/또는 스페이서 두께의 상당한 비율(10%)일 수 있다. SOI 막들의 차세대는 FD(fully depleted) SOI(완전 공핍형 SOI 막 - 0.08 볼트의 임계 전압과 25 nm 이내의 두께를 제공). 최근에, 이러한 막들은 두께 제어의 균일성에서의 한계 및 결함으로 인해 생산되고 있지 않다. 채널 유동성은 SOI 두께의 감소와 함께 저하된다. 막들이 더 얇아질수록, 금속-게이트 구조들의 제어는 더욱 중요해지고 있다.
본 발명의 과제는 적어도 하나의 DC/RF 하이브리드(DC/RFH) 처리 시스템과, 관련된 DC/RFH 절차들 및 DC/RFH 프로세스 파라미터들 및/또는 DC/RFH 모델들을 이용하여, 실시간으로 기판들 및/또는 웨이퍼들을 처리하는 장치 및 방법들을 제공하는 것이다.
본 발명의 다른 양태들은 후술하는 상세한 설명 및 첨부된 도면들로부터 명백해질 것이다.
본 발명에서 제공하는, 직류(DC)/무선 주파수(RF) 하이브리드(DC/RFH) 처리 시스템을 이용하여 기판을 처리하는 방법은, DC/RFH 처리 시스템에 연결된 이송 서브시스템에 의해 제1 기판 세트를 수용하는 단계; 제1 기판에 대하여 적어도 하나의 제1 전자 에너지 분포 함수(EEDf)1 데이터를 결정하는 단계; 적어도 하나의 (EEDf)1 데이터를 이용하여 제1 기판에 대한 제1 DC/RFH 프로세스 레시피를 결정하는 단계; 제1 DC/RFH 프로세스 레시피를 이용하여 제1 기판을 처리하는 단계; 제1 기판에 대한 프로세스 데이터를 확보하는 단계; 처리된 제1 기판에 대한 프로세스 데이터를 이용하여 제1 기판 세트에 대한 리스크 데이터를 결정하는 단계; 리스크 데이터를 처리 한계에 비교하는 단계; 리스크 데이터가 처리 한계 중 적어도 하나를 초과하지 않을 때, 제1 기판 세트에서의 추가적인 기판을 처리하는 단계; 리스크 데이터가 처리 한계 중 하나 이상을 초과할 때, 수정 동작을 수행하는 단계를 포함한다.
이하에서, 첨부된 개략적인 도면들을 참조하여, 단지 예시로서, 본 발명의 실시예들을 설명한다. 첨부된 도면들에서 대응되는 참조 부호들은 대응되는 부분들을 지시한다.
본 발명에 따르면 직류(DC)/무선 주파수(RF) 하이브리드(DC/RFH) 처리 시스템을 실시간으로 이용하여 기판을 처리할 수 있다.
도 1은 본 발명의 실시예들에 따른 처리 시스템의 예시적인 블록도를 나타낸다.
도 2a ~ 도 2d는 본 발명의 실시예들에 따른 에칭 서브시스템들의 예시적인 블록도를 나타낸다.
도 3a ~ 도 3c는 본 발명의 실시예들에 따른 예시적인 DC/RFH 처리 시스템 데이터를 도시한다.
도 4a 및 도 4b는 본 발명의 실시예들에 따른 예시적인 DC/RFH 처리 시스템 데이터를 도시한다.
도 5a 및 도 5b는 본 발명의 실시예들에 따른 DC/RFH 처리 시스템에 대한 예시적인 시뮬레이션 데이터를 도시한다.
도 6은 본 발명의 실시예들에 따른 DC/RFH 처리 시스템에 대한 예시적인 프로세스 데이터와 포괄적인(generic) RF 시스템을 도시한다.
도 7은 본 발명의 실시예들에 따른 DC/RFH 처리 시스템에 대한 실험 절차에 대한 예시적인 셋업(setup)을 도시한다
도 8은 본 발명의 실시예들에 따른 실험적인 DC/RFH 처리 시스템에 대한 예시적인 테스트 구조의 분해 조립도(exploded view)를 도시한다.
도 9는 본 발명의 실시예들에 따른 DC/RFH 처리 시스템에 대한 예시적인 디텍터들을 도시한다.
도 10의 (a) 및 (b)는 본 발명의 실시예들에 따른 예시적인 서브-데바이 덤프-주기 데이터를 도시한다.
도 11은 본 발명의 실시예들에 따른 DC/RFH 처리 시스템에 대한 예시적인 프로세스 데이터를 도시한다.
도 12는 본 발명의 실시예들에 따른 DC/RFH 처리 시스템에 대한 예시적인 발리스틱 전자(BE) 데이터를 도시한다.
도 13은 본 발명의 실시예들에 따른 DC/RFH 처리 시스템에 대한 예시적인 프로세스 결과 데이터를 도시한다.
도 14는 본 발명의 실시예들에 따른 DC/RFH 처리 시스템에 대한 예시적인 저에너지 처리 결과 데이터를 도시한다.
도 15의 (a) 및 (b)는 본 발명의 실시예들에 따른 DC/RFH 처리 시스템에 대한 예시적인 맥스웰의 머지(murge) 데이터를 도시한다.
도 16은 본 발명의 실시예들에 따른 DC/RFH 처리 시스템에 대한 예시적인 발리스틱 전자(BE) 에너지 데이터를 도시한다.
도 17의 (a) 및 (b)는 본 발명의 실시예들에 따른 DC/RFH 처리 시스템에 대한 추가의 예시적인 발리스틱 전자(BE) 에너지 데이터를 도시한다.
도 18은 본 발명의 실시예들에 따른 DC/RFH 처리 시스템에 대한 추가의 예시적인 발리스틱 전자(BE) 에너지 데이터를 도시한다.
도 19는 본 발명의 실시예들에 따른 DC/RFH 처리 시스템에 대한 예시적인 맥스웰의 (열 에너지) 데이터를 도시한다.
도 20a, 도 20b 및 도 20c는 본 발명의 실시예들에 따른 DC/RFH 처리 시스템에 대한 추가의 예시적인 전자 에너지 분포 데이터를 도시한다.
도 21은 본 발명의 실시예들에 따른 파동 에너지의 분포에 대한 예시적인 흐름도를 도시한다.
도 22a 및 도 22b는 본 발명의 실시예들에 따른 DC/RFH 처리 시스템에 대한 파동 불안정성 요약 데이터를 도시한다.
도 23은 본 발명의 실시예들에 따른 일부의 빔-플라즈마 레퍼런스들을 도시한다.
도 24는 본 발명의 실시예들에 따른 란다우(Landau) 및 인버스 란다우 파장들에 대한 예시적인 플라즈마 파동 데이터를 도시힌다.
도 25의 (a), (b) 및 (c)는 본 발명의 실시예들에 따른 DC/RFH 처리 시스템에 대한 추가의 발리스틱 전자(BE) 데이터를 도시한다.
도 26의 (a), (b) 및 (c)는 본 발명의 실시예들에 따른 중간-에너지 피크 데이터를 도시한다.
도 27은 본 발명의 실시예들에 따른 추가의 플라즈마 레퍼런스를 도시한다.
도 28은 본 발명의 실시예들에 따른 예시적인 경계(bounded) 플라즈마 데이터를 도시한다.
도 29는 본 발명의 실시예들에 따른 다른 추가의 플라즈마 레퍼런스를 도시한다.
도 30a 및 도 30b는 본 발명의 실시예들에 따른 정상파 공진(standing wave resonance, SWR) 데이터 및 요약 데이터를 도시한다.
도 31a, 도 31b 및 도 31c는 본 발명의 실시예들에 따른 반응성 이온 에칭(Reactive Ion etch, RIE)에 대한 프로세스 데이터를 도시한다.
도 32는 본 발명의 실시예들에 따른 DC/RFH 처리 시스템에 대한 프로세스 가스 데이터를 도시한다.
도 33은 본 발명의 실시예들에 따른 DC/RFH 처리 시스템에 대한 펄스형(pulsed) RF 데이터를 도시한다.
도 34는 본 발명의 실시예들에 따른 DC/RFH 처리 시스템에 대한 평균 자유 경로(mean free path) 데이터를 도시한다.
도 35는 본 발명의 실시예들에 따른 DC/RFH 처리 시스템을 이용하여 제1 세트의 기판들을 처리하는 방법에 관한 예시적인 흐름도를 나타낸다.
도 36은 본 발명의 실시예들에 따른 DC/RFH 처리 시스템을 사용하여 제1 세트의 기판들을 처리하는 방법에 관한 예시적인 흐름도를 나타낸다.
도 37은 본 발명의 실시예들에 따른 유전체 구조를 이용하는 DC/RFH 처리 시스템을 이용하여 기판들을 처리하는 또 다른 방법에 관한 예시적인 흐름도를 나타낸다.
도 38은 본 발명의 실시예들에 따른 유전체 구조를 이용하는 DC/RFH 처리 시스템을 이용하여 기판들을 처리하는 또 다른 방법에 관한 예시적인 흐름도를 나타낸다.
본 발명은 적어도 하나의 DC/RF 하이브리드(DC/RFH) 처리 시스템과, 관련된 DC/RFH 절차들 및 DC/RFH 프로세스 파라미터들 및/또는 DC/RFH 모델들을 이용하여, 실시간으로 기판들 및/또는 웨이퍼들을 처리하는 장치 및 방법들을 제공한다.
일부 실시예들에 있어서, 장치들과 방법들은 전자기기들을 마련하기 위한 기판과 같은 기판 상에 형성된 다양한 피쳐(feature)들과 주기 구조물들에 대한 프로파일 데이터 및 회절 신호 데이터를 포함하는 계측학(metrology) 라이브러리를 생성 및/또는 사용하도록 제공된다.
하나 이상의 평가 피쳐들이 기판 상의 다양한 위치들에서 제공될 수 있고, DC/RFH 처리 절차들 및 관련된 모델들을 평가 및/또는 확인하기 위해 사용될 수 있다. 기판들은 그것들과 관련된 실시간 및 이력(historical) 데이터를 가질 수 있고, 그 기판 데이터는 DC/RFH 처리 데이터를 포함할 수 있다. 그에 더해, 기판은 그것들과 관련된 다른 데이터를 가질 수 있고, 그 아들 데이터는 기하학적/층 구조 데이터, 요구 사이트들의 개수, 방분 사이트들의 개수, 신뢰 데이터 및/또는 위험 데이터, 또는 평가/확인-관련 데이터, 또는 이것들의 임의의 조합을 포함할 수 있다. 기판들과 관련된 데이터는 기판을 언제 어디에 전송할 것인지를 설정하기 위해 사용할 수 있는 전송 시퀀스 데이터를 포함할 수 있고, 전송 시퀀스들은 작동 상태 데이터를 사용하여 변경될 수 있다.
에칭 마스크 건식 현상 및/또는 에칭 동안에, 건식 플라즈마 프로세스가 이용될 수 있고, 플라즈마는, 무선 주파수(RF) 전력과 같은 결합 전자기(EM) 에너지에 의해 처리 가스로부터, 전자들을 가열하고 후속하는 이온화 및 상기 처리 가스의 원자 및/또는 분자 구성의 해리(dissociation)를 야기하기 위한 처리 가스로 형성된다.
피쳐 크기가 45 nm 이하로 줄어들수록, 기술 노드(technology node), 정밀 처리 및/또는 측정 데이터는 더 중요해지고 획득하기 어려워진다. DC/RFH 처리 절차들은 이들 초미세 기기들 및 피쳐들을 보다 더 정확하게 처리 및/또는 측정하기 위해 사용될 수 있다. DC/RFH 처리 절차로부터의 이 데이터는 경고 및/또는 제어 한계들과 비교될 수 있다. 런-규칙(run-rule)이 위반되는 경우, 알람이 처리 문제를 알리도록 발생될 수 있고, 보정 절차들이 실시간으로 수행될 수 있다.
도 1은 본 발명의 실시예들에 따른 처리 시스템의 예시적인 블록도를 나타낸다. 도시된 실시예에 있어서, 처리 시스템(100)은 리소그래피 서브시스템(110), 노광 서브시스템(120), 에칭 서브시스템(130), 증착 서브시스템(140), 검사 서브 시스템(150), 평가 서브시스템(160), 전송 서브시스템(170), 생산 관리 시스템(manufacturing execution system, MES)(180), 시스템 제어부(190), 및 메모리/데이터베이스(195)를 포함한다. 단일 서브시스템들(110, 120, 130, 140, 150, 160 및 170)은 도시된 실시예에 나타나지만, 본 발명에 대하여 필수적인 것은 아니다. 일부 실시예들에 있어서, 다중 서브시스템들(110, 120, 130, 140, 150, 160, 및 170)은 처리 시스템(100)에서 사용될 수 있다. 예를 들어, 에칭 서브시스템(130)은 하나 이상의 DC/RFH 처리 툴들을 포함할 수 있고, 에칭 서브시스템(130) 내의 DC/RFH 처리 툴들은 하나 이상의 DC/RFH 처리 레시피 및/또는 모델을 수행, 인증, 및/또는 업데이터하기 위하여 사용될 수 있다. 또한, 서브시스템들(110, 120, 130, 140, 150, 160, 170) 중 하나 이상은 상기 DC/RFH 처리 레시피 및/또는 모델과 관련된 추가적인 절차들을 수행하기 위하여 사용될 수 있는 하나 이상의 처리 툴 및/또는 엘리먼트를 포함할 수 있다.
시스템 제어부(190)는, 데이터 전송 서브시스템(191)을 이용하여, 리소그래피 서브시스템(110), 노광 서브시스템(120), 에칭 서브시스템(130), 증착 서브시스템(140), 검사 서브 시스템(150), 평가 서브시스템(160), 및 전송 서브시스템(170)에 연결될 수 있다. 시스템 제어부(190)는 제1 데이터 전송 서브시스템(181)을 이용하여 MES(180)에 연결될 수 있다. 그렇지 않으면, 다른 구성들(configurations)이 이용될 수도 있다. 예를 들어, 에칭 서브시스템(130), 증착 서브시스템(140), 검사 서브 시스템(150)의 일부, 평가 서브시스템(160)의 일부, 및 전송 서브시스템(170)의 일부는 도쿄 엘렉트론 가부시키가이샤(TEL)에서 시판한 서브시스템일 수 있다.
리소그래피 서브시스템(110)은 하나 이상의 반송/저장 엘리먼트(112), 하나 이상의 처리 엘리먼트(113), 하나 이상의 컨트롤러(114), 하나 이상의 평가 엘리먼트(115)를 포함할 수 있다. 하나 이상의 반송/저장 엘리먼트(112)는 하나 이상의 처리 엘리먼트(113) 및/또는 하나 이상의 평가 엘리먼트(115)에 결합될 수 있고, 반송 서브시스템(170)에 결합(111)될 수 있다. 반송 서브시스템(170)은 리소그래피 서브시스템(110)에 결합(111)될 수 있고, 하나 이상의 기판(105)은 반송 서브시스템(170)과 리소그래피 서브시스템(110) 사이에서 결합(111)을 통해 실시간으로 반송될 수 있다. 예컨대, 반송 서브시스템(170)은 하나 이상의 반송/저장 엘리먼트(112), 하나 이상의 처리 엘리먼트(113), 및/또는 하나 이상의 평가 엘리먼트(115)에 결합될 수 있다. 하나 이상의 컨트롤러(114)는 하나 이상의 반송/저장 엘리먼트(112), 하나 이상의 처리 엘리먼트(113), 및/또는 하나 이상의 평가 엘리먼트(115)에 결합될 수 있다. 또는, 리소그래피 서브시스템(110)은 다르게 구성될 수도 있다.
일부 실시예들에서, 리소그래피 서브시스템(110)은 향상된 싱글 패터닝 또는 더블 패터닝 기술을 위해 구성되고 최적화될 수 있는 CLEAN TRACKTM LITHIUS ProTM-i/LITHIUS Pro V-i 도포기/현상기를 포함할 수 있다. 리소그래피 서브시스템(110)은 하나 이상의 기판에 대해 도포 절차, 열처리 절차, 계측 절차, 검사 절차, 정렬 절차, 및/또는 저장 절차를 수행할 수 있다. 예컨대, 하나 이상의 리소그래피 관련 프로세스는 광조사 민감(radiation-sensitive) 물질(포토레지스트) 및/또는 반사 방지 코팅(ARC:Anti Reflective Coating) 물질을 포함하는 하나 이상의 마스크층을 증착하는데 사용될 수 있고, 리소그래피 서브시스템(110)은 하나 이상의 마스크층을 열처리(베이크)하는데 사용될 수 있다. 또한, 리소그래피 서브시스템(110)은 하나 이상의 기판 상의 하나 이상의 패터닝된 마스크층을 현상, 계측, 및/또는 검사하는데 사용될 수 있다.
노광 서브시스템(120)은 하나 이상의 반송/저장 엘리먼트(122), 하나 이상의 처리 엘리먼트(123), 하나 이상의 컨트롤러(124), 하나 이상의 평가 엘리먼트(125)를 포함할 수 있다. 하나 이상의 반송/저장 엘리먼트(122)는 하나 이상의 처리 엘리먼트(123) 및/또는 하나 이상의 평가 엘리먼트(125)에 결합될 수 있고, 반송 서브시스템(170)에 결합(121)될 수 있다. 반송 서브시스템(170)은 노광 서브시스템(120)에 결합(121)될 수 있고, 하나 이상의 기판(105)은 반송 서브시스템(170)과 노광 서브시스템(120) 사이에서 결합(121)을 통해 실시간으로 반송될 수 있다. 예컨대, 반송 서브시스템(170)은 하나 이상의 반송/저장 엘리먼트(122), 하나 이상의 처리 엘리먼트(123), 및/또는 하나 이상의 평가 엘리먼트(125)에 결합될 수 있다. 하나 이상의 컨트롤러(124)는 하나 이상의 반송/저장 엘리먼트(122), 하나 이상의 처리 엘리먼트(123), 및/또는 하나 이상의 평가 엘리먼트(125)에 결합될 수 있다.
일부 실시예들에서, 노광 서브시스템(120)은 습식 및/또는 건식 노광 절차를 수행하는데 사용될 수 있고, 다른 경우에, 노광 서브시스템(120)은 극자외선(EUV: Extreme Ultra Violet) 노광 절차 또는 전자빔 기록 절차를 수행하는데 사용될 수 있다.
에칭 서브시스템(130)은 하나 이상의 반송/저장 엘리먼트(132), 하나 이상의 처리 엘리먼트(133), 하나 이상의 컨트롤러(134), 및 하나 이상의 평가 엘리먼트(135)를 포함할 수 있다. 하나 이상의 반송/저장 엘리먼트(132)는 하나 이상의 처리 엘리먼트(133) 및/또는 하나 이상의 평가 엘리먼트(135)에 결합될 수 있고, 반송 서브시스템(170)에 결합(131)될 수 있다. 반송 서브시스템(170)은 에칭 서브시스템(130)에 결합(131)될 수 있고, 하나 이상의 기판(105)은 반송 서브시스템(170)과 에칭 서브시스템(130) 사이에서 결합(131)을 통해 실시간으로 반송될 수 있다. 예컨대, 반송 서브시스템(170)은 하나 이상의 반송/저장 엘리먼트(132), 하나 이상의 처리 엘리먼트(133), 및/또는 하나 이상의 평가 엘리먼트(135)에 결합될 수 있다. 하나 이상의 컨트롤러(134)는 하나 이상의 반송/저장 엘리먼트(132), 하나 이상의 처리 엘리먼트(133), 및/또는 하나 이상의 평가 엘리먼트(135)에 결합될 수 있다. 예컨대, 하나 이상의 처리 엘리먼트(133)은 플라즈마 또는 비플라즈마 에칭, 애싱(ashing), 및/또는 세정 절차, 또는, 플라즈마 또는 비플라즈마 에칭 절차를 수행하는데 사용될 수 있는 (DC/RFH) 처리 시스템을 포함할 수 있다. 평가 절차 및/또는 검사 절차는 기판들의 하나 이상의 표면 및/또는 층을 계측 및/또는 검사하는데 사용될 수 있다.
증착 서브시스템(140)은 하나 이상의 반송/저장 엘리먼트(142), 하나 이상의 처리 엘리먼트(143), 하나 이상의 컨트롤러(144), 및 하나 이상의 평가 엘리먼트(145)를 포함할 수 있다. 하나 이상의 반송/저장 엘리먼트(142)는 하나 이상의 처리 엘리먼트(143) 및/또는 하나 이상의 평가 엘리먼트(145)에 결합될 수 있고, 반송 서브시스템(170)에 결합(141)될 수 있다. 반송 서브시스템(170)은 증착 서브시스템(140)에 결합(141)될 수 있고, 하나 이상의 기판(105)는 반송 서브시스템(170)과 증착 서브시스템(140) 사이에서 결합(141)을 통해 실시간으로 반송될 수 있다. 예컨대, 반송 서브시스템(170)은 하나 이상의 반송/저장 엘리먼트(142), 하나 이상의 처리 엘리먼트(143), 및/또는 하나 이상의 평가 엘리먼트(145)에 결합될 수 있다. 하나 이상의 컨트롤러(144)는 하나 이상의 반송/저장 엘리먼트(142), 하나 이상의 처리 엘리먼트(143), 및/또는 하나 이상의 평가 엘리먼트(145)에 결합될 수 있다. 예컨대, 하나 이상의 처리 엘리먼트(143)는 물리 기상 증착(PVD) 절차, 화학 기상 증착(CVD) 절차, 이온화 물리 기상 증착(iPVD) 절차, 원자층 증착(ALD) 절차, 플라즈마 원자층 증착(PEALD) 절차, 및/또는 플라즈마 화학 기상 증착(PECVD) 절차를 수행하는데 사용될 수 있다. 평가 절차 및/또는 검사 절차는 기판들의 하나 이상의 표면들을 계측 및/또는 검사하는데 사용될 수 있다.
검사 서브시스템(150)은 하나 이상의 반송/저장 엘리먼트(152), 하나 이상의 처리 엘리먼트(153), 하나 이상의 컨트롤러(154), 및 하나 이상의 검사 엘리먼트(155)를 포함할 수 있다. 하나 이상의 반송/저장 엘리먼트(152)는 하나 이상의 처리 엘리먼트(153) 및/또는 하나 이상의 검사 엘리먼트(155)에 결합될 수 있고, 반송 서브시스템(170)에 결합(151)될 수 있다. 반송 서브시스템(170)은 검사 서브시스템(150)에 결합(151)될 수 있고, 하나 이상의 기판(105)은 반송 서브시스템(170) 및 검사 서브시스템(150) 사이에서 결합(151)을 통해 실시간으로 반송될 수 있다. 예컨대, 반송 서브시스템(170)은 하나 이상의 반송/저장 엘리먼트(152), 하나 이상의 처리 엘리먼트(153), 및/또는 하나 이상의 검사 엘리먼트(155)에 결합될 수 있다. 하나 이상의 컨트롤러(154)는 하나 이상의 반송/저장 엘리먼트(152), 하나 이상의 처리 엘리먼트(153), 및/또는 하나 이상의 검사 엘리먼트(155)에 결합될 수 있다.
평가 서브시스템(160)은 하나 이상의 반송/저장 엘리먼트(162), 하나 이상의 계측 엘리먼트(163), 하나 이상의 컨트롤러(164), 및 하나 이상의 검사 엘리먼트(165)를 포함할 수 있다. 하나 이상의 반송/저장 엘리먼트(162)는 하나 이상의 계측 엘리먼트(163) 및/또는 하나 이상의 검사 엘리먼트(165)에 결합될 수 있고, 반송 서브시스템(170)에 결합(161)될 수 있다. 반송 서브시스템(170)은 평가 서브시스템(160)에 결합(161)될 수 있고, 하나 이상의 기판(105)은 반송 서브시스템(170)과 평가 서브시스템(160) 사이에서 결합(161)을 통해 실시간으로 반송될 수 있다. 예컨대, 반송 서브시스템(170)은 하나 이상의 반송/저장 엘리먼트(162), 하나 이상의 계측 엘리먼트(163), 및/또는 하나 이상의 검사 엘리먼트(165)에 결합될 수 있다. 하나 이상의 컨트롤러(164)는 하나 이상의 반송/저장 엘리먼트(162), 하나 이상의 계측 엘리먼트(163), 및/또는 하나 이상의 검사 엘리먼트(165)에 결합될 수 있다. 평가 서브시스템(160)은 하나 이상의 계측 엘리먼트(163)을 포함할 수 있고, 계측 엘리먼트(163)는 라이브러리 기반(library-based) 또는 회귀 기반(regression-based) 기술을 이용하여 기판 상의 하나 이상의 위치에서의 타겟 구조물들을 계측하는데 사용되는 실시간 광학 평가 절차를 수행하는데 사용될 수 있다. 예컨대, 기판(105) 상의 위치들은 경화 관련(curing-related) 위치, 타겟 위치, 오버레이 위치, 정렬 위치, 계측 위치, 검증 위치, 검사 위치, 또는 손상 평가 위치, 또는 이들의 조합을 포함할 수 있다. 예컨대, 하나 이상의 "금 기판(golden substrate)" 또는 참조 칩이 저장되고, 하나 이상의 계측 엘리먼트(163) 및/또는 하나 이상의 검사 엘리먼트(165)의 성능을 검증하는데 주기적으로 사용될 수 있다.
일부 실시예들에서, 평가 서브시스템(160)은 통합 광학 디지털 프로파일로메트리(iODP:integrated Optical Digital Profilometry) 엘리먼트(미도시)를 포함할 수 있고, iODP 엘리먼트/시스템은 Timbre Techonologies 주식회사(TEL사)로부터 사용 가능하다. 또는, 다른 계측 시스템 및/또는 검사 시스템이 사용될 수 있다. 예컨대, iODP 기술은 임계 치수(CD:Critical Dimension) 데이터, 기하학 구조/층 구조 데이터, 및 두께 데이터를 포함하는 실시간 데이터를 얻는데 사용될 수 있고, iODP 데이터에 대한 파장은 대략 200 nm 미만으로부터 900 nm 초과하는 범위를 가질 수 있다. 예시적인 iODP 엘리먼트는 ODP 프로파일러 라이브러리 엘리먼트, 프로파일러 애플리케이션 서버(PAS) 엘리먼트, 및 ODP 프로파일러 소프트웨어 엘리먼트를 포함할 수 있다. ODP 프로파일러 라이브러리 엘리먼트는 광학 스펙트럼과 이에 대응하는 반도체 프로파일, 임계 치수, 및 막두께의 애플리케이션 특유(application specific) 데이터베이스 엘리먼트를 포함할 수 있다. PAS 엘리먼트는 광학 하드웨어 및 컴퓨터 네트워크와 접속하는 적어도 하나의 컴퓨터를 포함할 수 있다. PAS 엘리먼트는 데이터 통신, ODP 라이브러리 동작, 계측 프로세스, 결과 생성, 결과 분석 및 결과 출력을 제공하도록 구성될 수 있다. ODP 프로파일러 소프트웨어 엘리먼트는 계측 레시피, ODP 프로파일러 라이브러리 엘리먼트, ODP 프로파일러 데이터, ODP 프로파일러 서치/매치 결과, ODP 프로파일러 계산/분석 결과, 데이터 통신, 및 다양한 계측 엘리먼트와 컴퓨터 네트워크간의 PAS 인터페이스를 관리하기 위해 PAS 엘리먼트에 설치된 소프트웨어를 포함할 수 있다.
평가 서브시스템(160)은 기판의 정확한 소자 프로파일, 정확한 임계 치수, 다층의 막두께를 계측하기 위해 편광 반사계, 분광 타원계측기, 반사계, 또는 다른 광학 계측 기술을 사용할 수 있다. 통합 계측 프로세스(iODP)는 서브시스템들의 하나의 통합 그룹에서 통합 프로세스로서 실행될 수 있다. 또한, 통합 프로세스는 분석을 수행하거나 긴 시간 동안 외부 시스템들로부터 데이터를 기다리기 위해 기판을 정지시킬 필요가 없도록 한다. iODP 기술은 인라인(inline) 프로파일 및 임계 치수 계측을 위한 기존의 박막 계측 시스템과 함께 사용될 수 있고, TEL 처리 시스템 및/또는 리소그래피 시스템과 통합되어 실시간 처리 모니터링 및 제어를 제공할 수 있다. 맥스웰 방정식을 적용하고 맥스웰 방정식의 풀이를 위해 수치 해석 기술을 사용함에 의해 시뮬레이션된 계측 데이터가 생성될 수 있다.
반송 서브시스템(170)은 반송 트랙(175,176,177)에 결합되는 반송 엘리먼트(174)를 포함할 수 있고, 반송 트랙(175,176,177)은 기판의 수용, 반송, 정렬, 저장, 및/또는 지연에 사용될 수 있다. 예컨대, 반송 엘리먼트(174)는 2개 이상의 기판을 지지할 수 있다. 또는, 다른 반송 수단이 사용될 수 있다. 반송 서브시스템(170)은 DC/RFH 처리 절차, DC/RFH 관련 처리 시퀀스, 반송 시퀀스, 동작 상태, 기판 및/또는 처리 상태, 처리 시간, 현재 시간, 기판 데이터, 기판 상의 위치들의 개수, 기판 상의 위치들의 종류, 요구되는 위치의 개수, 완료된 위치들의 개수, 남은 위치들의 개수, 신뢰 데이터(confidence data) 또는 이들의 조합에 기초하여, 기판을 로딩, 반송, 저장 및/또는 언로딩할 수 있다.
일부 실시예들에서, 반송 서브시스템(170)은 로딩 데이터를 사용하여 기판을 언제 어디로 반송할지를 결정할 수 있다. 다른 실시예들에서, 반송 시스템은 DC/RFH 처리 데이터를 이용하여 기판을 언제 어디로 반송할지를 결정할 수 있다. 또는, 다른 절차들이 사용될 수 있다. 예컨대, 제1 개수의 기판들의 수가 사용가능한 제1 개수의 처리 엘리먼트들의 수 이하인 경우, 제1 개수의 기판들은 반송 서브시스템(170)을 이용하여 하나 이상의 서브시스템들에서 제1 개수의 사용가능한 처리 엘리먼트들로 반송될 수 있다. 제1 개수의 기판들의 수가 사용가능한 제1 개수의 처리 엘리먼트들의 수보다 큰 경우에, 기판들 중 일부는 하나 이상의 반송/저장 엘리먼트(112, 122, 132, 142, 152, 162) 및/또는 반송 서브시스템(170)을 이용하여 저장 및/또는 지연될 수 있다.
또한, 하나 이상의 서브 시스템(110, 120, 130, 140, 150, 160, 170)들은 리소그래피 관련 공정들, 노광 관련 공정들, 조사 관련 공정들, 측정 관련 공정들, 평가 관련 공정들, 에칭 관련 공정들, 증착 관련 공정들, 열처리 공정들, 코팅 관련 공정들, 정렬 관련 공정들, 폴리싱 관련 공정들, 저장 관련 공정들, 이송 공정들, 클리닝 관련 공정들, 재가공(rework) 관련 공정들, 산화 관련 공정들, 질화 관련 공정들, 외부 처리 요소들, 및 이들의 조합을 수행할 때 사용될 수 있다.
서브 시스템(110, 120, 130, 140, 150, 160, 170)들에는 가동 상태 데이터가 형성될 수 있으며, 가동 상태 데이터는 DC/RFH 처리 공정들에 의해 사용 및/또는 업데이트될 수 있다. 또한, 가동 상태 데이터는 이송/저장 요소들(112, 122, 132, 142, 152, 162), 처리 요소들(113, 123, 133, 143, 153, 163), 및 평가 요소(115, 125, 135, 145, 155, 165)를 포함할 수 있고, DC/RFH 처리 공정들에 의해 업데이트될 수 있다. 예를 들어, 처리 요소들에 대한 가동 상태 데이터는, 처리 요소들에 대한 유효 데이터 및 매칭 데이터와, 특정 처리 단계들 및/또는 처리 장소들에 대해 기대되는 처리 시간과, 처리 요소들에 대한 산출 데이터, 신뢰 데이터 및/또는 위험 데이터와, 하나 이상의 DC/RFH 처리 공정들에 대한 신뢰 데이터 및/또는 위험 데이터를 포함할 수 있다. 업데이트된 가동 상태들은 하나 이상의 처리 요소들 및/또는 하나 이상의 서브 시스템들을 실시간으로 조회함으로써 얻어질 수 있다. 업데이트된 로딩 데이터는 하나 이상의 이송 요소들 및/또는 하나 이상의 이송 서브 시스템들을 실시간으로 조화함으로써 얻어질 수 있다.
하나 이상의 컨트롤러들(114, 124, 134, 144, 154, 164)은 데이터 이송 서브 시스템(191)을 사용하여 시스템 컨트롤러(190) 및/또는 각각의 컨트롤러들(114, 124, 134, 144, 154, 164)끼리 결합될 수 있다. 또는, 다른 결합용의 구성들도 사용될 수 있다. 상기 컨트롤러들은 직렬 및/또는 병렬로 결합될 수 있으며, 하나 이상의 입력 포트들 및/또는 하나 이상의 출력 포트들을 가질 수 있다. 예를 들어, 상기 컨트롤러들은 하나 이상의 코어 처리 요소들을 갖는 마이크로 프로세서들을 포함할 수 있다.
또한, 서브 시스템들(110, 120, 130, 140, 150, 160, 170)은 인트라넷, 인터넷, 유선 및/또는 무선 연결을 통해 서로 결합되거나, 다른 장치들에 결합될 수 있다. 컨트롤러들(114, 124, 134, 144, 190)은 필요시에 외부 장치들과 결합될 수도 있다.
하나 이상의 컨트롤러들(114, 124, 134, 144, 154, 164, 190)은 실시간 DC/RFH 처리 공정들을 수행할 때 사용될 수 있다. 컨트롤러는 DC/RFH 처리 모델로부터 실시간 데이터를 수신하여, 서브 시스템, 처리 요소, 처리, 레시피, 프로파일, 이미지, 패턴, 시뮬레이션, 시퀀스 데이터 및/또는 모델 데이터를 업데이트할 수 있다. 하나 이상의 컨트롤러들(114, 124, 134, 144, 154, 164, 190)은 MES(Manufacturing Execution Systems)(180) 또는 다른 미도시된 시스템들과 하나 이상의 SECS(Semiconductor Equipment Communications Standard) 메시지들을 교환하거나, 정보를 읽기 및/또는 제거하거나, 정보를 피드포워드 및/또는 피드백하거나, 정보를 SECS 메시지로서 송신하는데에 사용될 수 있다. 하나 이상의 포맷된 메시지들은 컨트롤러들 사이에 교환될 수 있으며, 상기 컨트롤러들은 실시간으로 메시지들을 처리하여 새로운 데이터를 추출할 수 있다. 새로운 데이터가 생성되면, 새로운 데이터는 기판 및/또는 로트에 현재 사용되고 있는 모델 및/또는 공정을 실시간으로 업데이트 하는데에 사용될 수 있다. 예를 들어, 현재의 레이아웃이 검사되기 전에 모델 및/또는 공정이 업데이트된 경우, 현재의 레이아웃은 업데이트된 모델 및/또는 공정을 사용하여 검사될 수 있다. 현재의 레이아웃이 처리되기 전에 업데이트가 수행될 수 없는 경우, 현재의 레이아웃은 업데이트되지 않은 모델 및 또는 공정을 사용하여 검사될 수 있다. 또한, 포맷된 메시지들은 레지스트(resist)들이 변경되거나, 레지스트 모델들이 변경되거나, 처리 시퀀스들이 변경되거나, 디자인 규칙이 변경되거나, 레이아웃들이 변경되는 경우 사용될 수 있다.
특정한 예들에 있어서, MES(180)는 서브 시스템 및/또는 시스템 처리들을 실시간으로 감시하기 위해 구비될 수 있으며, 공장 단계에서의 조정 및/또는 판단 규칙들은 어떤 처리들이 감시되고 있고, 어떤 데이터가 사용될 수 있는지를 결정하기 위해 사용될 수 있다. 예를 들어, 공장 단계에서의 조정 및/또는 판단 규칙들은 DC/RFH 처리 오류 조건이 발생하는 경우에, 데이터를 관리하기 위한 방법을 결정하기 위해 사용될 수 있다. MES(180)은 모델링 데이터, 처리 시퀀스 데이터 및/또는 기판 데이터를 제공할 수도 있다.
또한, 컨트롤러들(114, 124, 134, 144, 154, 164, 190)은 필요시에 메모리(미도시)를 포함할 수 있다. 예를 들어, 메모리(미도시)는 정보를 저장하거나, 컨트롤러들에 의한 명령을 실행하기 위해 사용될 수 있으며, 처리 시스템(100) 내의 다양한 컴퓨터들/프로세서들의 명령들의 실행 과정에서 발생할 수 있는 임시 변수나 다른 중간적인 정보들을 저장하는 데에 사용될 수도 있다. 하나 이상의 컨트롤러들(114, 124, 134, 144, 154, 164, 190)이나 다른 시스템 구성 요소들은 데이터 및/또는 명령들을 컴퓨터 가독성 매체로부터 읽어들이기 위한 수단을 포함할 수 있으며, 컴퓨터 가독성 매체에 데이터 및/또는 명령들을 기록하기 위한 수단을 구비할 수도 있다.
처리 시스템(100)은, 메모리 및/또는 수신된 메시지 내에 저장되어 있는 하나 이상의 명령들의 하나 이상의 시퀀스들을 실행하는 처리 시스템(100) 내의 컴퓨터들/프로세서들에 응답하여 본 발명의 처리 단계들의 일부 또는 전부를 실행할 수 있다. 이러한 명령들은 다른 컴퓨터, 컴퓨터 가독성 매체, 또는 네트워크 연결에 의해 수신될 수도 있다.
일 실시예에 있어서, 집적 시스템은 TEL(Tokyo Electron Limited)로부터의 시스템 구성 요소들을 사용하여 구비될 수 있으며, 그 외로부터의 서브 시스템 및/또는 툴(tool)들도 포함될 수 있다. 예를 들어, 측정 요소는 CDSEM(CD-Scanning Electron Microscopy) 시스템, TEM(Transmission Electron Microscopy) 시스템, FIB(focused ion beam) 시스템, ODP(Optical Digital Profilometry) 시스템, AFM(Atomic Force Microscope) 시스템, 및 다른 조사 시스템을 포함하는 것으로 제공될 수 있다. 서브 시스템들 및/또는 처리 요소들은 상이한 인터페이스 요구 조건을 가질 수 있으며, 상기 컨트롤러들은 이러한 상이한 인터페이스 요구 조건들을 만족하도록 구비될 수 있다.
하나 이상의 서브 시스템들(110, 120, 130, 140, 150, 160, 170)은 컨트롤 응용 프로그램, GUI(Graphical User Interface) 응용 프로그램, 및/또는 데이터베이스 응용 프로그램을 실행할 수 있다. 또한, 하나 이상의 서브 시스템들(110, 120, 130, 140, 150, 160, 170) 및/또는 컨트롤러들(114, 124, 134, 144, 154, 164, 190)은 DOE(Design of Experiment) 응용 프로그램, APC(Advanced Process Control) 응용 프로그램, FDC(Fault Detection and Classification) 응용 프로그램, 및/또는 R2R(Run-to-Run) 응용 프로그램을 포함할 수 있다.
DC/RFH 처리 공정들로부터의 출력 데이터 및/또는 메시지들은 처리의 정확성 및 정밀성을 최적화하기 위해 후속 공정들에 사용될 수 있다. 데이터는 실시간 변수 파라미터들, 최우선(overriding)의 현재 모델 값들, 및 산출된 DOE 테이블들로서 DC/RFH 처리 공정들에 실시간으로 전달될 수 있다. 실시간 데이터는 라이브러리 기반 시스템, 회귀 기반 시스템, 또는 이들의 조합들과 함께 DC/RFH 처리 공정들을 최적화하기 위해 사용될 수 있다.
라이브러리 기반 처리가 사용되는 경우, 라이브러리 내의 DC/RFH 처리 데이터는 DC/RFH 처리 공정들, 레시피들, 프로파일들, 및/또는 모델들을 사용하여 생성 및/또는 향상될 수 있다. 예를 들어, 라이브러리 내의 DC/RFH 처리 데이터는 시뮬레이팅 및/또는 측정된 DC/RFH 처리 데이터와, 처리 시퀀스 데이터에 대응하는 세트들을 포함할 수 있다. 라이브러리 기판 처리들은 실시간으로 실행될 수 있다. 라이브러리에 대한 DC/RFH 처리 데이터를 생성하기 위한 다른 방법으로는, MLS(Machine Learning System)를 포함하는 방법을 사용할 수 있다. 예를 들어, DC/RFH 처리 데이터를 생성하기에 앞서, 상기 MLS는 이미 알고 있는 입력 및 출력 데이터를 사용하여 습득될 수 있으며, 상기 MLS는 DC/RFH 처리 데이터의 서브 세트들을 사용하여 습득될 수 있다.
DC/RFH 처리 공정들은 매칭 상황에 처했을 때에 실행될 수 있는 조정 및/또는 판단 규칙들을 포함할 수 있다. 조정 및/또는 판단 규칙 및/또는 제한 조건들은 종래의 방법들, 고객의 경험, 처리 지식, 또는 호스트 컴퓨터 등에 기반하여 형성될 수 있다. 규칙들은 비상 조건들, 오류 조건들, 장애 조건들, 및/또는 경고 조건들에 응답하기 위한 방법을 결정하기 위해 FDC(Fault Detection and Classfication) 공정들에서 사용될 수 있다. 규칙-기반 FDC 공정들은 장애들을 서열화 및/또는 분류하거나, 시스템의 성능을 예상하거나, 예방을 위한 유지보수 스케줄을 예상하거나, 고장 시간을 감소시키거나, 시스템 내의 소비적인 부분들의 수명을 연장시킬 수 있다. 비상/장애에 대한 응답으로 다양한 동작들이 실시될 수 있으며, 비상/장애에 따른 동작들은 상황에 기반한 동작들일 수 있고, 상황(context) 데이터는 규칙, 시스템/처리 레시피, 챔버 종류, 인식 번호, 로드 포트 번호, 카세트 번호, 로트 번호, 컨트롤 직업 ID, 처리 직업 ID, 슬롯 번호, 및/또는 데이터 종류에 의해 지정될 수 있다.
성공적이지 못한 DC/RFH 처리 공정들은 하나 이상의 제한 조건들이 초과되는 경우 실패를 보고할 수 있으며, 성공적인 공정들도 제한 조건들에 근접되는 경우 경고 메시지들을 생성할 수 있다. 공정 오류에 대해 미리 지정된 실패 동작들은 데이터베이스 내에 저장될 수 있으며, 오류가 발생하는 경우 상기 데이터베이스로부터 검색될 수 있다. 예를 들어, 측정 공정이 실패하는 경우, DC/RFH 처리 공정들은 기판의 하나 이상의 위치에 대한 데이터를 거부할 수 있다.
DC/RFH 처리 공정들은 상이한 시간 및/또는 위치에서의 고립(이격되어 위치하거나, 최소 이격 치수를 초과하지 않도록 위치하는 피쳐들) 및/또는 집합(근접하여 위치하거나, 최소 이격 치수 내에 위치하는 피쳐들)된 구조들을 생성, 변형, 및/또는 평가하기 위해 사용될 수 있다. 예를 들어, 고립 및/또는 집합된 구조들에 근접한 게이트 스택 치수 및 기판 두께 데이터는 상이할 수 있으며, 오픈 영역 및/또는 트렌치 어레이 영역들에 근접한 게이트 스택 치수들 및 기판 두께 데이터는 상이할 수 있다. DC/RFH 처리 공정에 의해 생성된 상기 피쳐들은 에칭된 고립 및/또는 집합된 구조들에 최적화된 피쳐들 및/또는 구조들을 생성하기 위해 차후에 사용될 수 있다.
DC/RFH 처리 공정들이 실행되기 전에, 하나 이상의 교정 및/또는 경화 공정들이 방사에 민감한 물질(포토레지스트)로 형성된 필름을 강화하고, 최적의 폴리머들을 제공하고, 공정 가스의 분해를 억제하기 위해 사용될 수 있다. 따라서, 방사에 민감한 물질(포토레지스트)의 표면 거칠기는 감소할 수 있다. 또한, 방사에 민감한 물질(포토레지스트)로 형성된 필름에 형성된 오픈 영역의 CD가 팽창하는 것을 방지할 수 있고, 이에 따라 패턴을 높은 정확도로 형성할 수 있다. 특히, 이러한 효과들은 DC 전압을 상술한 세가지 기능들, 예를 들어, 스퍼터링 기능, 플라즈마 최적화 기능, 및 전자 제공 기능을 적절히 수행하도록 제어함으로써 더욱 촉진될 수 있다.
도 2a 내지 도 2d는 본 발명의 실시예에 따른 에칭 서브 시스템의 일례로서의 블록 다이어그램이다.
일 실시예에 있어서, 에칭 서브 시스템들은 TEL(Tokyo Electron Limited)의 TactrasTM VigusTM 300mm 에칭 시스템을 포함할 수 있다. TactrasTM VigusTM 시스템은 고비율(high aspect ratio, HAR) 에칭 공정들, 고비율 콘텍트(high aspect ratio contact, HARC) 에칭 공정들, 및 고급(advanced) 다마신 집적 설계 중에서 탁월한 성능을 제공하며, 더 높은 에칭 속도, 더 균일한 에칭 속도, 탁월한 CD 제어, 및 새로운 스톱 레이어 필름들에 대한 높은 선택성을 제공한다. 예를 들어, TactrasTM VigusTM 300mm 에칭 시스템은 최고의 생산성 및 사용 용이성을 위해 적어도 6개의 챔버들을 포함할 수 있다. 다른 실시예에서는, 에칭 서브 시스템들이 TEL의 에칭 시스템과는 다른 에칭 서브 시스템들을 포함할 수도 있다.
도 2a에는 제1 예로서의 DC/RFH 처리 시스템(200A)이 도시되어 있고, 도시된 DC/RFH 처리 시스템(200A)은 프로세스 챔버(210)와, 피처리 기판(205)이 놓여지는 기판 홀더(220)와, RF 생성 장치(230)와, DC 공급 서브 시스템(240)과, 가스 공급 서브 시스템(260)과, 상측 어셈블리(265)와, 압력 제어 서브 시스템(285)과, 컨트롤러(290)을 포함한다. 예를 들어, 기판 홀더(220)는 프로세스 챔버(210)에 결합되며, 베이스(229)에 의해 프로세스 챔버(210)와 절연될 수 있다. 기판(205)은 반도체 웨이퍼, 태양광 패널, 플라즈마 스크린, 제조 공정에 있는 가공품(work piece), 또는 LCD 일 수 있다. 상측 어셈블리(265)는 DC 공급 서브 시스템(240)과 결합할 수 있는 DC 전극(245)을 포함할 수 있으며, 상기 DC 전극(245)의 DC 바이어스는 대략 -10kV 내지 +10kV로 변화할 수 있다. 상측 어셈블리(265)가 DC 전극(245)을 포함하는 경우, DC 전극(245)은 상측 어셈블리(265) 내의 다른 요소들에 대해 고립되어 있을 수 있다.
DC/RFH 절차가 이루어지는 동안, 처리 챔버(210)는 기판(205) 표면 근처의 처리 영역(206)에서 플라즈마가 원활하게 생성되도록 구성되고, 플라즈마는 발리스틱 전자(BEs) 및 이온화 가능 가스 사이의 충돌을 거쳐 형성될 수 있다. 이온화 가능 가스 및 그 혼합 가스는 가스 분사 서브 시스템(260)으로부터 처리 영역(206)에 도입될 수 있고, 챔버 압력은 압력 제어 서브 시스템(285)을 이용하여 조절할 수 있다
가스 공급 서브 시스템(260)은, 유량 제어 장치 및/또는 유량 측정 장치를 포함할 수 있는 하나 이상의 피드 스루 요소(feedthrough element,261)에 연결될 수 있고, 피드 스루 요소(261)는 하나 이상의 가스 공급 요소(262)에 연결될 수 있다. 가스 공급 요소(262)는 유량 제어 장치 및/또는 유량 측정 장치를 포함할 수 있는 하나 이상의 가스 배분 요소(266,267)에 연결될 수 있고, 상측 어셈블리(265)는, 하나 이상의 중앙 가스 유동 요소(266)를 포함할 수 있고, 하나 이상의 외부 가스 유동 요소(267)를 포함할 수 있다. 예를 들어, 중앙 가스 유동 요소(266) 및 외부 가스 유동 요소(267)는 정확한 혼합 처리 가스를 처리 영역(206)에 제공할 수 있다. 또한, 플라즈마는 소정의 재료 공정에 특화된 재료를 생성하는 데에 그리고 기판(205)에 재료를 증착시키거나 기판(205)의 노출면으로부터 재료를 제거하는 데에 이용될 수 있다. 예를 들어, 컨트롤러(255)가 진공 펌프 시스템(286) 및 가스 분사 시스템(260)을 제어하는 데에 사용될 수 있다.
기판(205)은, 기판 홀더(220) 내에 수용되고 그 안에 수용된 수단에 의해 기계적으로 이동되는 기판 승강 핀(미도시)에 의해, 기판이 전달되는 로봇 전달 시스템(미도시)을 거쳐, 슬롯 밸브(209)를 통해 처리 챔버(210) 안으로 및 처리 챔버(210) 밖으로 전달될 수 있다. 기판(205)이 전달 시스템으로부터 도착된 후, 기판은 기판 홀더(220)의 상부면으로 하강될 수 있다.
기판 홀더는 하나 이상의 열 제어 서브 시스템(222)에 연결될 수 있는 하나 이상의 열 제어 요소(223)를 포함할 수 있다. 예를 들어, 열 제어 요소(223)는 저항 가열 요소 및/또는 열전 히터/쿨러를 포함할 수 있다. 기판 홀더(220)는 후면 가스 공급 시스템(226)에 연결될 수 있는 하나 이상의 듀얼(중앙/가장자리) 후면 가스 요소(225)를 포함할 수 있고, 가스는 기판(205)의 후면에 전달되어 기판(205)과 기판 홀더(220) 사이의 가스-갭 열 전도성을 향상시킬 수 있다. 기판(205)은 기판 홀더(220)에 구성되어 있는 정전 클램프 시스템(228) 및 정전 클램프 전극(227)을 통해 기판 홀더(220)에 고정될 수 있다. 듀얼(중앙/가장자리) 후면 가스 시스템은, 올라간 또는 내려온 온도에서 기판의 추가 온도 제어가 필요할 때 이용될 수 있다. 예를 들어, 기판의 온도 제어는, 플라즈마로부터 기판(205)에 전달된 열 플럭스 및 기판 홀더(220)로의 전도에 의해 기판(205)으로부터 제거되는 열 플럭스의 균형으로 인해 성취된 정상(steady-state) 온도를 초과한 온도에서, 유용할 수 있다.
도 2a에서 도시된 바와 같이, 기판 홀더(220)는 하부 전극(221)을 포함할 수 있는데, 하부 전극을 통해 RF(Radio Frequency) 전력이 처리 영역(206)에서 플라즈마에 결합될 수 있다. RF 전력은 약 0 와트로부터 약 20000 와트의 범위에 위치할 수 있다. 예를 들어, 하부 전극(221)은, RF 생성기(230)로부터 임피던스 매치 네트워크(232)를 통해 하부 전극(221)에까지 RF 전력의 전달을 거쳐, RF 전압에서 전기적으로 바이어스될 수 있다. RF 바이어스는 전자를 가열하여 플라즈마를 형성 및 유지하는 데에 도움을 줄 수 있다. RF 바이어스에 대한 전형적인 주파수는 1 MHZ 내지 100MHz 사이에 위치할 수 있고, 바람직하게는 13.56 MHz이다. 또한, RF 생성기(230)는 임피던스 매치 네트워크(232)를 통해 하부 전극(221)에 다중 주파수를 제공할 수 있는 추가적인 RF 소스를 포함할 수 있다. 또한, 임피던스 매치 네트워크(232)는, 반사 전력을 최소화함으로써 처리 챔버(210)에서 RF 전력이 플라즈마로 전달되는 것을 적정화 및/또는 최대화하는 데에 도움을 준다. 다양한 매치 네트워크 토폴러지(match network topology) 및 자동 제어 방법이 사용될 수 있다.
도 2a를 참조하여 계속 설명하면, 처리 가스가, 중앙 가스 유동 요소(266) 및 외부 가스 유동 요소(267)를 이용하여 가스 분사 서브 시스템(260)으로부터 처리 영역의 하나 이상의 지역에 도입될 수 있다. 또는, 다른 대안적 구성이 사용될 수도 있다. 처리 가스는 예를 들어, 아르곤, 테트라플루오르메탄(CF4) 및 산소(O2)의 가스 혼합물 또는 산화 에칭 애플리케이션(oxide etch application)으로서 아르곤(Ar), C4F8 및 O2의 가스 혼합물과 같은 가스 혼합물을 포함할 수 있고, 또는 다른 화학 물질, 예를 들어 O2/Co/Ar/C4F8, O2/Co/Ar/C5F8, O2/Co/Ar/C4F6, O2/Ar/C4F6, N2/H2, 수화 브롬(HBr)과 같은 것들을 포함할 수 있다. 피드 스루 요소(261), 가스 공급 요소(262), 중앙 가스 유동 요소(266) 및 외부 가스 유동 요소(267)는 오염 물질이 기판(205)에 도입되는 것을 감소 또는 최소화시키도록 구성될 수 있고, 필요한 필터를 포함할 수 있다. 예를 들어, 중앙 가스 유동 요소(266) 및 외부 가스 유동 요소(267)는 처리 영역(206)의 다른 영역에 다른 유량을 제공할 수 있고, 처리 영역(206)의 다른 영역에 다른 처리 가스를 제공할 수 있다. 다른 실시예에서는, 가스 공급 시스템(260)과 관련된 플라즈마 종(plasma species)이, 아르곤(Ar), CF4, F2, C4F8, CO, C5F8, C4F6, CHF3,N2/H2,또는 HBr 또는 이들 중 둘 이상의 조합을 포함할 수 있다. 중앙 가스 유동 요소(266) 및 외부 가스 유동 요소(267)는 처리 영역(206)의 다른 영역에 다른 유량을 제공할 수 있고, 유량은 약 0 sccm(분당 표준 입방 센티미터 내지 1000 sccm 사이에서 변할 수 있다.
압력 제어 서브 시스템(285)은, 펌프 속도를 초당 5000 리터(및 그 이상)로 할 수 있는 터보 분자 진공 펌프(TMP)와 같은 진공 펌프 시스템(286), 그리고 챔버 압력을 제어하기 위한 게이트 밸브(287)를 포함할 수 있다. 종래의 건식 플라즈마 에칭 공정에서 사용되던 플라즈마 처리 장치에서는, 통상 초당 1000~3000 리터의 TMP가 사용되었다. TMP는 저압 공정에서, 특히 50 mTorr 미만에서 유용하다. 고압에서는, TMP 펌핑 속도가 현격하게 저하한다. 고압 공정(예를 들어 100mTorr 초과)에 대해서는, 기계적 부스터 펌프 및 건식 러핑 펌프(roughing pump)가 사용될 수 있다. 또한, 챔버 압력(미도시)을 모니터링하기 위한 장치가, 처리 챔버(210)에 연결될 수 있다. 압력 측정 장치는, 예를 들어 MKS 인스트루먼트 사(앤도버, 매사추세츠)에서 시판한 628B형 바라트론 정전용량형 절대 압력계일 수 있다.
도 2a에서 도시된 바와 같이, DC/RFH 처리 시스템(200A)은, 출력 데이터를 얻기 위해 처리 챔버(210)에 연결되는 하나 이상의 센서(250), 그리고 출력 데이터를 받기 위해 센서(250)에 연결되는 컨트롤러(290)를 포함할 수 있다. 센서(250)는 슈퍼 데바이 트랩 주기 디텍터, 서브 데바이 덤프 주기 디텍터, 및/또는 전자 에너지 분포 기능 데이터(EEDf)를 제공할 수 있는 다른 디텍터를 포함할 수 있다. 또한, 센서(250)는 기판 후면 가스 압력 데이터, 기판 후면 유동 데이터, 정전 클램프(electrostatic clamping : ESC) 전압 데이터, ESC 전류 데이터, 기판 홀더(220) 온도 데이터(또는 하부 전극(lower electrode :LEL) 온도 데이터), 냉각수 온도 데이터, 상부 전극(UEL) 온도 데이터, 전방 RF 전력 데이터, 반사 RF 전력 데이터, RF 자기 유도 DC 바이어스 데이터, RF 피크 투 피크(peak-to-peak) 전압 데이터, 챔버 벽 온도 데이터, 처리 가스 유량 데이터, 처리 가스 부분압 데이터, 챔버 압력 데이터, 매칭 네트워크 데이터(예를 들어, C1, C2 위치), 포커스 링(focus ring) 두께 데이터, RF 시간(RF hours) 데이터, 포커스 링 RF 시간 데이터 및 DC 전력 데이터를 제공하는 센서를 포함할 수 있다. 또한, 센서(250)는, 처리 영역(206)에서 플라즈마로부터 나오는 광을 모니터링하기 위한 발광 분광계(Optical Emissions Spectroscopy : OES) 센서와 같은 하나 이상의 광학 장치를 포함할 수 있다. 예를 들어, 광학 장치/센서가 엔드 포인트 디텍터(End Point Detector : EPD)로서 사용되어, EPD 데이터를 제공할 수 있다. 또한, 센서(250)는 챔버 벽 또는 기판 홀더(220)에 연결될 수 있는 IEA 센서를 포함할 수 있다.
제1 DC/RFH 처리 시스템(200A)은, 전류 및/또는 전압 프로브, 오실로스코프(oscilloscope), 전력계, 스펙트럼 분석기, 이동가능한 랑뮤어 프로브, 이동가능한 IEA 프로브/센서, 또는 RF 임피던스 분석기를 포함할 수 있는 적어도 하나의 측정 장치(234)를 포함할 수 있다. 예를 들어, 전압 또는 전류의 시간 추적과 같은 전기 신호의 측정은, 신호의 변환이, 이산 푸리어 급수 전개를 이용하여(주기 신호를 가정하여) 주파수 도메인으로 들어가도록 한다. 그 후, 푸리어 스펙트럼(또는 시간 변동 신호, 주파수 스펙트럼에 대하여)은 모니터링되고 분석되어, 플라즈마 상태를 특성 지을 수 있게 된다. 또한, 측정 장치는, 처리 챔버(210) 외부로 방사된 RF 장(RF field)을 측정하기 위한 광대역 RF 안테나, 및/또는 실시간 플라즈마 상태를 기록하기 위한 고속 영상 카메라를 포함할 수 있다.
컨트롤러(290)는 마이크로프로세서, 메모리 및, DC/RFH 처리 시스템(200A)으로부터의 출력을 모니터링할 뿐 아니라 DC/RFH 처리 시스템(200A)으로의 입력을 통신하고 활성화하기에 충분한 제어 전압을 생성할 수 있는 (잠재적으로 D/A 및/또는 A/D 변환기를 포함하는) 디지털 I/O 포트를 포함한다. 도 2a에 도시된 바와 같이, 컨트롤러(290)는 RF 생성기(230), 임피던스 매치 네트워크(232), 가스 분사 시스템(260), 진공 펌프 시스템(286), 후면 가스 공급 시스템(226), 온도 제어 시스템(228), 측정 장치(234), 전기 측정 장치(236) 및 센서(250)에 연결되어 정보를 교환할 수 있다. 메모리에 기억된 프로그램이, 에칭 서브 시스템(200)의 전술한 요소들과, 기억된 처리 레시피에 따라 상호 작용하기 위해, 이용될 수 있다.
도 2b에 도시된 제2 실시예에서, 제2 DC/RFH 처리 시스템(200B)은 제1 DC/RFH 처리 시스템(200A)과 유사한데, 제2 RF 소스(275) 및 제2 매치 네트워크(276)를 더 포함한다. 도 2b에 도시된 바와 같이, 기판 홀더(220)는 하부 전극(221)을 포함할 수 있는데, 하부 전극을 통해 제2 RF 전력이 처리 영역(206)에서 플라즈마에 결합될 수 있다. 제2 RF 전력은 약 0 와트(watt) 내지 약 20000 와트 사이의 범위에 위치할 수 있다. 예를 들어, 하부 전극(221)은, 제2 RF 생성기(275)로부터 제2 임피던스 매치 네트워크(276)를 통해 하부 전극(221) 또는 하부 전극(221)의 일부분에까지 RF 전력의 전달을 거쳐, 제2 RF 전압에서 전기적으로 바이어스될 수 있다. 제2 RF 전압은 이온을 가열하여, 플라즈마를 생성 및 유지하는 데에 도움을 줄 수 있다. 제2 RF 전압에 대한 전형적인 주파수는 1 MHZ 내지 100 MHz 사이에 위치할 수 있고, 바람직하게는 13.56 MHz이다. 또한, 제2 RF 생성기(275)는 펄스로 되어, 진폭 변조(AM), 및/또는 주파수 변조(FM)될 수 있다. 또한, 제2 매치 네트워크(276)는, 반사 전력을 최소화함으로써, 처리 챔버(210)에서 RF 전력이 플라즈마에 전달되는 것을 최대화하는 데에 도움이 될 수 있다. 다양한 매치 네트워크 토폴러지 및 자동 제어 방법이 이용될 수 있다.
도 2c에 도시된 제3 실시예에서는, 제3 DC/RFH 처리 시스템(200C)이 제1 DC/RFH 처리 시스템(200A)과 유사할 수 있는데, DC 공급 서브 시스템(240')에 연결될 수 있는 또 하나의 DC 전극(245')을 포함할 수 있는 제2 상측 어셈블리(265')를 더 포함할 수 있다. 예를 들어, 이 DC 전극(245') 상의 DC 바이어스는 약 -10kV 내지 약 +10kV의 범위에서 변할 수 있다. 제2 상측 어셈블리(265')가 이 DC 전극(245')을 포함하면, DC 전극(245')은 제2 상측 어셈블리(265')에서 다른 요소들로부터 이격된다.
또한, 제2 상측 어셈블리(265')는 상부 전극(274)을 포함할 수 있는데, 제2 RF 전력은 제2 RF 생성기(270)로부터 제2 임피던스 매치 네트워크(272)를 통해 상부 전극에 연결될 수 있다. 제2 RF 전력은 약 0 와트 내지 약 20000 와트의 범위에 위치할 수 있다. 상부 전극에 제2 RF 전력을 적용하기 위한 제2 주파수는, 약 0.1 MHZ 내지 약 200 MHz 사이에 위치할 수 있고, 하부 전극(221)에 전력을 적용하기 위한 제1 주파수는, 약 0.1 MHZ 내지 약 100 MHz 사이에 위치할 수 있다. 또한, 제2 RF 생성기(272)는, 임피던스 매치 네트워크(232)를 통해 하부 전극(221)에 다중 주파수를 제공할 수 있는 추가적인 RF 소스를 포함할 수 있다. 또한, 컨트롤러(255)는, 제2 RF 전력이 상부 전극(274)에 적용되는 것을 제어할 수 있도록, 제2 RF 생성기(270) 및 제2 임피던스 매치 네트워크(272)에 연결될 수 있다. 제2 상측 어셈블리(265')가 제2 DC 전극(245')과 상부 전극(274')을 포함할 때, 제2 DC 전극(245')과 상부 전극(274')은 서로 이격되고 제2 상측 어셈블리(265')의 다른 요소들로부터 이격된다. 제2 상측 어셈블리(265')는 하나 이상의 가스 배분 요소(266,267)를 포함할 수 있다. 예를 들어, 제2 DC 전극, 상부 전극(274) 및 가스 배분 요소(266,267)은, 도시된 바와 같이, 서로 이격될 수 있다.
도 2d에 도시된 제4 실시예에서, 제4 DC/RFH 처리 시스템(200D)은 제1 DC/RFH 처리 시스템(200A)과 유사하며, 제3 DC 공급 서브시스템(240")에 결합될 수 있는 또 다른 DC 전극(245")을 포함할 수 있는 제3 상측 어셈블리(265")를 더 구비할 수 있다. 예를 들어, 이 DC 전극(245") 상의 DC 바이어스는 약 -10kV 내지 약 +10kV에서 변할 수 있다. 제3 상측 어셈블리(265")가 DC 전극(245")를 포함하는 경우, DC 전극(245")은 제3 상측 어셈블리(265") 내의 다른 소자들로부터 분리된다.
또한, 제3 상측 어셈블리(265")는, 제3 RF 발생기(270)로부터 제3 임피던스 정합 네트워크(272) 및 RF 전력 스플리터(273)를 통하여 제3 RF 전력이 결합될 수 있는 스플릿 상부 전극(274a 및 274b)을 포함할 수 있다. 스플릿 상부 전극(274a 및 274b)에 제3 RF 전력을 인가하기 위한 제3 주파수는 약 0.1 ㎒ 내지 약 200 ㎒의 범위를 가지며, 하부 전극(221)에 전력을 인가하기 위한 제1 주파수는 약 0.1 ㎒ 내지 약 100 ㎒의 범위를 가진다. 또한, 제3 RF 발생기(270)는 임피던스 정합 네트워크(232)를 통하여 하부 전극(221)에 다른 주파수들을 제공할 수 있는 부가적인 RF 소스들을 포함할 수 있다. 또한, 컨트롤러(290)는, 제3 RF 전력을 스플릿 상부 전극(274a 및 274b)에 인가하는 것을 제어하기 위하여, 제3 RF 발생기(270), 제3 임피던스 정합 네트워크(272) 및 RF 전력 스플리터(273)에 결합될 수 있다. 제3 상측 어셈블리(265")가 제3 DC 전극(245")을 포함하는 경우, 스플릿 상부 전극(274a 및 274b)으로의 제3 RF 전력, 제3 DC 전력(245") 및 스플릿 상부 전극(274a 및 274b)는 서로 그리고 제3 상측 어셈블리(265") 내의 다른 소자들과 분리된다. 제3 상측 어셈블리(265")는 가스 분배 소자(266 및 267) 중 하나 이상을 포함할 수 있다. 예를 들어, 제2 DC 전극, 스플릿 상부 전극(274a 및 274b) 및 가스 분배 소자(266 및 267)은 도시된 바와 같이 서로 분리될 수 있다. 제3 RF 전력은 약 0 와트 내지 약 20,000 와트의 범위를 가질 수 있다.
또한, 기판 홀더(220)는 처리 동안에 플로팅 전위에서 프로세스 챔버(210) 내에 기판(205)을 위치시키도록 구성될 수 있다. 다른 방법으로, DC/RF 하이브리드 프로세싱 시스템은 ICP(inductively coupled plasma) 소스, TCP(transformer coupled plasma) 소스, CCP(capacitively coupled plasma) 소스, ECR(electron cyclotron resonance) 소스, 헬리콘 파 소스, 표면파 플라즈마 소스, 슬릇화된 면 안테나 등을 가지는 표면파 플라즈마 소스를 포함할 수 있다.
프로세스 가스가 하나 이상의 탄화플루오르 가스 및 하나 이상의 불활성 가스를 포함하는 경우, 제1 탄화플루오르 가스의 유량은 대략 10 sccm 내지 대략 50 sccm 사이에서 변화하며, 제1 불활성 가스의 유량은 대략 3sccm 내지 대략 20 sccm 사이에서 변화하고, 탄화플루오르 가스는, C4F6, C4F8, C5F8, CHF3 또는 CF4 또는 이들의 임의의 조합을 포함하며, 불활성 가스는 아르곤(Ar), 헬륨(He), 크립톤(Kr), 네온(Ne), 라돈(Rn) 또는 크세논(Xe) 또는 이들의 임의의 조합을 포함한다. 프로세스 가스가 CO를 포함하면, CO 유량은 대략 2 sccm 내지 대략 20 sccm 사이에서 변할 수 있다.
컨트롤러(290)는 DC/RFH 처리 시스템(200A-200B)과 통신하고, 이것으로의 입력들을 활성화시키기에 충분한 제어 전압을 생성할 수 있는 하나 이상의 마이크로프로세서, 하나 이상의 메모리 소자 및 하나 이상의 아날로그 및/또는 디지털 I/O 장치(잠재적으로는 D/A 컨버터 및/또는 A/D 컨버터를 포함함)를 포함할 수 있다. 메모리에 기억된 하나 이상의 프로그램들은, 저장된 프로세스 레시피들에 따라서 DC/RFH 처리 시스템(200A-200B)의 전술한 구성요소와 상호 작용하도록 이용될 수 있다.
컨트롤러(290)들은, 메모리에 포함된 하나 이상의 명령의 하나 이상의 시퀀스를 실행하는 컨트롤러/프로세서에 응답하여 본 발명의 프로세싱 단계들에 기초하여 마이크로프로세서의 일부 또는 전체를 실행하는 범용 컴퓨터 시스템으로서 구현될 수도 있다. 이러한 명령들은, 하드 디스크 또는 착탈식 미디어 드라이브와 같은, 또 다른 컴퓨터 판독가능한 매체로부터 컨트롤러 메모리로 판독될 수 있다. 멀티 프로세싱 장치의 하나 이상의 프로세서들은, 또한 메인 메모리 내에 포함되는 명령들의 시퀀스들을 실행하는 제어식 마이크로프로세서로서 사용될 수도 있다. 다른 실시예에서, 하드 와이어드(hard-wired) 회로는 소프트웨어 명령들 대신에 또는 이 소프트웨어 명령들과 결합하여 사용될 수 있다. 따라서, 실시예들은 하드웨어 회로 및 소트트웨어의 어떤 특정 결합으로 제한되지 않는다.
에칭 처리가 DC/RFH 처리 시스템들(200A-200B) 중 하나에 의해 수행되는 경우, 슬롯 밸브(209)는 개방될 수 있고, 기판(205)은 처리 챔버(210)로 반송되어, 기판 홀더(220) 상에 배치될 수 있다. 가스 공급 서브시스템(260)은 제1 플라즈마 종을 제공할 수 있고, 처리 챔버(210)는 제1 플라즈마 종을 이용하여 기판(205)의 표면에 인접한 프로세싱 영역(206)에서 플라즈마 에칭의 발생을 용이하게 하도록 구성될 수 있다. 플라즈마 종은, C4F8과 같은 탄화플루오르 소자(CxFy)를 포함하며, Ar 또는 CO와 같은 또 다른 성분을 함유할 수도 있다. 제1 플라즈마 종(이온) 및/또는 전자들의 유량은 에칭 레시피를 이용하여 설정될 수 있다. 또한, 처리 챔버(210) 내부의 압력은 약 1 mtorr 내지 약 1200 mtorr의 범위를 가질 수 있다. 다른 일례에서, 처리 챔버(210) 내부의 압력은 약 10 mtorr 내지 약 150 mtorr의 범위를 가질 수 있다.
도 3a 및 도 3b는 본 발명의 실시예들에 따른 예시적인 DC/RFH 처리 시스템을 나타낸다. DC/RFH 처리 시스템 데이터는 e-빔(전자빔) 플라즈마에 대한 질적(qualitative) 전자 이동 데이터를 포함할 수 있다. 13.56 ㎒ 바이어스 예를 나타내며, 여기서 DC 전압은 약 -500 볼트일 수 있고, RF 피크-투-피크 전압은 약 2㎸일 수 있으며, RF 전력은 약 1 ㎾일 수 있으며, 트랩 주기는 약 30 ns일 수 있다.
도 3a는 DC 전극(310), RF 전극(320) 및 복수의 그라운드 소자(330)를 가지는 예시적인 DC/RFH 처리 챔버의 공간적인 스냅샷을 나타낸다. DC 전극(310)은 높은 네가티브 VDC(-1㎸)가 부여된 상부 전극일 수 있으며, RF 전극(320)은 이것에 부여된 RF 바이어스(13.56 ㎒)를 가질 수 있으며, 열 전극들은 그라운드 소자(330)들 중 하나로 복귀할 수 있으며, 발리스틱(ballistic) 전자 e-(BE)는 DC/RFH 처리 챔버에서 약 1ns의 통과 시간을 가질 수 있다. DC/RFH 처리 챔버에서, DC 전극(310)은 RF 전극(320)에 대향하여 위치되어야 한다.
도 3b는 도면에 도시된 제1 RF 전압(350) 및 제1 피크 전압(360)을 가지는 전압 대 시간의 그래프를 포함하는 시간 스냅샷을 나타낸다. 또한, 예시적인 트랩 주기(370)가 또한 도시되며, 트랩 주기(370)의 길이는 약 30 ns일 수 있다. 예를 들어, VRF(t)는 13.56 ㎒에서 RF 전극(320)에 인가된 전압(1000V)일 수 있으며, Vpp는 바이어스 기판 상의 피크-투-피크 RF 전압(2㎸)일 수 있다.
도 3c는 플라즈마 화학을 제어하기 위하여 플라즈마 EEDf를 제어하는 예시적인 방법을 나타내는데, 즉, 하나의 목적은 6eV 내지 17eV의 에너지 범위에서의 전자 개체수를 “최소화”하는 방식으로 EEDf를 제어하는 것이다. 이 방법은 최적화를 필요로하며, 분자 종에 의존할 수 있다. 이는 이 에너지 범위 내의 전자들이 분자들을 분리시키며, F, O 등을 생성하고, VUV(vacuum ultraviolet) 방사를 생성하기 때문이다. 또한, 다른 분자들에 대하여, 범위는 약간 다르게 되며, 약 0.6 eV 내지 약 17 eV의 범위는 제1 예일 수 있다. 제1 그래프(381)는 맥스웰 성분에 대하여 도시되며, 제2 그래프(382)는 빔 성분에 대하여 도시되며, 제3 그래프(383)는 전체 성분에 대하여 도시되며, 비교 영역(380)이 도시된다.
도 4a 및 도 4b는 본 발명의 실시예들에 따른 예시적인 DC/RFH 처리 시스템을 나타낸다. DC/RFH 처리 시스템 데이터는 13.56 ㎒ 바이어스 예를 포함하며, 여기서 DC 전압은 약 -1000 볼트(약 2 ㎾)일 수 있으며, RF 전력은 약 1 ㎾ 내지 약 3 ㎾ 사이에서 변할 수 있다.
도 4a는 상부 DC 전극(410), 가변 DC 소스(415), DC 도전 전극 커버(420), 그라운드 링(430), 하부 RF 전극(435) 및 RF 소스(440)를 가지는 또 다른 예시적인 DC/RFH 처리 챔버를 나타낸다. DC 전극(410)은 가변 DC 소스(415)(-1 kV)에 결합될 수 있고, 하부 RF 전극(435)은 RF 소스(440)(13.56 ㎒, 1-3 ㎾)에 결합될 수 있고, 발리스틱 전자 e-(BE)(445)는 EEDf를 제어하는 포지티브 방식으로 벌크 플라즈마(450)와 상호 작용할 수 있으며, 상기 BE는 시스와 충돌하여 에칭 레이트를 증가시키고, 활동적인 전자들은 기판 표면과 충돌하여 선택도를 향상시킨다. BE는 벌크 플라즈마와 상호 작용할 수 있으며, ne(전자 밀도)값 및 처리 화학(CFx/F)은 EEDf를 제어하는데 사용될 수 있으며, BE는 플라즈마 시스와 충돌하여 에칭 레이트를 증가시키며, 활동적인 전자들은 웨이퍼 표면에 영향을 줄 수 있다. 또한, 일부 전자 자극 표면 화학, 예를 들어, 193 nm-PR(포토레지스트) 경화, 강화된 폴리머 혼합층, 및 향상된 선택도가 존재할 수 있다.
도 4b는 DC 소스를 갖지 않은 프로세스에 대한 제1 프로세스 결과(480) 및 DC 소스를 갖은 프로세스에 대한 제2 프로세스 결과(490)를 예시적으로 나타낸다. 제1 프로세스 결과(480)(불완전한 에칭)와 연관된 임계 치수(CD)는 약 127 nm 내지 약 264 nm 사이에서 변화하며, 제2 프로세스 결과(480)(완전한 에칭)와 연관된 CD는 약 186 nm 내지 약 470 nm 사이에서 변화할 수 있다.
도 5a 및 도 5b는 본 발명의 실시예들에 따른 DC/RFH 처리 시스템에 대한 예시적인 시뮬레이션 데이터를 나타낸다. 시뮬레이션 데이터는 모든 종들에 대한 UZ(z 방향으로의 전자 속도) 대 Z 데이터를 포함할 수 있다. 도 5a는 제1 과밀집 영역(510), 제2 밀집 영역 (520), 실질적으로 깨끗한 제3 영역(530) 및 또 다른 덜 밀집된 영역(540)을 가지는 DC/RFH 처리 시스템에 대한 실질적으로 균일한 그래프를 나타낸다. 도 5b는 과밀집된 비균일 영역(570)을 가진 일반적인 RF 시스템에 대한 비균일 그래프를 나타낸다.
도 6은 본 발명의 실시예에 따른 DC/RFH 처리 시스템 및 일반적인 RF 시스템에 대한 예시적인 프로세스 데이터를 나타낸다. 제1 프로세스 데이터(610)는 DC/RFH 처리 시스템에 대한 EEDf 데이터를 포함하며, 제2 프로세스 데이터(620)는 일반적인 RF 시스템에 대한 EEDf 데이터를 포함한다. 제1 프로세스 데이터(610)는 활동적인 비맥스웰 영역, 빔-파 상호작용 영역 및 BE 영역을 포함한다. 활동적인 비맥스웰 영역은 란다우 댐핑을 통하여 “범프업”되는 50 eV 내지 200 eV 범위를 포함할 수 있다.
도 7은 본 발명의 실시예에 다른 DC/RFH 처리 시스템에 대한 실험 절차를 위한 예시적인 셋업을 나타낸다. 실험적인 셋업은 RF 전극 및 DC 전극에 의해 둘러싸인 메인 플라즈마 영역을 포함할 수 있다. 측정 영역은 RF 전극의 외부에 구성될 수 있고, 측정 영역은, 수퍼-데바이 트랩 주기 디텍터 및 서브-데바이 덤프 주기 디텍터를 포함할 수 있다. 예를 들어, 제1 터보 펌프는 게이트 밸브를 통하여 측정 영역에 결합될 수 있고, 그 측정 영역의 압력을 제어할 수 있다. 제1 배출 배플은 플라즈마없는 배출 영역을 설정하도록 구성될 수 있다. 예를 들어, 제 2 터보 펌프는 진자(pendulum) 밸브를 통하여 플라즈마없는 배출 영역에 결합될 수 있으며, 플라즈마없는 배출 영역의 압력을 제어할 수 있다.
도 8은 본 발명의 실시예에 따른 실험용 DC/RFH 처리 시스템에 대한 예시적인 테스트 구조의 분해도를 나타낸다. 테스트 구조(800)는 복수의 수퍼-데바이 트랩 주기 디텍터(810) 및 복수의 서브-데바이 덤프 주기 디텍터(820)를 포함할 수 있다. 일부 예들에 있어서, 테스트 구조(800) 중 하나 이상은, 프로세스 챔버(210, 도 2a 및 2b 참조)의 하부 및/또는 측면에 위치될 수 있다.
도 9는 본 발명의 실시형태에 따른 DC/RFH 처리 시스템용 예시적인 디텍터를 도시한다. 도 9는 슈퍼 데바이 트랩 주기 디텍터 및 서브 데바이 덤프 주기 검출를 포함할 수 있다. 슈퍼 데바이 디텍터는 (트랩 및 덤프 주기 중의) 모든 시간에서 벌크 플라즈마의 이방성 전자(anisotropic electron)를 측정할 수 있다. 서브 데바이 디텍터는
Figure 112010061651802-pat00001
< 800 V일 때, ~ 30 내지 40 나노초(ns)의 덤프 주기 중의 이방성 전자만을 측정할 수 있고, 그리고 서브 데바이 디텍터는 RF 전극으로 덤프되는 시간 분해 활동 전자 플럭스
Figure 112010061651802-pat00002
를 측정할 수 있다.
도 10의 (a) 및 (b)는 본 발명의 실시형태에 따른 예시적인 서브 데바이 덤프 주기 데이터를 도시한다. DC/RFH 처리 시스템 데이터는 DC 전압이 약 -1000 볼트(대략 2 kW)일 수 있는 13.56 MHz 바이어스 예시를 포함할 수 있고; 그리고 RF 전력은 약 1 kW에서 약 3 kW로 변경될 수 있다.
도 10의 (a)는 상부 DC 전극(1010), RF 바이어스 전극(1020) 및 접지 요소들(1030)을 갖는 다른 예시적인 DC/RFH 프로세스 챔버를 도시한다. DC 전극(1010)은 그 위로 부과되는 높은 음의 VDC(-800 V)를 갖는 상부 전극일 수 있고; RF 전극(1020)은 그 위에 부과되는 RF 바이어스(13.56 MHz, 850 W)를 가질 수 있고; 열 전자는 접지 요소들 중 하나로 반환될 수 있고; 그리고 발리스틱 전자 e- (BE; ballastic electron)는 DC/RFH 프로세스 챔버 내에서 약 1 나노초(ns)의 주행 시간을 가질 수 있다. DC/RFH 프로세스 챔버에서, DC 전극(310)은 반드시 RF 전극(320)에 대향하여 위치되어야 한다.
도 10의 (b)는 그 위에 도시된 제 1 RF 전압(1050) 및 제 1 정점 전압(1060)을 갖는 전압 대 시간의 그래프를 도시한다. 덧붙여, 예시적인 덤프 주기(1055)가 또한 도시되고, 그리고 덤프 주기(1055)의 길이는 약 30 나노초(ns)일 수 있다. 예를 들면, VRF(t)는 13.56 MHz에서 RF 전극(1020)으로 인가되는 전압(2000 V)일 수 있고, VP(t)는 약 25 V일 수 있고, 그리고 VPP는 바이어스된 기판 상의 정점 대 정점 RF 전압(2100 V)일 수 있다.
도 11은 본 발명의 실시형태에 따른 DC/RFH 처리 시스템용 예시적인 프로세스 데이터를 도시한다. 제 1 프로세스 데이터(1110)는 DC/RFH 처리 시스템용 전자 전류 데이터(A, amps) 대 전자 에너지(eV, electron volt) 데이터의 그래프를 포함하고, 제 2 프로세스 데이터(1120)는 DC/RFH 처리 시스템용 EEDf(a.u., arbitrary units) 데이터 대 전자 에너지(eV) 데이터의 그래프를 포함한다. 예를 들면, 중간 에너지 정점은 삼각 형상을 가질 수 있고 전류 및 압력에 좌우될 수 있다. 예를 들면, 도 11은 DC/RFH 처리 시스템이 맥스웰(열 벌크/배경) 및 중간 에너지 정점을 갖는다는 점을 보여주고; 이 중간 에너지 정점 전자는 가장 효과적인 충격 이온화의 범위 내에 있으며, 즉 중간 에너지 정점 전자는 플라즈마를 유지하고 지지한다. 그 결과로써(즉, 플라즈마 이온화가 중간 에너지 정점 전자에 의해 거의 전적으로 지지되는 결과로써), 플라즈마의 맥스웰 열 벌크는 "주로" 입자 평형을 목적으로 한다(즉, 맥스웰 열 벌크의 전자 온도(Te)는 이온 손실 구간(term)을 강제하고, 그리고 정상 상태(steady state)에서 전자 손실은 이온 손실과 동등하다).
예를 들면, 인버스 란다우(inverse-Landau) 프로세스는 랑뮤어 파동(Langmuir wave)(e- 플라즈마 파동)을 생성할 수 있고, 그 위상 속도는 초기의 BE 빔 속도와 동등하며
Figure 112010061651802-pat00003
; 중간 에너지 정점은 항상 유사한 형상이고 효과적인 이온화의 에너지 범위 내에 있고; 그리고 BE 정점 형상은 전류, 압력 등에 따라 좌우되어 변경된다.
게다가, 그래프(1110 및 1120)는, 플라즈마 EEDf를 제어함으로써 플라즈마 화학작용이 제어될 수 있다는 점을 도시한다. EEDf는, 전자 분포가 일부 DC/RFH 절차를 위한 ~6 eV 내지 17 eV의 에너지 범위 내에 존재하도록, 그리고 전자 분포가 DC/RFH 절차에서 사용된 분자 종을 위하여 최소화될 수 있도록 제어된다. 에너지 범위 전자가 불소(F) 및 산소(O) 이온 등을 생성하는 분자를 분리할 수 있고, 그리고 VUV 방사선을 생성할 수 있고, 그리고 다양한 분자들의 경우에 이 범위는 현저하게 상이하다(단지 예시로써, 6 내지 17 eV)는 점이 그 이유이다.
도 12는 본 발명의 실시형태에 따른 DC/RFH 처리 시스템용 예시적인 발리스틱 전자(BE) 데이터를 도시한다. 제 1 프로세스 데이터(1210)는 DC/RFH 처리 시스템용 이온 전류 밀도 데이터 대 DC 전압(V) 데이터의 그래프를 포함하고, 그리고 제 2 프로세스 데이터(1220)는 DC/RFH 처리 시스템용 전자 전류 밀도 데이터 대 DC 전압(V) 데이터의 그래프를 포함한다. 예를 들면, 서브 데바이 덤프 주기 중에 이온 전류에 필적할 수 있는 기판으로 큰(BE) 전류는 기판으로 덤프될 수 있다. 덧붙여, FF 전극에 대한 플라즈마 압력 및 전력은 도 12에 도시된 모든 DC 전압에 대하여 50 mTorr 및 700 W로 유지되었다. 주: 2차 전자 방출률(secondary electron yield)이 이온 충격하에서 ~ < 0.1이기 때문에, BE 전류 밀도는 DC 전극에 대한 이온 전류 밀도보다 10배 적어야 한다. BE 증폭은 트랩핑에 기인하고, 2차 전자는 전극에서 나온다.
도 13은 본 발명의 실시형태에 따른 DC/RFH 처리 시스템용 예시적인 프로세스 결과 데이터를 도시한다. 프로세스 결과 데이터는 DC/RFH 처리 시스템용 EEDf(a.u) 데이터 대 전자 에너지(eV) 데이터의 복수의 그래프를 포함할 수 있다. 이들 절차 중에, 13.56 MHz RF 바이어스 전력은 약 800 W일 수 있고, DC 전압은 약 -800 V일 수 있다. 예를 들면, EEDf(a.u) 데이터 대 전자 에너지(eV) 데이터의 복수의 그래프는 상이한 압력 및 상이한 에너지 또는 eV(300eV@30mt, 120eV@40mt, 및 35eV@50mt)에서 예시적인 중간 에너지 정점 데이터를 포함할 수 있고, 그리고 중간 에너지 정점 데이터는 이들 상이한 압력에 좌우될 수 있다. 게다가, EEDf(a.u) 데이터 대 전자 에너지(eV) 데이터의 복수의 그래프는 상이한 압력(30mt, 40mt, 50mt, 60mt, 및 70mt)에서 예시적인 BE 정점 데이터를 포함할 수 있고, 그리고 중간 에너지 정점 데이터는 이들 상이한 압력에 좌우될 수 있다.
도 14는 본 발명의 실시형태에 따른 DC/RFH 처리 시스템용 예시적인 로우 에너지 프로세스 결과 데이터를 도시한다. 프로세스 결과 데이터는 DC/RFH 처리 시스템용 EEDf(a.u) 데이터 대 전자 에너지(eV) 데이터의 복수의 그래프를 포함할 수 있다. 이들 절차 중에, 13.56 MHz RF 바이어스 전력은 약 800 W이었고, DC 전압은 약 -800 V이었고, 그리고 공정 가스는 단지 아르곤(Ar)이었다. 다른 절차 중에, 공정 가스가 사용될 때 다른 프로세스 결과가 예측될 수 있다. 예를 들면, EEDf(a.u) 데이터 대 전자 에너지(eV) 데이터의 복수의 그래프는 상이한 압력(50mt, 60mt, 및 70mt)에서 예시적인 데이터를 포함할 수 있고, "중간 정점 + 맥스웰" 데이터는 이들 상이한 압력에 좌우될 수 있다. 제 1 로우 에너지 프로세스 결과 데이터(1410)는 50 mt 절차에 대해 35 eV의 중간 에너지 정점을 포함한다. 제 2 로우 에너지 프로세스 결과 데이터(1420)는 60 mt 절차에 대해 "중간 정점 + 맥스웰" 데이터를 포함한다. 제 3 로우 에너지 프로세스 결과 데이터(1430)는 70 mt 절차에 대해 "중간 정점 + 맥스웰" 데이터를 포함한다. 불량한 프로세스 결과를 포함하는 제1 영역(1450)이 도시되고, 양호한 프로세스 결과를 포함하는 제 2 영역(1460)이 도시되어 있다. 예를 들면, 50 mt의 중간 에너지 정점은 ~ 35 eV의 그 정점 에너지를 갖고, 즉, 모든 맥스웰 데이터가 30 mt, 40 mt, 50 mt에 대해 융합되고, 그리고 이는 "매우 양호"하며, 이는 이들 DC/RFH 절차에서 이온화가 효과적이고 에너지가 맥스웰 열 벌크 분포로 펌핑되지 않기 때문이다. 모든 맥스웰 데이터는 ~1.8 eV의 Te에서 융합되고, 그리고 이는 양호한 결과가 된다.
도 14는 또한 60 mt 및 70 mt 조건을 도시하고, 그리고 그 중간 에너지 정점이 맥스웰 데이터로 융합되는 그 정점에 대한 그러한 로우 에너지를 갖는다. 그 결과로써, (이 실시예의 경우에) ~ 6 eV 내지 17 eV 범위의 그 전자 분포는 엄격하게 펌핑업 되었고, 이는 화학작용에 대한 불량이 되고, 왜냐하면, (너무 많이) 큰 분자 분해 및 VUV 생성이 존재하기 때문이다. 게다가, 도시된 데이터는 순수한 Ar이고, 파라미터는 소정의 DC/RFH 프로세스 레시피(recipe)의 경우에 약간 상이할 것이다.
도 15의 (a) 및 (b)는 본 발명의 실시형태에 따른 DC/RFH 처리 시스템용 예시적인 맥스웰 융합 데이터를 도시한다. 프로세스 결과 데이터는 DC/RFH 처리 시스템용 EEDf(a.u.) 데이터 대 전자 에너지(eV) 데이터의 복수의 그래프를 포함할 수 있고, 이는 DC 전압에 좌우됨을 도시한다. 이들 절차 중에, 13.56 MHz RF 바이어스 전력은 약 800 W이었고, 챔버 압력은 약 30 mt였고, 그리고 DC 전압은 약 -300 V 내지 -900 V의 범위 내에서 변경되었다. 도 15의 (a)는 약 250 eV 내지 약 350 eV에서 다양한 DC 전압(-300V, -400V, -600V, -800V, 및 -900V)에 대한 중간 에너지 정점을 보여준다. 도 15의 (b)는 약 25 eV 미만에서 다양한 DC 전압(-300V, -400V, -600V, -800V, 및 -900V)에 대한 모든 맥스웰 데이터가 융합됨을 보여주고, eV 값이 "DC/RFH 처리에 대해 양호"한 영역 1510이 도시된다.
예를 들면, 도 15의 (a) 및 (b)는 맥스웰 열 벌크의 Te가 대략 일정하고 크게 변경되지 않는다는 점을 도시한다. 이는 플라즈마 이온화가 거의 대부분 중간 에너지 정점 전자에 의해 지지되고, 플라즈마의 맥스웰 열 벌크가 "주로" 입자 평형의 목적을 위한 것이라는 점 때문일 수도 있다. 게다가, 맥스웰 열 벌크의 온도(Te)는 이온 손실 구간을 강제하고, 그리고 정상 상태에서 전자 손실은 이온 손실과 동등하다.
도 16은 본 발명의 실시형태에 따른 DC/RFH 처리 시스템용 예시적인 발리스틱 전자(BE) 에너지 데이터를 도시한다. (BE) 에너지 데이터는 DC/RFH 처리 시스템용 EEDf(a.u) 데이터 대 전자 에너지(eV) 데이터의 복수의 그래프를 포함할 수 있다. 이들 절차 중에, 챔버 압력은 약 40 mt이었고, 13.56 MHz RF 바이어스 전력은 약 200 W 내지 약 1200 W의 범위에서 변경되었고, 그리고 DC 전압은 약 -800 V이었다. 도 16은 다양한 RF 전력 (34eV @400W), (110 eV @600W), (130 eV @800W), (175eV @1000W) 및 (190eV @1200W)에 대한 중간 에너지 정점을 보여준다. (BE) 에너지 데이터는 RF 전력에 대한 의존성을 도시한다.
도 17의 (a) 및 (b)는 본 발명의 실시형태에 따른 DC/RFH 처리 시스템용 추가의 예시적인 발리스틱 전자(BE) 에너지 데이터를 도시한다. (BE) 에너지 데이터는 DC/RFH 처리 시스템용 EEDf(a.u) 데이터 대 전자 에너지(eV) 데이터의 복수의 그래프를 포함할 수 있고, 이는 RF 전력에 대한 의존성을 도시한다. 도 17의 (a)는 다양한 RF 전력 (8eV @200W), (34eV @400W), (110eV @600W), (130eV @800W), (175eV @1000W) 및 (190eV @1200W)에 대한 중간 에너지 정점을 보여준다. 이들 절차 중에, 챔버 압력은 약 40 mt였고, 13.56 MHz RF 바이어스 전력은 약 200 W 내지 약 1200 W의 범위에서 변경되었고, 그리고 DC 전압은 약 -800 V였다. 도 17의 (b)는 다양한 RF 전력 (130eV @600W), (170eV @800W), (203eV @1000W) 및 (228eV @1200W)에 대한 중간 에너지 정점을 보여준다. (BE) 에너지 데이터는 RF 전력에 대한 의존성을 도시한다. 이들 절차 중에, 챔버 압력은 약 40 mt였고, 13.56 MHz RF 바이어스 전력은 약 200 W 내지 약 1200 W의 범위에서 변경되었고, 그리고 DC 전압은 약 -600 V였다. 이들 데이터는 중간 에너지 정점이 인가된 RF 전력에 좌우될 수 있다는 점을 보여준다.
도 18에는 본 발명의 실시예에 따른 DC/RFH 처리 시스템에 대한 추가의 예시적인 발리스틱 전자(Ballistic Electron; BE) 에너지 데이터가 예시되어 있다. BE 에너지 데이터는 DC/RFH 처리 시스템에 대한 EEDf(a,u) 데이터 대 전자 에너지(eV) 데이터의 복수 개의 그래프를 포함할 수 있다. 이들 절차 중에, 챔버 압력은 약 40 mT이었고, 13.56 MHz RF 바이어스 출력은 약 600 W에서 약 1200 W로 변경되었으며, DC 전압은 약 -300 VDC로 일정하였다. 도 18은 다양한 RF 출력[(600 W에서 155 eV), (800 W에서 190 eV), 및 (1000 W에서 218 eV)]에 있어서의 중간 에너지 피크를 보여준다. BE 에너지 데이터는 중앙 에너지 피크가 이들 프로세스 조건에서 합쳐지기 시작하는 것을 예증한다.
도 19는 본 발명의 실시예에 따른 DC/RFH 처리 시스템에 대한 예시적인 맥스웰(열 에너지) 데이터를 예시한다. 맥스웰(열 에너지) 데이터는 DC/RFH 처리 시스템에 대하여 EEDf(a,u) 데이터 대 전자 에너지(eV) 데이터의 복수 개의 그래프를 포함할 수 있다. 이들 절차 중에, 챔버 압력은 약 40 mT이었고, 13.56 MHz RF 바이어스 출력은 약 600 W에서 약 1200 W로 변경되었으며, DC 전압은 약 -800 VDC이었다.
도 19는 다양한 RF 출력[(200 W에서 8 eV) 및 (400 W에서 34 eV)]에 있어서의 맥스웰(열 에너지) 데이터를 보여준다. 맥스웰(열 에너지) 데이터는 맥스웰(열 에너지) 데이터가 이들 프로세스 조건에서 실질적으로 일정하다는 것을 예증한다. 예컨대, 열 밀집도(thermal populationa), 즉 맥스웰(Te)은 RF 출력, DC 볼트 및 챔버 압력과는 상관 없이 약 1.8 eV일 수 있고, RF 보상 랑뮤어 프로브(langmuir probe) 측정값(aTe)은 약 2 eV일 수 있으며, 독립적인 레이저 톰슨 산란 측정값은 1.77 eV이다.
도 20a, 도 20b 및 도 20c는 본 발명의 실시예에 따른 DC/RFH 처리 시스템에 대한 추가의 예시적인 전자 에너지 분포를 예시한다. 전자 에너지 분포 데이터는 압력과 DC 전압에 대한 의존성을 예시하는, DC/RFH 처리 시스템에 있어서의 정규 EEDf(a,u) 데이터 대 전자 에너지(eV) 데이터에 관한 복수 개의 그래프를 포함할 수 있다. 이들 절차 중에, 챔버 압력은 약 50 mT였고, 13.56 MHz RF 바이어스 출력은 약 800 W이었으며, DC 전압은 약 -300 V에서 약 -800 V으로 변경되었다. 도 20a는 다양한 DC 전압(-300 V, -400 V, -600 V, -800 V 및 -900 V)에 있어서 제1 영역(2010)에서 맥스웰 데이터에 합쳐지는 중간 에너지 피크를 보여주며, 다양한 DC 전압(-300 V, -400 V, -600 V, -800 V 및 -900 V)에 있어서 복수 위치에서의 발리스틱 에너지(BE) 피크를 예시한다. 도 20b는 다양한 DC 전압(-300 V, -400 V, -600 V, -800 V 및 -900 V)에 있어서 제2 영역(2020)에서 맥스웰 데이터에 합쳐지는 중간 에너지 피크를 보여주며, 다양한 DC 전압(-300 V, -400 V, -600 V, -800 V 및 -900 V)에 있어서 복수 위치에서의 발리스틱 에너지(BE) 피크를 예시한다. 도 20c는 다양한 DC 전압(-300 V, -400 V, -600 V, -800 V 및 -900 V)에 있어서 제3 영역(2030)에서 맥스웰 데이터에 합쳐지는 중간 에너지 피크를 보여주며, 다양한 DC 전압(-300 V, -400 V, -600 V, -800 V 및 -900 V)에 있어서 복수 위치에서의 발리스틱 에너지(BE) 피크를 예시한다.
도 21은 본 발명의 실시예에 따른 파동 에너지의 분포에 관한 예시적인 흐름도를 예시한다. 이 데이터는 속도 방정식 모델(rate equation model)을 이용하여 고-vφ 초기 에너지 파동이 플라즈마로 에너지를 펌핑하는 방법을 보여준다. W 1, W 2W S는 제1 정전기 에너지 파동, 제2 정전기 에너지 파동 및 이온 에너지 파동의 에너지 밀도이다. TS = 2개 스트림, OTS = 진동 2개 스트림, DO는 정전기 파동 및 이온 파동 간의 상호 작용이며, L = 란다우 댐핑, DW는 비선형 이온 파동 댐핑 항이다. 랑뮤어 파동(W 1, 제1 파동)은 제2 파동(W 2)으로 감속되어야만 하고, 이때 보다 낮은 에너지 전자를 갖는 란다우 댐핑이 일어날 수 있다. 이온 파동(W S)은 W 1이 감속될 때 여기되며, 이에 따라 신규한 에너지 커플링 채널이 촉진된다. 추가로, 주입된 에너지를 갖는 전자(예컨대, 1 keV)는 기본적으로 비충돌 상태이다. 그러나, 발리스틱 e- 빔은 위상 속도(phase speed)가 VE 속도와 동일한(vφ = vb) 랑뮤어 파동(W 1, 제1 플라즈마 파동)을 형성한다.
도 22a 및 도 22b는 본 발명의 실시예에 따른 DC/RFH에 대한 불안정성 일람 데이터를 예시한다. 도 22a는 DC/RFH 처리 시스템에 있어서의 라디안 주파수(ω) 대 이온 파동수(k) 데이터에 관한 복수 개의 그래프를 포함한다. 예컨대, 높은 위상 속도 파동으로 이루어진 제1 그룹(W1)이 예시될 수 있고, 아래에 나타낸 식 1을 이용하여 결정될 수 있다.
Figure 112010061651802-pat00004
[식 1]
그리고, 낮은 위상 속도 파동으로 이루어진 제2 그룹(W2)이 예시될 수 있고, 아래에 나타난 식 2를 이용하여 결정될 수 있다.
Figure 112010061651802-pat00005
[식 2]
추가로, 2개 스트림 불안전성, 발리스틱 e-를 통한 인버스 란다우 파동은 ω가 일정한(열 스프레드를 지님), vφ 내지 vb 제1 랑뮤어 파동을 여기한다.
도 22b는 복수 개의 주석과 참고 문헌을 포함한다. 예컨대, 파동 불안정성은 다음과 같이 요약될 수 있다. (1) 주요 브랜치(branch) : 진동하는 2개 스트림 불안정성 (OTS)[4]⇒W1은 λ가 보다 짧은 제2 파동 W2와 이온 파동 WS으로 변환된다. W2의 감소된 vφ는 속도가 보다 낮은 전자와 맥스웰 테일에 의해 댐핑된 란다우이며, 이에 따라 그 밀집도가 증가한다. 불안정성 성장률[8, 9](W2 성장율은 ΓOTS이며, 여기서 me/mi는 e-/이온 질량이고, T는 플라즈마 온도이며, ε1은 W1의 에너지 밀도임). (2) (예컨대, RF-시스-에지 확률적 e- 스트림에 대한) 이동 프레임(ωP) 내지 랩 프레임(ωP) 양자의 파라메트릭 진동[5, 6]과 도플러 시프트[2, 7]는 ω < ωP 댐핑 파동(가상)을 형성한다. 이온 파동[5, 6]을 적용시키지 않고도, ω < ωP 파동은 열적 e-에 의해 보다 짧은 λφ를 향해 댐핑되고, 이때 감소된 vφ는 란다우 댐핑을 통해 맥스웰 테일에 커플링될 수 있다. 또한, 정전기 파동은 상호 작용을 통해 광대역이 될 수 있고, 그 근접 주파수[2, 4]에서 이온 밀도 파동에 강력하게 커플링될 수 있다. 이때, 이온 파동은 챔버 크기에 대해 고유한 주파수(예컨대, 20 kHz)에서 공진식으로 강력해질 수 있다. (3) 정전기 파동과 이온 파동(DO)[4] 사이의 상호 작용 ⇒ WS가 강력해질 때, 이온 파동은 비선형적으로 vφ가 보다 높은 플라즈마 파동과 상호 작용할 수 있으며, 이에 따라 제2 파동(W2)의 에너지 밀도(그리고 또한 WS의 밀도)가 증가될 수 있다. 대량의 이온은 제1 파동을 λ가 보다 짧은(vφ가 보다 낮은) 제2 파동으로 댐핑시킬 수 있다. W2의 성장률[10, 11]은 ΓDO이고, 여기서 εs는 이온 파동 에너지 밀도이고, kS는 이온 파동수이며, λD는 데바이 길이(Debye length)이다.
추가로, 다음 참고 문헌을 인용하였다.
2F.F. Chen, Introduction to Plasma Physics, 1st ed.(Plenum Press, New York, 1974)
4D.V. Rose, J.U. Guillory, J.K. Beall, Phys . Plasmas 9, 1000(2002)
5I.Silin, R.Sydora, K. Sauer, Phys . Plasmas 14, 012106(2007)
6P.Gopalraja, J. Foster, Appl. Phys. Lett. 77, 3526(2000)
7P.Rollan, Phys . Fluids 8, 2114(1965)
8H.P. Freund et al., Phys . Fluids 23, 518(1980)
9H.P. Freund et al., Phys . Fluids 23, 139(1980)
10Dawson et al., Phys . Fluids 5, 517(1962)
11Dawson et al., Phys . Fluids 6, 394(1963)
도 23은 본 발명의 실시예에 따른 일부 빔 플라즈마 데이터와 관련 참고 문헌을 예시한다. 그래프는 f(ε) 대 ε(eV) 데이터를 보여준다. 상기 참고 문헌은 (3 cm) DC/RFH 처리 시스템에서 사용되는 결합 플라즈마를 포함하지 않는다. 이는 오픈 시스템(비결합 플라즈마) 실험이다. 컨디늄(continuum) 밀집도는 높고, 중간 에너지 피크는 없다. 실험 압력은 통상적으로 비충돌 상태이다. 주입된 e- 빔은 보다 높은 주입 전류 상황에서 더욱 확장된다.
도 24는 본 발명의 실시예에 따른, 란다우 파동 및 인버스 란다우 파동에 대한 예시적인 플라즈마 파동 데이터를 예시한다. (1) 시스 필드에 의한 연속적인 가속을 통한 BE 게인 에너지. 특정 vφ 란다우 댐핑은 vφ 등가 모노 에너지 전자를 형성한다. 특정 vφ 바로 아래의 속도를 갖는 전자는 파동 필드에 의해 가속되고 란다우는 파동을 댐핑한다. 특정 vφ 바로 위의 속도를 갖는 가속 전자는 파동 펌핑 에너지를 초과하고, 이 파동 펌핑 에너지는 인버스 란다우에서의 파동을 초과한다. 순(純) 효과는 vφ 등가 위아래의 에너지를 갖는 전자가 없고, vφ 등가 에너지를 갖는 전자만이 vφ 파동이 그 에너지를 잃을 때 밀집도가 성장한다는 것이다. 이러한 과정은 파동 에너지가 모노 에너지 전자 그룹의 총 에너지와 같아질 때까지 계속된다. 보다 구체적으로는, 이러한 모노 에너지 전자 그룹의 밀집도는 dN/dt = 공급 + 손실 = 0에 의해 결정된다. 이러한 추가의 손실은 전자 비탄성 충돌(예컨대, 이온화)이다. (2) 대부분의 시스템, 즉 개방 및 폐쇄 플라즈마에 있어서, 모든 파장(다양한 vφ)의 랑뮤어 파동이 존재한다. 따라서, 에너지 컨티늄이 존재한다. (3) 에너지 전자는 여기 플라즈마 파동을 통해 충돌을 통한 것보다 훨씬 쉽게 그 에너지를 잃을 수 "있다": 충돌 에너지 손실 시간 스케일(~ MFP/ vφ); e- 파동 여기 시간 스케일(~λ/ vφ ~ f P -1).
도 25의 (a), (b) 및 (c)는 본 발명의 실시에에 따른 DC/RFH 처리 시스템에 대한 추가의 발리스틱 전자(BE) 데이터를 예시한다. (BE) 데이터는 DC/RFH 처리 시스템에 대한 (BE) 에너지 확산 및 (BE) 피크 이동 데이터의 복수의 그래프들을 포함할 수 있다. 도 25의 (a)에 도시된 절차 동안에, 챔버 압력은 약 20 mT에서 약 70 mT로 변경되었고, 13.56 Mhz RF 바이어스 전력은 약 800 W이었으며, DC 전압은 약 -800 V이었다. 도 25의 (b)에 도시된 절차 동안에, 챔버 압력은 약 40 mT이었고, 13.56Mhz RF 바이어스 전력은 약 800 W이었으며, DC 전압은 약 -300 V에서 약 -800 V으로 변경되었다. 도 25의 (c)에 도시된 절차 동안에, 챔버 압력은 약 40 mT이었고, 13.56 Mhz RF 바이어스 전력은 약 200 W에서 약 1200 W로 변경되었으며, DC 전압은 약 -800 V이었다.
예를 들어, 발리스틱 전자(ballistic electron; BE) 에너지는 아래에서 나타난 [식 3]을 이용하여 계산될 수 있다.
Figure 112010061651802-pat00006
[식 3]
여기서, γeff = 충돌 주파수;
L = 길이;
Vb = 빔 속도, 및
nb = 빔 밀도
이다.
도 26의 (a), (b) 및 (c)는 본 발명의 실시예들에 따른 DC/RFH 처리 시스템을 위한 중간 에너지 피크 데이터를 나타낸다. 중간 에너지 피크 데이터는 DC/RFH 처리 시스템에 의해 이용된 프로세스 파라미터에 대한 삼각형 형상의 중간 에너지 피크 데이터의 종속성에 관한 복수의 그래프들을 포함할 수 있다. 도 26의 (a)에 도시된 절차 동안에, 챔버 압력은 약 40 mT이었고, 13.56 Mhz RF 바이어스 전력은 약 200W에서 약 1200W로 변경되었으며, (BE) 전압은 약 800 eV이었다. 도 26의 (b)에 도시된 절차 동안에, 챔버 압력은 약 40 mT이었고, 13.56 Mhz RF 바이어스 전력은 약 800 W이었으며, (BE) 전압은 약 300 eV에서 약 900 eV로 변경되었다. 도 26의 (c)에 도시된 절차 동안에, 챔버 압력은 약 20 mT에서 약 70 mT로 변경되었고, 13.56 Mhz RF 바이어스 전력은 약 800 W이었으며, (BE) 전압은 약 800 eV이었다.
예를 들어, 시스 두께(sheath thickness; S)는 아래의 [식 4]를 이용하여 계산될 수 있다.
Figure 112010061651802-pat00007
[식 4]
여기서, V0 = 열적-전자-자유 시스 포텐셜~RFVPP
이다.
도 27은 본 발명의 실시예들에 따른 추가적인 플라즈마 참조문헌들을 도시한다. 이 참조문헌들은 유계 플라즈마에서의 랑뮤어파에 대한 데이터를 포함한다. 시스 가장자리가 랑뮤어 파를 반사시킬 것이기 때문에 플라즈마 파는 열적-전자-자유 시스 내에서 존재할 수 없다는 것은 잘 알려져 있다. 예를 들어, 제1 참조문헌은 (1) H. Sugai 등의 "reflection and absorption of electron plasma waves in a bounded plasma"(Plasma Physics, Vol. 17, pp.985-990)를 나타내고, 제2 참조문헌은 (2) C. K. Birdsall, 등의 "Landau resonant heating using standing waves excited by a distributed circuit for electron velocity distribution control"(Phys. of Plasma, Vol. 9 # 5, 2002)를 나타낸다. 또한, 플라즈마 시스 가장자리에서의 반사는 정상파를 셋업할 것인데, 이 정상파는 포텐셜 파의 전기장을 상당히 증가시킨다. 다중 모드 정상파는 란다우 댐핑되어 광대역 (에너지) 에너제틱 전자를 생성한다. 따라서, 단일한 피크를 생성하기 위한 정상파가 되어야 한다.
도 28은 본 발명의 실시예들에 따른 예시적인 유계 플라즈마 데이터를 도시한다. 예시적인 유계 플라즈마 데이터는 유계 플라즈마에서의 DC-DC 시스에 대한 데이터를 포함할 수 있다. 플라즈마 전자파는 시스 가장자리에서 반사하고[K. Saeki, H. Sugai 등, Plasma Phys. 17 (1975)], 이에 따라 전자파는 전자-자유 시스 영역에서 존재할 수 없다는 것은 잘 알려져 있다. 예를 들어, 파장 간격이 ∝ 2L/(n2+n)인 수많은 중간-에너지 피크들(EMS)을 갖는 수많은 정상파 모드들이 허용된다. 이것은 필수적으로 연속체이다. 로우 -vΦ 모드(~20eV < EM < 300eV = 유효 이온화)는 충돌 걱정없이 성장하는 두 개의 전자들 사이에서 국부적으로 바운싱될 수 있다: 이에 따라 중공 캐소드(hollow cathod)가 된다.
도 29는 본 발명의 실시예들에 따른 다른 추가적인 플라즈마 참조문헌들을 나타낸다. 이 참조문헌들은 유계 플라즈마에서의 DC-RF 시스에 대한 데이터를 포함한다. 중간-에너지 피크는 일정한 베이스 폭을 갖는 삼각형 형상을 가질 수 있다. DC/RFH 실험 범위는 ne~2 내지 4 x 1010cm-3p/2π~1.6Ghz)일 수 있다. L과 L+S 모두에 부합하는 최저 정수 모드(최고 υΦ)는 λ~2S이다. 30 mt/-800V의 예시의 경우, 시각적으로 관찰된 S는 ~3 mm ~λ/2이며, 이것은 υΦ~107 m/s
Figure 112010061651802-pat00008
280 eV를 가져다준다. 숫자는 정확하지는 않지만 모든 경향에 부합한다.
도 30a 및 도 30b는 본 발명의 실시예들에 따른 정상파 공진(standing wave resonance; SWR) 데이터 및 요약 데이터를 도시한다. 도 30a는 본 발명의 실시예들에 따른 정상파 공진(SWR) 데이터를 도시한다. 몇몇 실시예들에서, SWR 모델은 EM를 결정하는데 이용될 수 있다.
몇몇 실시예들에서, 하나 이상의 단순한 등식들이 이용될 수 있다.
예를 들어, EM은 아래의 [식 5]를 이용하여 계산될 수 있는 중간-에너지 피크와 관련된 에너지로서 정의될 수 있다:
Figure 112010061651802-pat00009
[식 5]
또한, 시스 두께(S)는 아래의 [식 6]을 이용하여 개산될 수 있다.
Figure 112010061651802-pat00010
[식 6]
도 30a에서 도시된 바와 같이, 중간-에너지 피크 에너지 EM은 아래의 [식 7, 8, 9]를 이용하여 개산될 수 있다.
Figure 112010061651802-pat00011
[식 7]
Figure 112010061651802-pat00012
[식 8]
Figure 112010061651802-pat00013
[식 9]
또한, Te가 상수값일 때, 중간-에너지 피크 에너지 EM은 아래의 [식 10]을 이용하여 개산될 수 있다.
Figure 112010061651802-pat00014
[식 10]
여기서, υB는 봄(bohm) 속도이다. 통상적인 RF(CCP)의 경우, υB는 간단하며, 이것은 맥스웰 Te의 제곱근과 관련이 있다. 하지만, DC/RFH 처리 시스템의 경우, 이것은 좀 더 복잡해지는데, 즉 이것은 부정합(miss fit)을 유발시킬 수 있다. 곡선 정합(curve fit)은 Te의 제곱근에만 비례하는 통상적인 υB가 RF 시스 경계를 교차하는 대량의 에너제틱 전자들을 고려하지 않는 경우를 가정한다. 여전히, 적절히 정합된다. 정합-경향은 만약 υB가 페이지 15의 우측 중간 부분에서 도시된 수학식에 의해 조정되면 타이트한 정합이 될 것이라는 것을 보여준다. 하지만, β값을 정확히 결정하는 것은 매우 어렵기 때문에, 이 값은 개산될 수 있다. DC/RFH 절차(레시피)가 전개될 때에는, 이력 SWR 곡선들이 이용가능하지 못할 때에 SWR 곡선(도 30a)이 전개될 수 있다. 몇몇 실시예들에서, EEDf 데이터 및/또는 SWR 곡선 데이터를 전개시키고, 업데이트하고, 및/또는 확인하기 위해 VPP가 측정될 수 있는 하나 이상의 테스트 절차들이 수행될 수 있다. 다른 실시예들에서, 하나 이상의 이온 에너지 분석기(IEA) 테스트 웨이퍼 및/또는 센서가 EEDf 데이터 및/또는 SWR 곡선 데이터를 전개시키고, 업데이트하고, 및/또는 확인하는데 이용될 수 있는 IEA 데이터를 결정하는데 이용될 수 있다.
Ar-농후 레시피의 경우, 프로세스 VPP는 약 1200V 이상일 수 있으며, 이것은 Vdc가 RF 바이어스드 웨이퍼의 자가 바이어스가 되는 DC/RFH 프로세스 챔버에 대하여 Vdc가 약 600 V가 되도록 하게 할 수 있다. 그 결과로, 이에 따라 Vdc를 약 600 V에서 설정하도록 가스 혼합체가 조정될 수 있다. 또한, 약 600 eV를 초과하는 이온 에너지는 하드 마스크 코너를 스퍼터링하는 것과 같은 문제를 야기시킬 수 있기 때문에 이온 에너지는 약 600 eV 미만의 값에서 설정될 수 있다. 몇몇의 DC/RFH 절차의 동안에, O2가 거의 없는 상태에서 C4F8가 더 많이 있거나 또는 C5F8가 더 많이 있는 프로세스 가스 화학물질이 하드 마스크 코너 스퍼터링 문제를 제거시키도록 보다 딱딱한(보다 방어적인) 폴리머를 생성하는데 이용될 수 있다.
DC/RFH 절차가 전개되고 있을 때, EM 데이터는 매우 중요하다. EM이 너무 낮다면, 중간 에너지 피크 전자가 맥스웰 열 벌크에 부딪힘으로써 약 6eV 내지 약 17 eV 범위의 값을 갖는 맥스웰 테일 밀집도를 펌핑할 수 있기 때문이다.
도 30b는 DC/RFH 처리 시스템에 대한 일부 요약 데이터를 도시한다. 제1 그래프 세트(3010)는 일부 DC/RFH 절차에 대하여 맥스웰 전부가 합쳐질 수 있음을 보여준다. 단일 맥스웰이 복수의 DC/RFH 절차에 대하여 근사화될 수 있을 때(프로세스 조건에 관계 없이), 이는 DC/RFH 절차에 사용된 기체상 화학을 단순화할 수 있다. 다른 예시적인 절차에 대하여 약 200 eV 이하의 중간 에너지 피크를 갖는 제2 그래프(3020)가 도시되어 있다. 일부 DC/RFH 절차 동안, 정재파 공명은 바람직한 모드를 국부화하고, 그의 에너지가 효율적인 이온화 범위 내에 있는 중간 에너지 피크가 될 수 있다. 예를 들어, 발리스틱 전자(BE; ballistic electron)는 국부화된 플라즈마 파를 발생시킬 수 있고, BE가 그의 에너지를 잃을 때 이온파가 발생된다. 또한, RF 시스(sheath)가 붕괴됨에 따라, 갇힌 BE와 국부화된 플라즈마 파는 웨이퍼로 넘겨짐으로서, e- 빔 유도 표면 화학을 확립/제어하며 HAR 특징 셰이딩을 감소시킨다.
도 31a, 도 31b 및 도 31c는 본 발명의 실시예들에 따른 반응성 이온 에칭(RIE) 시스템에 대한 프로세스 데이터를 도시한다. 도 31a는 RIE 시스템에 대한 EEDf(a.u) 데이터 대 전자 에너지(eV) 데이터의 그래프(3110) 및 RIE 시스템에 대한 전자 전류 데이터(A) 대 전자 에너지(eV) 데이터의 그래프(3120)를 포함한다. 도 31b는 SWR 모델을 사용하여 결정된 RIE 시스템에 대한 "중간 에너지 피크의 에너지 EM(eV) 데이터 대 시스 VPP(V) 데이터의 그래프를 포함한다. 도 31c는 RIE 시스템에 대한 EEDf(a.u.) 데이터 대 전자 에너지(eV) 데이터의 그래프(3150), 및 RIE 시스템에 대한 전류(A) 대 스캔 전압(-V)의 그래프(3160)을 포함한다.
또한, 그래프(3110, 3120, 3150, 및 3160)는 플라즈마 EEDf를 제어함으로써 플라즈마 화학이 제어될 수 있음을 나타낸다. EEDf는 전자 분포(electron population)가 일부 DC/RFH 절차에 대하여 ~6 eV 내지 17 eV의 에너지 범위 내에 있도록 제어되고, 전자 분포는 DC/RFH 절차에 사용된 분자 종에 대하여 최소화될 수 있다. 그 이유로는 에너지 범위 전자가 불소(F), 산소(O) 이온 등을 생성하는 분자들을 분리할 수 있고 VUV 방사선을 생성할 수 있다는 것이며, 상이한 분자에 대하여 범위가 다소 상이하다(6 내지 17 eV는 단지 예임).
도 32는 본 발명의 실시예들에 따른 DC/RFH 처리 시스템에 대한 프로세스 가스 데이터를 도시한다. (BE) 데이터는 DC/RFH 처리 시스템에 대한 (BE) 에너지 확산 및 (BE) 피크 시프트 데이터의 복수의 그래프를 포함할 수 있다. 도 32는 DC/RFH 처리 시스템에 대한 EEDf(a.u) 데이터 대 전자 에너지(eV) 데이터의 제1 그래프(3210)를 포함하고, 이 제1 절차 동안, 챔버 압력은 약 30 mT이고, 13.56 Mhz RF 바이어스 전력은 약 600W이며, DC 전압은 약 600VDC이고, 프로세스 가스(A)는 Ar을 포함하였다. 도 32는 또한 DC/RFH 처리 시스템에 대한 EEDf(a.u) 데이터 대 전자 에너지(eV) 데이터의 제2 그래프(3220)를 포함하고, 이 제1 절차 동안, 챔버 압력은 약 30 mT이며, 13.56 Mhz RF 바이어스 전력은 약 600W이고, DC 전압은 약 -600VDC이며, 프로세스 가스(B)는 Ar/O2을 포함하였다. 그래프(3210 및 3220)는 "연속(continuum)" 부분이 RF 전극에 따라 좌우되지 않고 "600 eV 발리스틱 피크"는 그래프(3210 및 3220) 둘 다에 나타남을 보여준다.
도 33은 본 발명의 실시예들에 따른 DC/RFH 처리 시스템에 대한 펄스형 RF 데이터를 도시한다. 도 33은 DC/RFH 처리 시스템에 대한 Idump(마이크로암페어) 데이터 대 시간(마이크로초) 데이터의 제1 그래프(3310)를 포함하고, 이 제1 절차 동안, 챔버 압력은 약 40 mT이며, 13.56 Mhz RF 바이어스 전력(300W)은 펄스형이고, DC 전압은 약 -900 VDC이었다. 도 33은 DC/RFH 처리 시스템에 대한 Idump(마이크로암페어) 데이터 대 시간(마이크로초) 데이터의 제2 그래프(3320)를 포함하고, 이 제2 절차 동안, 챔버 압력은 약 40 mT이며, 13.56 Mhz RF 바이어스 전력(300W)은 펄스형이고, DC 전압은 약 -800 VDC이었다. 도 33은 DC/RFH 처리 시스템에 대한 Idump(마이크로암페어) 데이터 대 시간(마이크로초) 데이터의 제3 그래프(3330)를 포함하고, 이 제3 절차 동안, 챔버 압력은 약 40 mT이고, 13.56 Mhz RF 바이어스 전력(300W)은 펄스형이고, DC 전압은 약 -700 VDC이었다. 또한, RF 신호가 오프되어 있는(0W) 제1 영역(3350)이 도시되어 있고, RF 신호가 온되어 있는(600W) 제2 영역(3360)이 도시되어 있다.
도 34는 본 발명의 실시예들에 따른 DC/RFH 처리 시스템에 대한 평균 자유 경로 데이터를 도시한다. 도 34는 약 0.1 mT의 챔버 압력에 대한 평균 자유 경로(cm) 데이터 대 전자 에너지(eV) 데이터의 제1 그래프(3410), 약 0.4 mT의 챔버 압력에 대한 평균 자유 경로(cm) 데이터 대 전자 에너지(eV) 데이터의 제2 그래프(3420), 약 20 mT의 챔버 압력에 대한 평균 자유 경로(cm) 데이터 대 전자 에너지(eV) 데이터의 제3 그래프(3430), 약 40 mT의 챔버 압력에 대한 평균 자유 경로(cm) 데이터 대 전자 에너지(eV) 데이터의 제4 그래프(3440), 및 약 50 mT의 챔버 압력에 대한 평균 자유 경로(cm) 데이터 대 전자 에너지(eV) 데이터의 제5 그래프(3450)를 포함한다. 이 데이터는 압력에 따른 의존도를 보여준다.
본 발명자들은 (1) 플라즈마 전자 에너지 분포(EEDf)가 에칭에 영향을 미치는 벌크 플라즈마 물리적 및 화학적 특성들을 제어하고, DC/RFH 처리 시스템에서 EEDf가 제어되어야 하며, (2) EEDf의 에너지 부분이 웨이퍼 시스를 침투하고 DC/RFH 처리 시스템에서 웨이퍼와 접촉하게 될 때, 에칭 비율, 선택성, 및 프로파일에 긍정적인 효과가 일어나고, DC/RFH 처리 시스템에서의 DC + RF 소스가 긍정적인 효과를 제어 및/또는 최적화하도록 구성될 수 있다고 결정하였다.
도 35는 본 발명의 실시예들에 따른 DC/RFH 처리 시스템을 사용하여 제1 기판 세트를 처리하는 방법의 예시적인 흐름도를 도시한다.
3510에서, 제1 기판 세트가 DC/RFH 처리 시스템 또는 DC/RFH 처리 시스템에 결합된 이동 서브시스템에 의해 수용될 수 있다. 기판은 그 위에 하나 이상의 증착된 층을 가질 수 있으며, 증착된 층들은 ARC(Anti-Reflective Coating) 재료, BARC(Bottom Anti-Reflective Coating) 재료, TARC(Top Anti-Reflective Coating) 재료, 유기 평탄층(OPL; organic planarization layer) 재료, 유기 유전체층(ODL; organic dielectric layer) 재료, 단일 주파수 레지스트 재료, 듀얼 톤 레지스트 재료, freezable 또는 frozen 레지스트 재료, UV 레지스트 재료, 또는 EUV 레지스트 재료, 또는 이들의 임의의 조합을 가질 수 있다. 예를 들어, 일부 DC/RFH 절차 동안, 기판은 그 위에 적어도 하나의 노광되지 않은 층을 가질 수 있으며, 다른 DC/RFH 절차 동안, 기판은 그 위에 적어도 하나의 노광된 층을 가질 수 있다. 이중 노광 순서 동안 DC/RFH 절차가 수행될 때, 상이한 이중 노광 순서 동안 상이한 DC/RFH 레시피가 사용될 수 있다.
3515에서, 제1 기판 세트의 제1 기판에 대하여 제1 전자 에너지 분포 함수(EEDf)1 데이터가 결정될 수 있다. 제1 (EEDf)1 데이터는 맥스웰 성분, 고에너지 성분, 및 중간 에너지 성분 중 적어도 하나를 포함할 수 있다. 중간 에너지 성분은 맥스웰 성분과 고에너지 성분 사이에 위치될 수 있고, 중간 에너지 성분은 적어도 하나의 중간 에너지 피크를 포함할 수 있다.
3520에서, 제1 (EEDf)1 데이터를 사용하여 제1 기판에 대한 제1 DC/RFH 프로세스 레시피가 결정될 수 있다. 일부 실시예에서, 제1 DC/RFH 프로세스 레시피는 (EEDf) 데이터에서의 중간 에너지 피크를 사용하여 결정될 수 있다. 다른 실시예에서, 제1 DC/RFH 프로세스 레시피는 맥스웰 성분, 고에너지 성분 및 중간 에너지 성분 중 적어도 하나를 사용하여 결정될 수 있다.
3525에서, 제1 기판은 제1 DC/RFH 프로세스 레시피를 사용하여 처리될 수 있다. 일부 DC/RFH 절차에서, 프로세싱 영역(206, 도 2a-2d)에서 제1 프로세스 가스를 사용하여 제1 플라즈마가 생성될 수 있다. 도 2a, 도 2b, 도 2c, 및 도 2d에 도시된 바와 같이, 프로세싱 영역(206)은 프로세스 챔버(210, 도 2a 내지 도 2d)에 위치될 수 있고, RF 발생기(230)와 DC 공급 서브시스템(240)은 제1 플라즈마를 형성하기 위하여 프로세스 챔버(210, 도 2a 내지 도 2d)에서 DC 전극(245)에 연결될 수 있다. 제1 프로세스 가스가 프로세스 챔버(210, 도 2a 내지 도 2d)에 제공될 수 있고, 제1 프로세스 가스에 대한 유동 속도는 제1 플라즈마를 최적화하도록 모니터되고 제어될 수 있다.
3530에서, 제1 기판이 처리되기 전에, 그 동안, 그리고/또는 그 후에, 제1 기판에 대하여 프로세스 (EEDf)1 데이터가 획득될 수 있다. 예를 들어, 프로세스 챔버(210) 내에 구성된 적어도 하나의 서브-데바이 덤프 주기 디텍터 및/또는 적어도 하나의 슈퍼-데바이 트랩 주기 디텍터를 사용하여 프로세스(EEDf)1 데이터가 획득될 수 있다. 또한, 프로세스 (EEDf)1 데이터는 하나 이상의 센서(250) 또는 하나 이상의 측정 장치(234)를 사용하여 획득될 수 있다. 추가적인 데이터가 필요하다면, 제1 처리된 기판은 검사 서브시스템(150, 도 1) 및/또는 평가 서브시스템(160, 도 1)으로 이동될 수 있고, 제1 기판에 대한 검사 및/또는 평가 데이터가 획득될 수 있다.
3535에서 리스크 데이터(risk data)는 제1 기판에 대해 프로세스(EEDf)1을 이용하여 제1 기판 세트에 대해서 결정 가능하며, 맥스웰 성분에 대한 리스크 데이터, 고에너지 성분에 대한 리스크 데이터, 중간 에너지 성분에 대한 리스크 데이터 및/또는 적어도 하나의 중간 에너지 피크에 대한 리스크 데이터를 포함할 수가 있다. 또한 컨피던스 데이터(confidence data)는 제1 기판에 대해 프로세스(EEDf)1을 이용하여 제1 기판 세트에 대해서 결정 가능하며, 맥스웰 성분에 대한 컨피던스 데이터, 고에너지 성분에 대한 컨피던스 데이터, 중간 에너지 성분에 대한 컨피던스 데이터 및/또는 적어도 하나의 중간 에너지 피크에 대한 컨피던스 데이터를 포함할 수가 있다.
3540에서, 리스크 데이터가 DC/RFH 프로세스 레시피에 대한 (EEDf)1 한계 내에 있는지를 판정하기 위한 질의를 수행할 수가 있다. 리스크 데이터가 DC/RFH 프로세스 레시피에 대한 (EEDf)1 한계 내에 있는 경우, 절차(3500)는 (3545)로 분기 가능하며, 비가공 기판이 처리 가능하다. 리스크 데이터가 DC/RFH 프로세스 레시피에 대한 (EEDf)1 한계 내에 있지 않으면, 절차(3500)는 (3550)로 분기 가능하며, 하나 이상의 정정 조치가 수행 가능하다.
하나 이상의 컨트롤러(114, 124, 134, 144, 154, 164, 190)를 이용하여 하나 이상의 제1 비패턴화 기판 및/또는 패턴화 기판 세트와 연관된 실시간 및/또는 이력 데이터를 수신, 판정, 및/또는 송신 가능하다. 예컨대 실시간 및/또는 이력 데이터는 패턴화 및/또는 비패턴화 마스킹 층에 대한 (EEDf)1 데이터, 마스킹 피쳐에 대한 (EEDf)1 데이터, 및 적어도 하나의 주기적 신호 데이터에 대한 계측 데이터(metrology data)를 포함할 수가 있다. 또한 계측 데이터는 프로파일 데이터, 회절 신호 데이터, CD 데이터, 및 에칭 프로세스에 대한 한계를 설정하기 위해 이용 가능한 측벽각(SWA) 데이터를 포함할 수 있다.
일부 실시예에서 정정 조치는 프로세싱을 중단하는 것과, 프로세싱을 일시 멈추는 것과, 하나 이상의 기판을 재평가하는 것과, 하나 이상의 기판을 재측정하는 것과, 하나 이상의 기판을 재검사하는 것과, 하나 이상의 기판을 재작업하는 것과, 하나 이상의 기판을 저장하는 것과, 하나 이상의 기판을 세정하는 것과, 하나 이상의 기판을 지연하는 것과, 혹은 하나 이상의 기판을 박리하는 것과, 혹은 이들 중 어느 하나의 조합을 포함할 수가 있다.
정정 조치는 하나 이상의 기판에 대해 신규 및/또는 갱신 (EEDf)1 데이터를 계산하는 것을 포함할 수 있다. 또한, 정정 조치는 리스크 맵 및/또는 컨피던스 맵에서 하나 이상의 값이 한계 내에 있지 않은 경우 하나 이상의 사이트에 의해 필요한 평가 사이트의 수를 증가하는 것과, 리스크 및/또는 컨피던스 맵에서 하나 이상의 값이 한계 내에 있는 경우 하나 이상의 사이트에 의해서 필요한 평가 사이트의 수를 감소하는 것을 포함할 수가 있다.
일부 실시예에서 DC/RFH 절차에 대한 개별 및/또는 총 컨피던스 값은 개별 및/또는 총 컨피던스 한계와 비교 가능하다. 하나 이상의 컨피던스 한계가 부합하는 경우 기판 세트의 프로세싱을 계속할 수가 있고, 하나 이상의 컨피던스 한계가 부합하지 않는 경우 정정 조치가 적용 가능하다. 정정 조치는 제1 기판 세트에서 하나 이상의 부가 기판에 대한 컨피던스 값을 설정하는 것과, 하나 이상의 부가 기판에 대한 컨피던스 값과 부가 컨피던스 한계를 비교하는 것과, 하나 이상의 부가 컨피던스 한계가 부합하는 경우 DC/RFH 절차를 계속하거나 혹은 부가 컨피던스 한계가 부합하지 않는 경우 DC/RFH 절차를 중지하는 것을 포함할 수 있다.
또 다른 실시예에서 기판에 대한 개별 및/또는 총 리스크 값은 개별 및/또는 총 리스크 한계와 비교 가능하다. 하나 이상의 리스크 한계가 부합하는 경우 기판 세트의 프로세싱이 계속되거나 혹은 하나 이상의 리스크 한계가 부합하지 않는 경우 정정 조치가 적용 가능하다. 정정 조치는 제1 기판 세트에서 하나 이상의 부가 기판에 대한 리스크 값을 설정하는 것과, 하나 이상의 부가 기판에 대한 리스크 값과 부가 리스크 한계를 비교하는 것과, 하나 이상의 부가 리스크 한계가 부합하는 경우 DC/RFH 절차를 계속하거나 혹은 부가 리스크 한계가 부합하지 않는 경우 DC/RFH 절차를 중지하는 것을 포함할 수 있다.
도 36은 본 발명의 실시예들에 따른 유전체 구조를 이용한 DC/R 에칭 절차의 일례의 도면을 도시하고 있다. 도시된 실시예에서 2개의 일례의 스택(3600A 및 3600B)이 도시되고 있으나 이는 반드시 본 발명에 대해서 필수 불가결한 것은 아니다. 이와 달리 상이한 수의 스택 및 상이한 구조를 이용할 수가 있다.
기판층(3610), 유전층(3620), 마스크층(3630)을 포함하는 제1 스택(3600A)이 도시되고 있다. 예컨대 기판층(3610)은 반도체 물질, 금속 게이트(HfO2) 물질, 폴리 게이트 물질, TiN 물질, 및/또는 비정질 실리콘(a-Si) 물질을 포함할 수 있다; 즉 유전체 층(3620)은 저(low) k 물질 및/또는 초저 k 물질을 포함할 수 있고, 마스크 층(3630)은 실리콘 함유 반사방지 코팅(SiARC) 물질, ArF 포토레지스트 물질, 단일주파수 레지스트 물질, 듀얼 톤 레지스트 물질, 동결 가능 또는 동결된 레지스트 물질, UV(Ultra Violet) 레지스트, 및/또는 ARC 물질 또는 EUV(Extreme Ultra Violet) 레지스트 및/또는 ARC 물질을 포함할 수 있다.
제2 스택(3600B)은 기판 층(3610) 및 에칭된 유전층(3650)을 포함하는 것으로 도시되어 있다. 예를 들어, 기판 층(3610)은 반도체 물질, 금속 게이트(HfO2) 물질, 폴리게이트 물질, TiN 물질, 및/또는 비정질 실리콘(a-Si) 물질을 포함할 수 있고, 에칭된 유전층(3650)은 에칭된 저k 물질 및/또는 에칭된 초저k 물질을 포함할 수 있다.
에칭된 유전층(3650)은 복수의 유전체 피쳐부(3670) 및 복수의 고 애스펙트비 비아들 및/또는 고 애스펙트비 콘택트(3660)를 포함한다. 유전체 피쳐부(3670)는 10 nm 내지 500 nm 사이에서 변할 수 있는 두께(높이)(3671)를 가질 수 있고 10 nm 내지 500 nm 사이에서 변할 수 있는 폭(3672)을 가질 수 있다. 고 애스펙트비 비아들 및/또는 고 애스펙트비 콘택트(3660)는 10 nm 내지 500 nm 사이에서 변할 수 있는 깊이(3661)를 가질 수 있고 10 nm 내지 500 nm 사이에서 변할 수 있는 개구부(3662)를 가질 수 있다. DC/RFH 절차가 (EEDf) 데이터를 이용할 때, 개구부(3662)는 보다 원형인 형상을 가지며, 이 개선된 형상은 고 애스펙트비 비아들 및/또는 고 애스펙트 비 콘택트(3660)의 보다 균일한 충전을 허용한다.
도 37은 본 발명의 실시예들에 따라 직류(DC)/무선 주파수(RF) 하이브리드(DC/RFH) 처리 시스템을 이용한 다른 기판 처리 방법의 예시적인 흐름도를 나타낸다.
3710에서, 하나 이상의 기판은 DC/RFH 처리 시스템으로 수용될 수 있고, 제1 기판은 DC/RFH 처리 시스템 내의 프로세스 챔버의 기판 홀더 상에 위치될 수 있다. 기판은 그 위에 하나 이상의 퇴적층을 가질 수 있고 퇴적층은 ARC 물질, BARC 물질, TARC 물질, 유기 평탄화 층(OPL) 물질, 유기 유전층(ODL) 물질, 단일 주파수 레지스트 물질, 듀얼 톤 레지스트 물질, 동결가능 또는 동결된 레지스트 물질, UV 레지스트 물질 또는 EUV 레지스트 물질, 또는 이들의 임의의 조합을 포함할 수 있다. 예를 들어, 일부 DC/RFH 절차 동안, 기판은 그 위에 적어도 하나의 비노광 층을 가질 수 있고, 다른 DC/RFH 절차 동안, 기판은 그 위에 노광 층을 가질 수 있다. DC/RFH 절차가 이중 노광 시퀀스 동안에 수행될 때, 다른 DC/RFH 레시피가 다른 이중 노광 시퀀스 동안에 이용될 수 있다.
3715에서, 제1 전자 에너지 분배 함수(EEDf)1 데이터가 제1 기판 세트 내의 제1 기판에 대하여 결정될 수 있다. 제1 (EEDf)1 데이터는 맥스웰 성분, 고에너지 성분, 및 중간 에너지 성분 중 적어도 하나를 포함할 수 있다. 중간 에너지 성분은 맥스웰 성분와 고에너지 성분 사이에 위치될 수 있고, 중간 에너지 성분은 적어도 하나의 중간 에너지 피크를 포함할 수 있다.
3720에서, 제1(EEDf)1 를 이용하여 제1 기판에 대하여 제1 DC/RFH 절차 및 제1 DC/RFH 프로세스 레시피를 결정할 수 있다. 일부 실시예에서, 제1 DC/RFH 절차 및 제1 DC/RFH 프로세스 레시피는 중간 에너지 피크를 이용하여 결정될 수 있다. 다른 실시예에서, 제1 DC/RFH 절차 및 제1 DC/RFH 프로세스 레시피는 맥스웰 성분, 고에너지 성분 및 중간 에너지 성분 중 적어도 하나를 이용하여 결정될 수 있다.
3725에서, 제1 DC/RFH 절차에 의해 설정된 제1 프로세스 파라미터를 이용하여 제1 기판을 처리할 수 있다. 일부 DC/RFH 절차에서, 처리 영역(206; 도 2a 내지 도 2d)에서 제1 프로세스 가스를 이용하여 제1 플라즈마를 생성할 수 있다. 도 2a 내지 도 2d에 나타낸 바와 같이, 처리 영역(206; 도 2a 내지 도 2d)은 프로세스 챔버(210; 도 2a 내지 도 2d)에 위치될 수 있고, RF 발생기(230; 도 2a 내지 도 2d)와 DC 공급 서브시스템(240)은 제1 플라즈마를 형성하기 위하여 프로세스 챔버(210; 도 2a 내지 도 2d)에 연결될 수 있다. 제1 프로세스 가스가 프로세스 챔버(210; 도 2a 내지 도 2d)에 제공될 수 있고, 제1 프로세스 가스의 유량은 제1 플라즈마를 최적화하도록 모니터링되어 제어될 수 있다.
3730에서, EEDf 관련 데이터는 프로세스 챔버 내에 구성된 EEDf 관련 센서를 이용하여 제1 기판에 대하여 실시간으로 획득될 수 있다. 추가 데이터가 필요할 때, 제1 처리 기판은 검사 서브시스템(150; 도 1) 및/또는 평가 서브시스템(160; 도 1)으로 수송될 수 있고, 제1 기판에 대하여 검사 및/또는 평가 데이터를 얻을 수 있다. 예를 들어, EEDf 관련 데이터는 맥스웰 성분에 대한 실시간 데이터, 고에너지 성분에 대한 실시간 데이터, 및 중간 에너지 성분에 대한 실시간 데이터 및/또는 적어도 하나의 중간 에너지 피크에 대한 실시간 데이터를 포함할 수 있다. 게다가, 리스크 데이터 및/또는 컨피던스 데이터가 제1 기판에 대한 EEDf-관련 데이터를 이용하여 그 기판에 대해 결정될 수 있고, 맥스웰 성분에 대한 리스크/컨피던스 데이터, 고에너지 성분에 대한 리스크/컨피던스 데이터, 중간 에너지 성분에 대한 리스크/컨피던스 데이터, 및/또는 적어도 하나의 중간 에너지 피크에 대한 리스크/컨피던스 데이터를 포함할 수 있다.
단계 3735에서, EEDf 관련 데이터가 제1 DC/RFH 절차 및 제1 프로세스 레시피에 대한 EEDf 관련 한계 내에 있는 지를 결정하도록 쿼리(query)가 수행될 수 있다. EEDf 관련 데이터가 DC/RFH 절차 레시피에 대한 (EEDf)1 한계 내에 있는 경우, 절차(3700)는 단계 3740으로 분기하여 DC/RFH 절차가 계속될 수 있다. EEDf-관련 데이터가 DC/RFH 절차 레시피에 대한 (EEDf)1 한계 내에 있지 않은 경우에, 절차(3700)는 단계 3745로 분기하고, 하나 이상의 보정 조치가 수행될 수 있다.
몇몇 예에서, 보정 조치는 적어도 하나의 슈퍼-데바이 트랩-피리어드 디텍터 및/또는 서브 데바이 덤프-피리어드 디텍터로부터 새로운 보정 데이터를 획득하고, 이 보정 데이터로 프로세스 파라미터 중 하나를 실시간으로 수정하는 것을 포함할 수 있다.
다른 예에서, 보정 조치는 처리를 중단하거나, 처리를 일시 중지하거나, 기판 중 하나 이상을 다시 평가하거나, 기판 중 하나 이상을 다시 측정하거나, 기판 중 하나 이상을 다시 검사하거나, 기판 중 하나 이상을 다시 가공하거나, 기판 중 하나 이상을 다시 저장하거나, 기판 중 하나 이상을 세정하거나, 기판 중 하나 이상을 지연시키거나, 기판 중 하나 이상을 스트리핑하거나, 또는 이들 중 임의의 조합을 포함할 수 있다.
또 다른 예에서, 하나 이상의 센서 기판이 검증된 DC/RFH 절차 및/또는 검증된 DC/RFH 데이터를 획득하도록 처리될 수 있다. 소정 DC/RFH 절차가 검증된 경우에, 하나 이상의 검증(센서) 구조체가 센서 기판 상에 생성될 수 있다. 센서 기판이 이용되는 경우, 하나 이상의 센서 구조체는 센서 기판 상의 다수의 사전에 검증된 센서 구조체들로부터 선택될 수 있다. 검증 중에, (EEDf) 데이터는 슈퍼-데바이 트랩-피리어드 디텍터 및 서브-데바이 덤프-피리어드 디텍터를 포함할 수 있는 검증(센서) 구조체 중 적어도 하나로부터 획득할 수 있다. 검증된 (EEDf) 데이터는 DC/RFH 절차와 관련이 있는 검증 라이브러리 데이터로서 저장될 수 있다. 컨피던스 데이터 및/또는 리스크 데이터는 검증된 (EEDf) 데이터에 대해 결정 및/또는 저장될 수 있다. 게다가, 라이브러리의 (EEDf) 데이터는 적합도(goodness of fit: GOF) 데이터, 생성 규칙 데이터, 측정 데이터, 검사 데이터, 검증 데이터, 맵 데이터, 정밀도 데이터, 프로세스 데이터, 균일성 데이터 또는 이들의 임의의 조합을 포함할 수 있다.
도 38에서는 본 발명의 실시예에 따른 직류(DC)/무선 주파수(RF) 하이브리드(DC/RFH) 처리 시스템을 이용하여 기판을 처리하는 다른 방법의 예시적인 흐름도이다.
단계 3810에서, 테스트(데이터 수집) 기판이 DC/RFH 처리 시스템에서 받아들인 하나 이상의 기판에서 선택될 수 있다. 몇몇 실시예에서, 테스트(데이터 수집) 기판은 테스트 기판(도 8의 도면 부호 800)을 포함할 수 있고, 복수의 슈퍼-데바이 트랩-피리어드 디텍터(도 8의 도면 부호 810) 및 복수의 서브-데바이 덤프-피리어드 디텍터(도 8의 도면 부호 820)를 구비할 수 있다. 다른 실시예에서, 테스트(데이터 수집) 기판은 하나 이상의 프로세스 스택(도 36에서의 도면 부호 3600A 및 도면 부호 3600B)을 포함할 수 있고, 이 테스트(데이터 수집) 기판은 그 상에 하나 이상의 층을 포함할 수 있고, 이들 층에는 ARC 재료, BARC 재료, TARC 재료, 유기 평탄화층(organic planarization layer : OPL) 재료, 유기 유전층(ODL) 재료, 단일 주파수 레지스트 재료, 듀얼 톤 레지스트 재료, 동결 가능 또는 동결 레지스트 재료, 자외선(UV) 레지스트 재료, 극초자외선(EUV) 레지스트 재료, 또는 이들의 임의의 조합이 포함될 수 있다.
단계 3815에서, 선택된 테스트(데이터 수집) 기판은 DC/RFH 처리 시스템(도 2a 내지 도 2d의 도면 부호 200A 내지 200D)의 프로세스 챔버(도 2a 내지 도 2d의 도면 부호 210) 내의 기판 홀더(도 2a 내지 도 2d의 도면 부호 220) 상에 배치될 수 있다. 게다가, 새로운 테스트(데이터 수집) 기판이 선택된 경우에, 이 새로운 테스트(데이터 수집) 기판은 DC/RFH 처리 시스템(도 2a 내지 도 2d의 도면 부호 200A 내지 200D)의 프로세스 챔버(도 2a 내지 도 2d의 도면 부호 210) 내의 기판 홀더(도 2a 내지 도 2d의 도면 부호 220) 상에 배치될 수 있다.
단계 3820에서, 전자 에너지 분포 함수(EEDf)가 테스트 기판에 대해 결정될 수 있고, 이 EEDf 데이터는 맥스웰 성분, 고에너지 성분, 중간 에너지 성분 또는 이들의 임의의 조합을 포함할 수 있다. 중간 에너지 성분은 맥스웰 성분과 고에너지 성분 사이에 위치할 수 있는 것으로, 적어도 하나의 중간 에너지 피크를 포함할 수 있다. 게다가, 새로운 테스트(데이터 수집) 기판이 선택된 경우에, 새로운 EEDf 데이터가 새로운 테스트 기판에 대해 결정될 수 있고, 이 새로운 EEDf 데이터는 새로운 맥스웰 성분, 새로운 고에너지 성분, 새로운 중간 에너지 성분, 또는 이들의 임의의 조합을 포함할 수 있다.
단계 3825에서, DC/RFH 절차 및 DC/RFH 프로세스 레시피가 선택된 기판에 대해 사전에 결정된 EEDf 데이터를 이용하여 선택될 수 있다. 몇몇 실시예에서, DC/RFH 절차 및 DC/RFH 프로세스 레시피는 중간 에너지 피크 데이터를 이용하여 선택될 수 있다. 다른 실시예에서, DC/RFH 절차 및 DC/RFH 프로세스 레시피는 맥스웰 데이터, 고에너지 데이터, 또는 중간 에너지 데이터로부터 선택될 수 있다. 게다가, 새로운 테스트(데이터 수집) 기판이 선택된 경우, 새로운 DC/RFH 절차 및 새로운 DC/RFH 프로세스 레시피가 새로이 선택된 기판에 대해 사전에 결정된 EEDf 데이터 및/또는 새로운 EEDf 데이터를 이용하여 선택될 수 있다.
단계 3830에서, 선택된 기판이 DC/RFH 절차에 의해 설정된 DC/RFH 프로세스 파라미터를 이용하여 처리되고 있는 중에 EEDf 관련 데이터가 실시간으로 획득될 수 있다. 몇몇 DC/RFH 절차에서, 플라즈마가 프로세스 가스를 이용하여 처리 영역(도 2a 내지 도 2d의 도면 부호 206) 내에 생성될 수 있다. 도 2a, 도 2b, 도 2c 및 도 2d에 도시한 바와 같이, 처리 영역(도 2a 내지 도 2d의 도면 부호 206)은 프로세스 챔버(도 2a 내지 도 2d의 도면 부호 210) 내에 위치할 수 있고, RF 제너레이터(도 2a 내지 도 2d의 도면 부호 230) 및 DC 공급 서브시스템(도 2a 내지 도 2d의 도면 부호 240)이 프로세스 챔버(도 2a 내지 도 2d의 도면 부호 210)에 연결되어, 제1 플라즈마를 형성할 수 있다. 프로세스 챔버(도 2a 내지 도 2d의 도면 부호 210)에 제1 프로세스 가스를 공급하면서, 이 제1 프로세스 가스의 유량을 모니터링하고 제어하여 제1 플라즈마를 최적화할 수 있다. 게다가, 새로이 선택된 기판이 새로운 DC/RFH 절차에 의해 설정된 새로운 DC/RFH 프로세스 파라미터를 이용하여 처리되고 있는 중에 새로운 EEDf 관련 데이터가 실시간으로 획득될 수 있다.
단계 3835에서, 선택된 기판, 선택된 DC/RFH 절차 및 선택된 DC/RFH 프로세스 레시피에 대해 EEDf 관련 데이터 수집 프로세스가 완료되었는지를 결정하도록 쿼리가 수행될 수 있다. EEDf 관련 데이터 수집 프로세스가 완료된 경우에, 절차(3800)는 단계 3870으로 분기하여 절차(3800)를 종료할 수 있다. EEDf 관련 데이터 수집 프로세스가 완료되지 않은 경우에, 절차(3800)는 단계 3840으로 분기하여 절차(3800)가 도 38에 도시한 바와 같이 계속될 수 있다. 게다가, 새로운 EEDf 관련 데이터 수집 프로세스가 완료된 경우에, 절차(3800)는 단계 3870으로 분기하여 절차(3800)를 종료할 수 있으며, 새로운 EEDf 관련 데이터 수집 프로세스가 완료되지 않은 경우에, 절차(3800)는 단계 3840으로 분기하여 절차(3800)가 도 38에 도시한 바와 같이 계속될 수 있다.
단계 3840에서, 선택된 기판 또는 새로이 선택된 기판에 대해 세정 절차가 요구되는지를 결정하도록 쿼리를 수행할 수 있다. 선택된 기판 또는 새로이 선택된 기판에 대해 세정 절차가 필요한 경우에, 절차(3800)는 단계 3845로 분기하여 절차(3800)가 도 38에 도시한 바와 같이 계속될 수 있다. 선택된 기판 또는 새로이 선택된 기판에 대해 세정 절차가 필요하지 않은 경우에, 절차(3800)는 단계 3850으로 분기하여 절차(3800)가 도 38에 도시한 바와 같이 계속될 수 있다.
단계 3845에서, 세정 절차는 선택된 테스트 기판 또는 새로이 선택된 테스트 기판에 대해 수행될 수 있다. 몇몇 실시예에서, 선택된 테스트 기판 또는 새로이 선택된 테스트 기판은 세정 절차를 수행하는 경우에 프로세스 챔버(도 2a 내지 도 2d의 도면 부호 210) 내에 배치될 수 있다. 다른 실시예에서, 선택된 테스트 기판 또는 새로이 선택된 테스트 기판은 세정 절차를 수행하는 경우에 프로세스 챔버(도 2a 내지 도 2d의 도면 부호 210) 내에 배치되지 않는다.
단계 3850에서, 새로운 DC/RFH 절차 및/또는 새로운 DC/RFH 프로세스 레시피가 선택된 테스트 기판 또는 새로이 선택된 테스트 기판에 대해 요구되는지를 결정하도록 쿼리가 수행될 수 있다. 선택된 테스트 기판 또는 새로이 선택된 테스트 기판에 대해 새로운 DC/RFH 절차 및/또는 새로운 DC/RFH 프로세스 레시피가 필요한 경우에, 절차(3800)는 단계 3855로 분기하여 절차(3800)가 도 38에 도시한 바와 같이 계속될 수 있다. 선택된 테스트 기판 또는 새로이 선택된 테스트 기판에 대해 새로운 DC/RFH 절차 및/또는 새로운 DC/RFH 프로세스 레시피가 필요하지 않는 경우에는, 절차(3800)는 단계 3860으로 분기하여 절차(3800)가 도 38에 도시한 바와 같이 계속될 수 있다.
단계 3855에서, 새로운 DC/RFH 절차 및/또는 DC/RFH 프로세스 레시피가 선택된 테스트 기판 또는 새로이 선택된 테스트 기판에 대해 결정될 수 있다.
단계 3860에서, 새로운 테스트 기판이 요구되는지를 결정하도록 쿼리를 수행할 수 있다. 새로운 기판이 요구되는 경우에, 절차(3800)는 단계 3865로 분기하여 절차(3800)가 도 38에 도시한 바와 같이 계속될 수 있다. 새로운 기판이 요구되지 않는 경우에는 절차(3800)가 단계 3860으로 분기하여 절차(3800)가 도 38에 도시한 바와 같이 계속될 수 있다.
본 발명의 특정 실시예들에 대해서만 앞서 상세하게 설명하였지만, 당업자라면 본 발명의 신규한 교시 및 이점으로부터 실질적으로 벗어나지 않고 그 실시예들로부터 수많은 수정이 이루어질 수 있다는 점을 용이하게 이해할 것이다. 따라서, 그러한 모든 수정예도 본 발명의 범위 내에 포함될 것이다.
따라서, 본 명세서는 본 발명을 제한하고자하는 것이 아니며, 본 발명의 구성, 작동, 및 거동은 본 명세서에 제시된 세부 사항의 수준을 고려하여 그 실시예들의 수정 및 변형이 가능하다는 조건하에서 설명한 것이다. 따라서, 전술한 상세한 설명은 어떠한 식으로든 본 발명의 제한을 의도하거나 의미하는 것이 아니라, 본 발명의 범위는 첨부된 청구의 범위에 의해 결정될 것이다.

Claims (19)

  1. 직류(DC)/무선 주파수(RF) 하이브리드(DC/RFH) 처리 시스템을 이용하여 기판을 처리하는 방법으로서,
    DC/RFH 처리 시스템에 연결된 이송 서브시스템에 의해 제1 기판 세트를 수용하는 단계;
    제1 기판에 대하여 적어도 하나의 제1 전자 에너지 분포 함수(EEDf)1 데이터를 결정하는 단계;
    상기 적어도 하나의 (EEDf)1 데이터를 이용하여 상기 제1 기판에 대한 제1 DC/RFH 프로세스 레시피를 결정하는 단계;
    상기 제1 DC/RFH 프로세스 레시피를 이용하여 상기 제1 기판을 처리하는 단계;
    상기 제1 기판에 대한 프로세스 데이터를 확보하는 단계;
    처리된 상기 제1 기판에 대한 프로세스 데이터로부터 상기 제1 기판 세트에 대한 리스크 데이터를 결정하는 단계;
    상기 리스크 데이터를 미리 결정된 한계 조건과 비교하는 단계;
    상기 리스크 데이터가 상기 미리 결정된 한계 조건 중 적어도 하나를 초과하지 않을 때, 상기 제1 기판 세트에서의 추가적인 기판을 처리하는 단계;
    상기 리스크 데이터가 상기 미리 결정된 한계 조건 중 하나 이상을 초과할 때, 상기 제1 기판 세트에서의 추가적인 기판이 연속하여 처리되지 않도록 하기 위한 동작을 수행하는 단계
    를 포함하는 기판 처리 방법.
  2. 제1항에 있어서, 상기 적어도 하나의 제1 (EEDf)1 데이터는 맥스웰 성분, 고에너지 성분 및 중간 에너지 성분 중 적어도 하나를 포함하는 것인 기판 처리 방법.
  3. 제2항에 있어서, 중간 에너지 성분은 맥스웰 성분과 고에너지 성분 사이에 위치하고, 중간 에너지 성분은 적어도 하나의 중간 에너지 피크를 포함하는 것인 기판 처리 방법.
  4. 제1항에 있어서, 제1 기판은, 반도체 재료가 그 안에 혹은 그 위에 있는 적어도 하나의 기판 층과, 저유전상수(low-k) 재료가 그 안에 혹은 그 위에 있는 적어도 하나의 유전체 층, 그리고 포토레지스트와 반사 방지막(ARC) 중 어느 하나 또는 양자 모두가 그 안에 혹은 그 위에 있는 적어도 하나의 마스크 층을 포함하는 것인 기판 처리 방법.
  5. 제1항에 있어서, 제1 DC/RFH 프로세스 레시피는, 적어도 하나의 맥스웰 성분, 적어도 하나의 고에너지 성분, 적어도 하나의 중간 에너지 성분, 또는 이들의 임의의 조합을 이용하여 결정되는 것인 기판 처리 방법.
  6. 제5항에 있어서, 제1 DC/RFH 프로세스 레시피는, 적어도 하나의 중간 에너지 성분에서의 적어도 하나의 중간 에너지 피크를 이용하여 결정되는 것인 기판 처리 방법.
  7. 제1항에 있어서, 제1 기판을 처리하는 단계는,
    상기 DC/RFH 처리 시스템의 프로세스 챔버 내에 형성된 기판 홀더 상에 상기 제1 기판을 배치하는 단계;
    상기 프로세스 챔버 내에 형성된 상측 어셈블리에 연결된 가스 공급 시스템을 이용하여 프로세스 챔버 내의 제1 기판 위의 프로세스 영역에 제1 프로세스 가스를 제공하는 단계;
    상기 상측 어셈블리에서 직류(DC) 전압을 DC 전극에 제공하는 단계로서, DC 공급 서브시스템이 상기 DC 전극에 연결되어 -2000.0 VDC 내지 0.0 VDC 범위의 DC 전압을 상기 DC 전극에 제공하도록 구성되어 있는 것인, DC 전압을 DC 전극에 제공하는 단계;
    프로세스 챔버 내에 압력을 형성하는 단계로서, 압력 제어 서브시스템이 프로세스 챔버에 연결되어 상기 프로세스 챔버 내의 압력을 제어하도록 구성되어 있으며, 상기 제1 기판이 처리될 때 상기 프로세스 챔버 내의 압력은 5 mTorr 내지 400 mTorr인 것인, 프로세스 챔버 내에 압력을 형성하는 단계; 및
    상기 기판 홀더 내에 형성된 하측 전극에 RF 신호를 인가하는 단계로서, RF 발생기가 상기 하측 전극에 연결되어 상기 RF 신호를 상기 하측 전극에 인가하여 상기 프로세스 영역에 플라즈마를 형성하도록 구성되어 있는 것인, 하측 전극에 RF 신호를 인가하는 단계
    를 더 포함하는 것인 기판 처리 방법.
  8. 제7항에 있어서, 상기 제1 프로세스 가스는 적어도 하나의 탄화플루오르 가스 및 적어도 하나의 불활성 가스를 포함하고, 제1 탄화플루오르 가스의 유량은 10 sccm 내지 50 sccm 이며, 제1 불활성 가스의 유량은 3 sccm 내지 20 sccm이고, 탄화플루오르 가스는 C4F6, C4F8, C5F8, CHF3, CF4, 또는 이들의 임의의 조합을 포함하며, 불활성 가스는 아르곤(Ar), 헬륨(He), 크립톤(Kr), 네온(Ne), 라돈(Rn), 크세논(Xe), 또는 이들의 임의의 조합을 포함하는 것인 기판 처리 방법.
  9. 제1항에 있어서, 상기 제1 기판 세트에서의 추가적인 기판이 연속하여 처리되지 않도록 하기 위한 동작을 수행하는 단계는,
    상기 제1 기판 세트에서의 하나 이상의 추가적인 기판에 대하여 리스크 값을 형성하는 단계;
    상기 추가적인 기판 중 하나 이상의 기판에 대한 리스크 값을 추가적인 리스크 한계와 비교하는 단계;
    상기 추가적인 리스크 한계 중 하나 이상이 지켜질 때, 처리를 지속하는 단계; 및
    상기 추가적인 리스크 한계 중 하나 이상이 지켜지지 않는 경우, 처리를 중단하는 단계
    를 더 포함하는 것인 기판 처리 방법.
  10. 직류(DC)/무선 주파수(RF) 하이브리드(DC/RFH) 처리 시스템을 이용하여 기판을 처리하는 방법으로서,
    DC/RFH 처리 시스템에 의해 수용된 제1 기판 세트로부터 제1 기판을 선택하는 단계;
    상기 제1 기판에 대하여 제1 전자 에너지 분포 함수(EEDf)1 데이터를 결정하는 단계;
    상기 제1 (EEDf)1 데이터를 이용하여 상기 제1 기판에 대한 제1 DC/RFH 절차 및 제1 DC/RFH 프로세스 레시피를 결정하는 단계;
    상기 제1 DC/RFH 프로세스 레시피에 대하여 형성된 제1 프로세스 파라미터를 이용하여 상기 제1 기판을 처리하는 단계;
    프로세스 챔버 내에 형성된 센서를 이용하여, 상기 제1 기판의 처리를 행하는 동안에, 상기 제1 기판에 대해 실시간으로 EEDf 프로세스 데이터를 확보하는 단계;
    상기 EEDf 프로세스 데이터를 미리 결정된 한계 조건과 비교하는 단계;
    상기 EEDf 프로세스 데이터가 상기 미리 결정된 한계 조건 중 적어도 하나를 초과하지 않을 때, 상기 제1 DC/RFH 절차의 지속을 허용하는 단계;
    상기 EEDf 프로세스 데이터가 상기 미리 결정된 한계 조건 중 하나 이상을 초과할 때, 상기 제1 DC/RFH 절차의 지속을 허용하지 않도록 하기 위한 동작을 수행하는 단계
    를 포함하는 기판 처리 방법.
  11. 제10항에 있어서, 상기 제1 (EEDf)1 데이터는 맥스웰 성분, 고에너지 성분 및 중간 에너지 성분 중 적어도 하나를 포함하는 것인 기판 처리 방법.
  12. 제11항에 있어서, 중간 에너지 성분은 맥스웰 성분과 고에너지 성분 사이에 위치하고, 중간 에너지 성분은 적어도 하나의 중간 에너지 피크를 포함하는 것인 기판 처리 방법.
  13. 제10항에 있어서, 상기 제1 기판은, 반도체 재료가 그 안에 혹은 그 위에 있는 적어도 하나의 기판 층과, 저유전상수 재료가 그 안에 혹은 그 위에 있는 적어도 하나의 유전체 층, 그리고 포토레지스트와 반사 방지막(ARC) 중 어느 하나 또는 양자 모두가 그 안에 혹은 그 위에 있는 적어도 하나의 마스크 층을 포함하는 것인 기판 처리 방법.
  14. 제10항에 있어서, 상기 제1 DC/RFH 프로세스 레시피는, 적어도 하나의 맥스웰 성분, 적어도 하나의 고에너지 성분, 적어도 하나의 중간 에너지 성분, 또는 이들의 임의의 조합을 이용하여 결정되는 것인 기판 처리 방법.
  15. 제14항에 있어서, 상기 제1 DC/RFH 프로세스 레시피는, 적어도 하나의 중간 에너지 성분에서의 적어도 하나의 중간 에너지 피크를 이용하여 결정되는 것인 기판 처리 방법.
  16. 제10항에 있어서, 상기 제1 기판을 처리하는 단계는,
    상기 DC/RFH 처리 시스템의 프로세스 챔버 내에 형성된 기판 홀더 상에 상기 제1 기판을 배치하는 단계;
    상기 프로세스 챔버 내에 형성된 상측 어셈블리에 연결된 가스 공급 시스템을 이용하여 상기 프로세스 챔버 내의 상기 제1 기판 위의 프로세스 영역에 제1 프로세스 가스를 제공하는 단계;
    상기 상측 어셈블리에서 직류(DC) 전압을 DC 전극에 제공하는 단계로서, DC 공급 서브시스템이 DC 전극에 연결되어 -2000.0 VDC 내지 0.0 VDC 범위의 DC 전압을 DC 전극에 제공하도록 구성되어 있는 것인, DC 전압을 DC 전극에 제공하는 단계;
    프로세스 챔버 내에 압력을 형성하는 단계로서, 압력 제어 서브시스템이 상기 프로세스 챔버에 연결되어 상기 프로세스 챔버 내의 압력을 제어하도록 구성되어 있으며, 상기 제1 기판이 처리될 때 상기 프로세스 챔버 내의 압력은 5 mTorr 내지 400 mTorr인 것인, 프로세스 챔버 내에 압력을 형성하는 단계; 및
    상기 기판 홀더 내에 형성된 하측 전극에 RF 신호를 인가하는 단계로서, RF 발생기가 상기 하측 전극에 연결되어 상기 RF 신호를 상기 하측 전극에 인가하여 상기 프로세스 영역에 플라즈마를 형성하도록 구성되어 있는 것인, 하측 전극에 RF 신호를 인가하는 단계
    를 더 포함하는 것인 기판 처리 방법.
  17. 제16항에 있어서, 상기 제1 프로세스 가스는 적어도 하나의 탄화플루오르 가스 및 적어도 하나의 불활성 가스를 포함하고, 제1 탄화플루오르 가스의 유량은 10 sccm 내지 50 sccm 이며, 제1 불활성 가스의 유량은 3 sccm 내지 20 sccm이고, 탄화플루오르 가스는 C4F6, C4F8, C5F8, CHF3, CF4, 또는 이들의 임의의 조합을 포함하며, 불활성 가스는 아르곤(Ar), 헬륨(He), 크립톤(Kr), 네온(Ne), 라돈(Rn), 크세논(Xe), 또는 이들의 임의의 조합을 포함하는 것인 기판 처리 방법.
  18. 제17항에 있어서, 상기 기판 홀더는, 이면측 가스 시스템에 연결된 이중의 이면측 가스 요소와, 상기 제1 기판에 대해 제1 가장자리 온도 및 제1 중앙 온도를 형성하도록 구성된 온도 제어 시스템에 연결되어 있는 온도 제어 요소를 포함하고, 상기 제1 가장자리 온도 및 상기 제1 중앙 온도는 -10℃ 내지 100℃인 것인 기판 처리 방법.
  19. 제10항에 있어서, 상기 제1 DC/RFH 절차의 지속을 허용하지 않도록 하기 위한 동작을 수행하는 단계는,
    적어도 하나의 슈퍼-데바이(super-Debye) 트랩(trap)-주기 디텍터와 적어도 하나의 서브-데바이 덤프(dump)-주기 디텍터 중 한쪽 혹은 양쪽 모두로부터 새로운 수정 데이터를 확보하는 단계; 및
    상기 새로운 수정 데이터를 이용하여 상기 제1 프로세스 파라미터 중 하나를 실시간으로 수정하는 단계
    를 더 포함하는 것인 기판 처리 방법.
KR1020100092953A 2009-09-23 2010-09-24 Dc 및 rf 하이브리드 처리 시스템 KR101633937B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US24514609P 2009-09-23 2009-09-23
US61/245,146 2009-09-23

Publications (2)

Publication Number Publication Date
KR20110033097A KR20110033097A (ko) 2011-03-30
KR101633937B1 true KR101633937B1 (ko) 2016-06-27

Family

ID=43756949

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020100092953A KR101633937B1 (ko) 2009-09-23 2010-09-24 Dc 및 rf 하이브리드 처리 시스템

Country Status (3)

Country Link
US (1) US7993937B2 (ko)
JP (1) JP5577532B2 (ko)
KR (1) KR101633937B1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200096735A (ko) * 2019-02-05 2020-08-13 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US8398816B1 (en) 2006-03-28 2013-03-19 Novellus Systems, Inc. Method and apparatuses for reducing porogen accumulation from a UV-cure chamber
EP3385303A1 (en) 2006-10-10 2018-10-10 Dow Silicones Corporation Silicone polymer emulsions
US20100267231A1 (en) * 2006-10-30 2010-10-21 Van Schravendijk Bart Apparatus for uv damage repair of low k films prior to copper barrier deposition
US8283644B2 (en) * 2008-01-08 2012-10-09 Novellus Systems, Inc. Measuring in-situ UV intensity in UV cure tool
KR101354343B1 (ko) 2011-11-24 2014-01-27 서울대학교산학협력단 플라즈마의 전자 에너지 분포 특성 변동 모니터링 방법 및 장치
US9028765B2 (en) 2013-08-23 2015-05-12 Lam Research Corporation Exhaust flow spreading baffle-riser to optimize remote plasma window clean
US9697993B2 (en) 2013-11-06 2017-07-04 Tokyo Electron Limited Non-ambipolar plasma ehncanced DC/VHF phasor
US9520270B2 (en) * 2014-07-25 2016-12-13 Tokyo Eelctron Limited Direct current superposition curing for resist reflow temperature enhancement
KR102323073B1 (ko) * 2015-11-12 2021-11-09 세메스 주식회사 기판 온도 조절 장치 및 방법, 그리고 그를 이용하는 기판 처리 장치
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
KR102469678B1 (ko) * 2017-02-07 2022-11-22 도쿄엘렉트론가부시키가이샤 성막 시스템, 성막 방법 및 컴퓨터 기억 매체
CN111357077B (zh) * 2017-11-16 2023-09-08 东京毅力科创株式会社 使用同步信号调制的等离子体加工***
US10879052B2 (en) * 2018-11-21 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma processing apparatus and manufacturing method using the same
KR20220082068A (ko) 2019-11-08 2022-06-16 도쿄엘렉트론가부시키가이샤 에칭 방법
CN112786441A (zh) * 2019-11-08 2021-05-11 东京毅力科创株式会社 蚀刻方法及等离子体处理装置
US11456180B2 (en) 2019-11-08 2022-09-27 Tokyo Electron Limited Etching method
CN110850264B (zh) * 2019-11-27 2021-08-06 北京博达微科技有限公司 一种提高半导体放大器件直流参数测试速度和精度的方法
US20210210355A1 (en) * 2020-01-08 2021-07-08 Tokyo Electron Limited Methods of Plasma Processing Using a Pulsed Electron Beam
WO2021142383A1 (en) * 2020-01-10 2021-07-15 COMET Technologies USA, Inc. Plasma non-uniformity detection
US11670488B2 (en) * 2020-01-10 2023-06-06 COMET Technologies USA, Inc. Fast arc detecting match network
CN112597922B (zh) * 2020-12-28 2024-03-26 深圳市普汇智联科技有限公司 一种基于无碰撞lstm的多目标轨迹预测方法
US11495470B1 (en) * 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
JPWO2023042698A1 (ko) * 2021-09-17 2023-03-23

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000038688A (ja) 1998-07-23 2000-02-08 Nec Corp プラズマ処理方法及びプラズマ処理装置
JP2003282546A (ja) 2002-03-26 2003-10-03 Tohoku Techno Arch Co Ltd オンウエハ・モニタリング・システム
JP2005228727A (ja) 2003-04-24 2005-08-25 Tokyo Electron Ltd プラズマモニタリング方法、プラズマモニタリング装置及びプラズマ処理装置

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4478874A (en) * 1983-12-09 1984-10-23 Cosden Technology, Inc. Methods for improving the gas barrier properties of polymeric containers
US4858556A (en) * 1986-09-15 1989-08-22 Siebert Jerome F Method and apparatus for physical vapor deposition of thin films
US5114556A (en) * 1989-12-27 1992-05-19 Machine Technology, Inc. Deposition apparatus and method for enhancing step coverage and planarization on semiconductor wafers
US5578238A (en) * 1992-10-30 1996-11-26 Lord Corporation Magnetorheological materials utilizing surface-modified particles
US6045618A (en) * 1995-09-25 2000-04-04 Applied Materials, Inc. Microwave apparatus for in-situ vacuum line cleaning for substrate processing equipment
US6193802B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Parallel plate apparatus for in-situ vacuum line cleaning for substrate processing equipment
US6187072B1 (en) * 1995-09-25 2001-02-13 Applied Materials, Inc. Method and apparatus for reducing perfluorocompound gases from substrate processing equipment emissions
JPH1197430A (ja) * 1997-07-14 1999-04-09 Applied Materials Inc 高密度プラズマプロセスチャンバ
US6051113A (en) * 1998-04-27 2000-04-18 Cvc Products, Inc. Apparatus and method for multi-target physical-vapor deposition of a multi-layer material structure using target indexing
US6905578B1 (en) * 1998-04-27 2005-06-14 Cvc Products, Inc. Apparatus and method for multi-target physical-vapor deposition of a multi-layer material structure
US6228754B1 (en) * 1999-01-05 2001-05-08 Advanced Micro Devices, Inc. Method for forming semiconductor seed layers by inert gas sputter etching
US20020092616A1 (en) * 1999-06-23 2002-07-18 Seong I. Kim Apparatus for plasma treatment using capillary electrode discharge plasma shower
US6372156B1 (en) * 1999-08-19 2002-04-16 Bechtel Bwxt Idaho, Llc Methods of chemically converting first materials to second materials utilizing hybrid-plasma systems
US6255222B1 (en) * 1999-08-24 2001-07-03 Applied Materials, Inc. Method for removing residue from substrate processing chamber exhaust line for silicon-oxygen-carbon deposition process
US7250196B1 (en) * 1999-10-26 2007-07-31 Basic Resources, Inc. System and method for plasma plating
US6303490B1 (en) * 2000-02-09 2001-10-16 Macronix International Co., Ltd. Method for barrier layer in copper manufacture
US6503379B1 (en) * 2000-05-22 2003-01-07 Basic Research, Inc. Mobile plating system and method
US6541392B2 (en) * 2000-09-15 2003-04-01 Technology Ventures, L.L.C. Method for fabricating three dimensional anisotropic thin films
US20030159925A1 (en) * 2001-01-29 2003-08-28 Hiroaki Sako Spattering device
US7326669B2 (en) * 2001-09-20 2008-02-05 Honda Motor Co., Ltd. Substrate having catalyst compositions on surfaces of opposite sides
US20030180450A1 (en) * 2002-03-22 2003-09-25 Kidd Jerry D. System and method for preventing breaker failure
US6800494B1 (en) * 2002-05-17 2004-10-05 Advanced Micro Devices, Inc. Method and apparatus for controlling copper barrier/seed deposition processes
WO2004029323A1 (de) * 2002-09-30 2004-04-08 Incoat Gmbh Verbundwerkstoff
US20050031796A1 (en) * 2003-08-07 2005-02-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for controlling spatial distribution of RF power and plasma density
US20050126497A1 (en) * 2003-09-30 2005-06-16 Kidd Jerry D. Platform assembly and method
US7193228B2 (en) * 2004-03-10 2007-03-20 Cymer, Inc. EUV light source optical elements
US20050194475A1 (en) * 2004-03-04 2005-09-08 Han-Ki Kim Inductively coupled plasma chemical vapor deposition apparatus
US20050205211A1 (en) * 2004-03-22 2005-09-22 Vikram Singh Plasma immersion ion implantion apparatus and method
JP5036143B2 (ja) * 2004-06-21 2012-09-26 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法、ならびにコンピュータ読み取り可能な記憶媒体
KR100810629B1 (ko) * 2004-12-08 2008-03-06 삼성에스디아이 주식회사 대향 타겟식 스퍼터링 장치를 이용한 유기 발광 소자의제조방법
US20080121620A1 (en) * 2006-11-24 2008-05-29 Guo G X Processing chamber
US7846310B2 (en) * 2006-12-13 2010-12-07 Applied Materials, Inc. Encapsulated and water cooled electromagnet array
US8920613B2 (en) * 2007-01-31 2014-12-30 Applied Materials, Inc. Offset magnet compensation for non-uniform plasma
US20090086401A1 (en) * 2007-09-28 2009-04-02 Intevac, Inc. Electrostatic chuck apparatus
US8821968B2 (en) * 2007-10-31 2014-09-02 The Board Of Trustees Of The Leland Stanford Junior University Process for making layer-structured catalysts at the electrode/electrolyte interface of a fuel cell
US20100096255A1 (en) * 2008-10-22 2010-04-22 Applied Materials, Inc. Gap fill improvement methods for phase-change materials

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000038688A (ja) 1998-07-23 2000-02-08 Nec Corp プラズマ処理方法及びプラズマ処理装置
JP2003282546A (ja) 2002-03-26 2003-10-03 Tohoku Techno Arch Co Ltd オンウエハ・モニタリング・システム
JP2005228727A (ja) 2003-04-24 2005-08-25 Tokyo Electron Ltd プラズマモニタリング方法、プラズマモニタリング装置及びプラズマ処理装置

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200096735A (ko) * 2019-02-05 2020-08-13 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
KR102280293B1 (ko) 2019-02-05 2021-07-22 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
KR20210093199A (ko) * 2019-02-05 2021-07-27 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
KR102580453B1 (ko) 2019-02-05 2023-09-20 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치

Also Published As

Publication number Publication date
KR20110033097A (ko) 2011-03-30
JP5577532B2 (ja) 2014-08-27
US20110070665A1 (en) 2011-03-24
US7993937B2 (en) 2011-08-09
JP2011071522A (ja) 2011-04-07

Similar Documents

Publication Publication Date Title
KR101633937B1 (ko) Dc 및 rf 하이브리드 처리 시스템
US8883024B2 (en) Using vacuum ultra-violet (VUV) data in radio frequency (RF) sources
US8343371B2 (en) Apparatus and method for improving photoresist properties using a quasi-neutral beam
US20100081285A1 (en) Apparatus and Method for Improving Photoresist Properties
US10032681B2 (en) Etch metric sensitivity for endpoint detection
JP5636486B2 (ja) 多層/多入力/多出力(mlmimo)モデル及び当該モデルの使用方法
CN102804933B (zh) 可切换中性束源
US8501499B2 (en) Adaptive recipe selector
KR101530098B1 (ko) 금속 게이트 구조에 대한 다층/다중입력/다중출력(mlmimo) 모델의 이용 방법
TWI408701B (zh) 利用氣體團簇離子束修正工作件之特徵部的方法
US8019458B2 (en) Creating multi-layer/multi-input/multi-output (MLMIMO) models for metal-gate structures
US11056322B2 (en) Method and apparatus for determining process rate
US8532796B2 (en) Contact processing using multi-input/multi-output (MIMO) models
Takahashi et al. An autonomously controllable plasma etching system based on radical monitoring
Wu Study of rotational temperature and loss mechanisms of fluorocarbon radicals in an inductively coupled plasma reactor

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
GRNT Written decision to grant