KR20080094608A - 수증기 및 희석 가스를 이용하여 강화되는 수소 애슁 방법 - Google Patents

수증기 및 희석 가스를 이용하여 강화되는 수소 애슁 방법 Download PDF

Info

Publication number
KR20080094608A
KR20080094608A KR1020080036116A KR20080036116A KR20080094608A KR 20080094608 A KR20080094608 A KR 20080094608A KR 1020080036116 A KR1020080036116 A KR 1020080036116A KR 20080036116 A KR20080036116 A KR 20080036116A KR 20080094608 A KR20080094608 A KR 20080094608A
Authority
KR
South Korea
Prior art keywords
gas
ashing
amount
plasma
hydrogen
Prior art date
Application number
KR1020080036116A
Other languages
English (en)
Other versions
KR100971045B1 (ko
Inventor
찬-šœ 양
창훈 이
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20080094608A publication Critical patent/KR20080094608A/ko
Application granted granted Critical
Publication of KR100971045B1 publication Critical patent/KR100971045B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

수소화 실리콘 산탄화물 재료 기재 저-k 유전체 재료에 특히 유용한 무산소 수소 플라즈마 애슁 공정이 개시된다. 주요 애슁 단계는 수소(50)의 플라즈마(48) 및 선택적인 질소(54), 많은 양의 수증기(60), 및 많은 양의 아르곤(80) 또는 헬륨으로 미리 애칭된 유전체 층을 노출하는 단계를 포함한다. 특히 다공성 저-k 유전체를 위해, 주요 애슁 플라즈마는 메탄과 같은 탄화수소 가스(84)를 더 포함한다. 주요 애슁 전에 수소 및 선택적인 질소와 같은 수소-함유 환원 가스의 플라즈마에 의해 짧은 표면 처리가 선행될 수 있다.

Description

수증기 및 희석 가스를 이용하여 강화되는 수소 애슁 방법 {HYDROGEN ASHING ENHANCED WITH WATER VAPOR AND DILUENT GAS}
본 발명은 일반적으로 집적 회로의 제조 시 재료의 플라즈마 에칭에 관한 것이다. 더욱 상세하게는, 본 발명은 포토레지스트의 애슁(ashing)에 관한 것이다.
플라즈마 에칭(plasma etching)은 실리콘 집적 회로의 제조에서 널리 이용된다. 종종 유전체 에칭으로 지칭되는 공정 단계 중 하나의 공정이 집적 회로의 상이한 레벨들 사이에 수직 전기 연결을 제공하도록 유전체 층을 통하여 형성하기 위하여 이용된다. 프로토타입의 비아(via) 구조물이 도 1에서 단면으로 개략적으로 도시되어 있다. 웨이퍼의 표면에 형성된 하부 유전체 층(10)은 표면에 형성된 전도성 피쳐(12)를 가진다. 상부 유전체 층(14)은 하부 유전체 층(10) 및 전도성 피쳐(12) 상에 증착된다. 평면형 포토레지스트 층(16)은 지금까지 패턴화되지 않은 상부 유전체 층(14) 상으로 늘려지고 스테퍼는 포토레지스트 층(16)을 통하여 마스크 통공(18)을 형성하도록 방사 패턴에 따라 확실히 노출되어 전도성 피쳐(12) 위에 배치되는 마스크 통공(16)을 구비한 포토마스크를 형성하도록 하여 비아를 경유하여 전기적으로 접촉된다. 에칭 하드 마스크 또는 반사 방지 코팅와 같은 포토레 지스트 층(16)과 상부 유전체 층(14) 사이에 부가 층이 형성될 수 있다. 포토마스크형 웨이퍼는 플라즈마 에치 반응기 내로 배치되며, 플라즈마 에치 반응기는 상부 유전체 층(14)을 통하여 하방으로 전도성 피쳐로 에칭되어 비아 홀(20)을 형성한다. 통상적으로, 동일한 에치 반응기는 또한 존재하는 경우, 에칭 화학물이 층들 사이에서 변화되면서 반사 방지 코팅 및 하드 마스크를 통하여 에칭된다. 유전체 에칭은 통상적으로 예를 들면, 헥사플루오르부타디엔(C4F6)을 이용하는, 플루오르카본 화학적 작용을 기초로 한다.
유전체 에칭 후, 비아 홀(20)은 알루미늄 또는 구리와 같은 금속으로 채워져서 전도성 피쳐(12)로 수직 전기 연결을 제공한다. 구리 금속화에 통상적으로 이용되는 이중-물결무늬 구조를 위해, 비아 홀(20)은 상부에서 수평으로 연장하는 트렌치로 연결되는 상부 유전체 층(14)의 바닥에서 더 짧은 비아 홀에 의해 대체되고, 둘다 동시에 구리로 채워진다. 접촉 층 금속화를 위해, 비록 이 경우 적절하게는 접촉 홀로 지칭되는, 비아 홀(20)을 구비한 인터페이스에서 복합 규화물 및 가스 산화물이 있을 수 있지만, 하부 유전체 층(10)은 활성 실리콘 층으로 대체되고 전도성 피쳐(12)는 또한 실리콘으로 구성된다.
유전체 에칭의 완료시, 포토레지스트의 일부는 유전체 층(14)의 상부에 남아 있을 수 있거나 종종 탄소를 포함하는 합성물의 에칭 잔류물이 비아 홀(18)에 남아 있을 수 있다. 잔류물은, 수직 에칭 프로파일을 형성하는 것을 보조하거나 비아 홀(2)의 바닥부에서 일부를 포함하는 격리된 에칭 잔류물을 형성하는, 비아 홀(20) 의 측부 상에 폴리메릭 코팅(22)을 형성할 수 있다. 유사한 폴리메릭 코팅은 경화성 외측면을 형성하도록 포토레지스트의 나머지를 덮을 수 있다. 금속 충전 공정은 비아 홀(20)이 배리어 층, 및 전기화학적 도금(ECP)으로 수행되는 구리 금속화의 경우, 시드 층 및 전기 도금 전극에 작용하는 구리 층을 포함하는 등각 라이너로 코팅될 것을 요구한다. 현재, 배리어 층은 통상적으로 TaN/Ta의 이중층이고 구리 시드 층이 스퍼터링의 진보된 형태로 증착될 수 있다. 포토레지스트 및 다른 잔류물이 비아 측벽으로의 부착이 저하되고 비아 바닥부에서의 접촉 저항이 증가되고 이 두 경우에서 장치 생산 및 신뢰성에 영향을 미치기 때문에 포토레지스트 및 다른 잔류물은 바아 홀을 라이닝하는 층의 증착 전에 구조물로부터 제거되어야 하는 것이 중요하다.
플라즈마 애슁(plasma ashing)은 에칭 후 포토레지스트 및 다른 잔류물을 제거하기 위해 오랜동안 실시되어 왔다. 산소 플라즈마는 탄소 기재 층으로부터 에칭시 매우 효율적이다. 비록 애슁(ashing)은 종래에 다수의 웨이퍼의 배치 프로세싱을 위해 설계되는 배리어 애쉬어(asher)로 실시되었지만, 더 많은 현 기술은 개별 에치 반응기로서 또는 유전체 에칭을 위해 이용되는 동일한 플라즈마 에치 반응기에서 수행되는 개별 처리 단계에서 단일 웨이퍼 플라즈마 애쉬어를 이용한다.
종래의 애슁은 유전체 층이 SiO2 의 유사한 화학적 구성을 가지고 약 3.9의 유전체 상수(k)를가지는 실리콘 일산화물(실리카)로 형성된다. 그러나 애슁은 진보된 집적회로를 위해 요구되는 더 많은 저-k 유전체로 인가될 때 어려움이 존재한 다. 초기에 저-k 유전체가 약 3.5로 유전체 상수를 감소시키기 위해 플루오르 실리카를 도핑함으로써 형성된다. 3 아래 범위(low-3 range)에 있는 더 낮은 유전체 상수조차 미국의 캘리포니아 산타 클라라의 어플라이드 머티어리얼스로부터 입수가능한 블랙 다이아몬드 유전체와 같은 수소화 실리콘 산탄화물 재료(hydrogenated silicon oxycarbide material)에 의해 얻을 수 있다. 3 보다 작은 여전히 낮은 유전체 상수가 다공성이 되는 이 같은 재료를 증착함으로써 얻을 수 있다. 이러한 재료의 산소 애슁은 많은 문제점을 일으킨다. 산소 플라즈마는 탄소를 포함하는 포토레지스트 잔류물 및 다른 잔류물을 공격만 하지 않으며, 또한 실리콘 산탄화물의 탄소 함량을 고갈시키는 경향이 있다. 다공성 유전체 재료는 상대적으로 부서지기 쉬우며 더욱이 구멍 내로의 산소의 부분 침수에 의해 산소 플라즈마로부터 손상을 받아 구멍이 붕괴되기가 쉽다.
따라서, 진보된 애슁은 산소 플라즈마의 산화 화학적 작용으로부터 수소 및 가능하게는 질소, 예를 들면, H2, H2/N2, 또는 NH3의 일부 조합물로 형성되는 플라즈마의 감소된 화학적 작용으로 변위된다. 수소 래디컬 H* 기재 애슁은 더 높은 성능 및 산소 에칭보다 더 작은 유전체 손상을 보여준다. 그러나, 수소 래디컬 밀도는 단지 환원 가스의 환경에서 발생되는 저 수소 래디컬 밀도 및 저환원 반응 속도에 의한 매우 느린 공정이다. 산소 애슁은 20초의 공정을 요구하는 반면, 수소 애슁은 10배 긴 시간을 요구할 수 있어, 이는 확실한 경제적 단점이 된다. 따라서, 종종 작은 양의 산소가 애슁 속도 및 애슁 효율을 증가시키기 위해 감소된 가스에 부 가될 수 있다. 그러나, 다공성 저-k 재료는 작은 양의 산소에 조차 민감하여, 실리콘 산탄화물 재료로부터 상당한 탄소를 제거할 수 있고 유전체 상수를 증가시킨다.
산소가 없는 플라즈마 애슁 공정은 산소 가스, 선택적인 질소 가스, 수증기 및 아르곤 또는 헬륨과 같은 다른 불활성 또는 회석 가스로부터 형성된 플라즈마를 포함한다. 암모니아는 수소 및 질소를 대체할 수 있다. 플라즈마는 수소 가스보다 더 많은 수증기, 및 수증기보다 더 많은 불활성 가스로부터 형성된다.
애슁은 특히 저-k 유전체 재료 함유 탄소 뿐만 아니라 실리콘 산화물, 예를 들면, 수소화 실리콘 산탄화물에 유용하다.
선택적으로, 메탄과 같은 탄화수소가 주요 애싱 단계의 플라즈마에 부가될 수 있다. 탄화수소의 부가는 특히 예를 들면 3 보다 작은 유전체 상수를 가지는 다공성의 저-k 유전체 재료에 유용하다.
초기의 산소가 없는 플라즈마 애슁 또는 표면 처리 단계는 수소 또는 암모니아, 및 수증기를 제외하지 않은 선택적인 질소 가스와 같은 수소 함유 환원 가스로부터 형성되는 플라즈마를 포함한다. 표면 처리 단계는 주 애슁 단계보다 더 짧을 수 있다.
수소 기재 애슁 플라즈마로의 많은 양의 아르곤 및 수증기의 부가는 수소 래디컬의 농도를 상당히 증가시키고 저-k 유전체에 대한 감소된 손상으로 애슁 속도(ashing rate)를 증가시킨다.
본 발명은 도 2에서 단면으로 개략적으로 도시된, 플라즈마 애슁 반응기(30)에서 실시될 수 있다. 진공 처리 챔버(32)는 낮은 Torr 범위로 진공 펌핑 시스템(36)에 의해 펌핑된다. 챔버(32) 내의 페데스탈(38)은 웨이퍼(40)를 지지하여 다수의 통공(44)을 통하여 공정 가스를 공급함으로써 가스 샤워헤드(42)에 대해 애슁되도록 한다.
공정 가스는 공정 가스를 플라즈마 내에서 여기시키는(excite) 원격 플라즈마 소스(48)를 통하여 샤워헤드(42)의 후방에 있는 매니폴드(46)로 공급된다. 원격 플라즈마 소스(48)는 진공 챔버(48)로부터 이격된 거리에 위치할 수 있지만 원격 플라즈마 소스(48) 내에 발생된 플라즈마를 포함하는 가스가 활성 플라즈마 상태에 있는 진공 챔버(48) 내로 유동하므로, 여전히 진공 챔버에 대한 부속 부품으로 고려된다. 바람직하게는, 주로 래디컬 및 상대적으로 적은 플라즈마 이온이 처리 챔버(32) 내로 전달된다. 원격 플라즈마 소스 및 매니폴드의 상세함의 일부가 미국 특허 출원 공보 2007/0190266호로서 지금 공개되고 2006년 2월 10일에 출원된 미국 특허 출원 11/351,676호로 푸(Fu)에 의해 공개된다. 원격 플라즈마 소스(48)는 서브(sub)-기가헤르쯔 범위, 예를 들면 270 내지 650 kHz에서 작동하는 RF 여기 소스, 또는 낮은 기가헤르쯔 범위, 예를 들면 2.54 GHz에서 작동하는 마이크로웨이브 여기 소스를 이용할 수 있다. 원격 플라즈마 소스(48)는 유용하게는 하전된 입자 필터를 포함하여 챔버로 전달되는 플라즈마가 단지 중성 래디컬 및 하전되지 않은 이온만을 포함하도록 한다. 수소 가스(H2)가 주로 애슁 가스로서 이용되는 경우, 매스 유동 제어기(52)를 통하여 수소 가스 소스(50)로부터 원격 플라즈마 소스(48)로 공급된다. 질소 가스(N2)는 또 다른 매스 유동 제어기(56)를 통하여 질소 가스 소스(54)로부터 공급될 수 있다. 질소는 수소 래디컬 에칭을 위한 패시베이터(passivator)로서 작용하도록 하는 경향이 있다.
수증기(H2O)는 액체형태의 물의 풀(62)을 포함하는 진공 밀봉된 물 앰풀로부터 원격 플라즈마 소스(48)로 공급된다. 매스 유동 제어기(64)는 앰풀(60)로부터 수증기를 계량한다. 상온에서 물의 증기 압력은 약 20 Torr이고, 이는 원격 플라즈마 소스(48)가 작동하는 통상의 진공 레벨 위에서 유용하다. 따라서, 앰풀(60)이 펌핑되면, 약 20 Torr의 압력을 가지는 수증기가 앰풀(60) 내의 액체형태의 물 풀(62) 위의 헤드 공간(66)에 존재한다. 앰풀(60)은 배관의 길이를 최소화하기 위해 챔버(32) 상에 직접 장착될 수 있으며, 배관의 벽 위에서, 물이 응축된다.
제어기(70)는 CDROM과 같은 녹음가능한 매체(72)의 제어기(70) 내로 삽입되는 레시피(recipe)에 따라 작용하고 펌핑 시스템(36), 원격 플라즈마 소스(48), 및 이미 설명된 매스 유동 제어기(52, 56, 64) 뿐만 아니라 나머지를 포함하는 다양한 매스 유동 제어기를 제어한다.
본 발명에 따라, 아르곤(Ar)과 같은 다른 불활성 가스는 매스 유동 제어기(82)에 의해 계량되는 아르곤 가스 소스(80)로부터 공급된다. 헬륨(He)은 아르곤을 치환한다. 아르곤은 여기 아르곤 래디컬의 에너지가 물 성분으로 전달되는, 페닝 공정(Penning process)이 되는 H* 및 OH* 로의 H2O의 해리를 증진한다. 따라서, H2 하나만으로 가능한 것보다 수증기로부터 수소 래디컬 H* 의 매우 높은 밀 도가 형성된다. 결과적으로, 비록 아르곤 및 헬륨이 보통 불활성 희석 가스가 되는 것이 고려되지만, 아르곤 및 헬륨은 실제 애칭에서 불활성으로 남아 있지만, 활성 애시 래디컬의 높은 밀도의 발생을 증진한다. 그럼에도 불구하고, 레시피에 포함되는 유용하게는 H2 가 산소 래디컬 O* 의 발생을 억제한다. 또한, N2 는 유용하게는 H2O의 해리를 강화할 뿐만 아니라 애슁 공정 동안 일부 패시베이션을 제공하기 위하여 부가된다.
표 1로 작성된 수화 실리콘 산화탄소의 공정의 일 실시예는 공정 가스가 표준 평방 센티미터(sccm)로 제시되면서 표 1에서 작성된 두 개의 단계 공정이다.
Figure 112008027754759-PAT00001
표 1
제 1 단계는 적당한 소프트 에치(soft etch) 또는 표면 처리이며 포토레지스 트의 표면 또는 폴리메릭 측벽 코팅을 경화시키기 않는다. 제 1 단계는 주로 수소 환원 화학 원리를 기초로 한다. 그러나, 표면을 에칭하는 것만을 의도한다. H2/N2는 H2 홀로 또는 암모니아(NH3)와 같은 다른 환원 가스로 대체될 수 있다. 제 2 단계는 포토레지스트 및 잔류물의 벌크 부분을 신속하게 제거하도록 한다. 제 2 단계는 주요 애슁 단계이고 초기 표면 처리 단계보다 길지 않다.
요약된 레시피는 단지 본 발명의 공정을 대표하는 것은 이해된다. 압력 범위는 0.5 내지 5 Torr, RPS 소스 전력 범위는 300 mm 챔버에 대해 2kW 내지 8kW로, 수소 유동은 200 내지 2000 sccm, 아르곤 유동은 3000 내지 10,000 sccm, 및 수증기 유동은 500 내지 3000 sccm으로, 용이하게 연장한다. 위에서 언급된 바와 같이, 헬륨은 아르곤으로 대체될 수 있다. 일반적인 의미로, 제 1 단계에서, 주로 수소가 공급되지만 더 적은 양의 질소가 공급될 수 있다. 제 2 단계에서, 물보다 더 많은 아르곤 및 수증기보다 더 적은 수소가 공급된다. 산소 가스 또는 래디컬 형태의 오존이 어느 한 단계에 공급되지 않는다.
제 2 단계의 주요 애슁 공정은 제 1 단계의 주요 표면 처리 없이 실시될 수 있거나 소정의 다른 타입의 주요 처리로 실시될 수 있다.
표 1의 레시피는 수소화 실리콘 산탄화물의 비 다공성 저-k 유전체에 대해 효율적이다. 그러나, 동일하고 일반적인 구성의 지금 선호되는 다공성 저-k 유전체에 대해, 부가 패시베이션이 바람직하다. 따라서, 메탄(CH4)과 같은 탄화수소는 또 다른 매스 유동 제어기(86)를 통하여 탄화수소 가스 소스(84)로부터 공급될 수 있지만, 수소 및 탄소로 이루어지는 다른 탄소-및 탄화수소가 에탄(C2H6), 에틸렌(C2H4), 및 아세틸렌(C2H2) 뿐만 아니라 더 많은 알칸, 알켄, 알킨 등과 같이 대체될 수 있다. 다공성 저-k 유전체에 선호되는 레시피는 표 2에 기재되어 있다.
Figure 112008027754759-PAT00002
표 2
표 2의 레시피는 다른 성분보다 실질적으로 작은 메탄의 양의 제 2 단계에서 부가를 위한 것을 제외하고 표 1의 레시피를 유사하게 따른다. 작은 양의 탄화수소는 유전체 재료의 기공을 밀봉함으로써 노출된 다공성 저-k 유전체에 보호막을 씌우고 보호하고 에칭 플라즈마 특히 산소 성분이 기공부 내에 깊게 투과하여 유전체 재료가 저하되는 것을 방지한다.
본 발명은 원격 플라즈마 소스를 이용하는 플라즈마 애쉬어로 제한되지 않지만 플라즈마 다이오드 에치 반응기에서 실시될 수 있으며, 플라즈마 다이오드 에치 반응기에서 플라즈마가 웨이퍼 또는 다른 기판에 인접한 진공 챔버 내에 발생하지만 플라즈마의 이온 함량은 최소화될 것이 요구된다. 또한, 본 발명은 설명된 저-k 유전체의 수소화 실리콘 산탄화물로 제한되지 않지만 다른 타입의 유전체 재료에 적용될 수 있으며 실제로 금속 또는 실리콘 에칭 공정 후 애슁으로 적용될 수 있다.
따라서 본 발명은 저-k 유전체 재료를 애슁하는데 특히 유용하고 신속한 보호 애슁 공정을 제공한다.
도 1은 잔류 포토레지스트, 측벽 폴리메릭 코팅, 및 다른 에치 잔류물을 포함하는 유전체 에칭이 애시에 의해 제거된 후의 비아 홀의 단면도이며,
도 2는 본 발명으로 이용가능한 플라즈마 애쉬어의 개략적인 단면도이다.

Claims (15)

  1. 애슁 방법으로서,
    수소 가스 및 암모니아 가스로 이루어지는 그룹으로부터 선택된 제 1 양(amount)의 환원 가스, 상기 제 1 양의 환원 가스 보다 많은 제 2 양의 수증기, 및 효과적인 양의 산소 가스를 포함하지 않으며 아르곤 및 헬륨으로 이루어지는 그룹으로부터 선택된, 상기 제 2 양의 수증기 보다 많은 제 3 양의 희석 가스를 포함하는 주요 애슁 가스의 플라즈마를 기판으로 인가하는 주요 애슁 단계를 포함하는,
    애슁 방법.
  2. 제 1 항에 있어서,
    상기 환원 가스는 수소 가스를 포함하는,
    애슁 방법.
  3. 제 1 항에 있어서,
    상기 환원 가스는 암모니아 가스를 포함하는,
    애슁 방법.
  4. 제 2 항에 있어서,
    상기 주요 애슁 가스는 제 4 양의 탄화수소를 포함하는,
    애슁 방법.
  5. 제 4 항에 있어서,
    상기 제 4 양의 탄화수소는 상기 제 1 양의 환원 가스보다 적은,
    애슁 방법.
  6. 제 4 항에 있어서,
    상기 탄화수소 가스는 메탄을 포함하는,
    애슁 방법.
  7. 제 1 항에 있어서,
    상기 애슁 방법으로 처리되는 홀을 포토마스크에 따라 상기 유전체 층 내에서 에칭하는 선행 단계를 더 포함하는,
    애슁 방법.
  8. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
    제 4 양의 수소 함유 환원 가스를 포함하고 효과적인 양의 탄화수소 또는 수증기를 포함하지 않는 초기 애슁 가스의 플라즈마를 기판으로 인가하는 주요 애슁 단계 전에 수행되는 초기 애슁 단계를 더 포함하는,
    애슁 방법.
  9. 제 8 항에 있어서,
    상기 수소 함유 환원 가스는 수소 가스를 포함하는,
    애슁 방법.
  10. 제 9 항에 있어서,
    상기 초기 애슁 가스는 제 4 양의 탄화수소보다 적은 제 5 양의 질소 가스를 더 포함하는,
    애슁 방법.
  11. 미리 에칭되는 홀을 구비한 유전체 층을 가지는 기판의 애슁 방법으로서,
    상기 기판이 배치되는 플라즈마 에치 챔버에서 수행되는 단계를 포함하고 보조 장치를 포함하며,
    제 1 양의 수소 가스를 포함하고 효과적인 양의 산소 및 수증기를 포함하지 않은 제 1 가스 혼합물을 제 1 플라즈마에서 여기시키는 제 1 단계,
    상기 제 1 단계에 이어서, 제 2 양의 수소 가스, 제 3 양의 수증기, 및 아르곤 및 헬륨으로 이루어지는 그룹으로부터 선택된 제 4 양의 불활성 가스를 포함하고 효과적인 양의 산소를 포함하지 않는 제 2 가스 혼합물을 제 2 플라즈마 내에서 여기시키는 제 2 단계를 포함하는,
    기판의 애슁 방법.
  12. 제 11 항에 있어서,
    상기 보조 장치는 상기 제 1 및 제 2 플라즈마가 여기되어 상기 챔버 내로 유동하는 원격 플라즈마 소스를 포함하는,
    기판의 애슁 방법.
  13. 제 11 항에 있어서,
    상기 제 1 가스 혼합물은 질소를 더 포함하는,
    기판의 애슁 방법.
  14. 제 11 항 내지 제 13 항 중 어느 한 항에 있어서,
    상기 제 2 가스 혼합물은 제 5 양의 탄화수소를 더 포함하는,
    기판의 애슁 방법.
  15. 제 14 항에 있어서,
    상기 탄화수소 가스는 메탄을 포함하는,
    기판의 애슁 방법.
KR1020080036116A 2007-04-19 2008-04-18 수증기 및 희석 가스를 이용하여 강화되는 수소 애슁 방법 KR100971045B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/737,731 US7807579B2 (en) 2007-04-19 2007-04-19 Hydrogen ashing enhanced with water vapor and diluent gas
US11/737,731 2007-04-19

Publications (2)

Publication Number Publication Date
KR20080094608A true KR20080094608A (ko) 2008-10-23
KR100971045B1 KR100971045B1 (ko) 2010-07-16

Family

ID=39604669

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020080036116A KR100971045B1 (ko) 2007-04-19 2008-04-18 수증기 및 희석 가스를 이용하여 강화되는 수소 애슁 방법

Country Status (7)

Country Link
US (1) US7807579B2 (ko)
EP (1) EP1983554A3 (ko)
JP (1) JP2008277812A (ko)
KR (1) KR100971045B1 (ko)
CN (1) CN101295145B (ko)
SG (1) SG147394A1 (ko)
TW (1) TWI355019B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020086288A1 (en) * 2018-10-26 2020-04-30 Mattson Technology, Inc. Water vapor based fluorine containing plasma for removal of hardmask

Families Citing this family (270)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8021514B2 (en) * 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
US20090277871A1 (en) * 2008-03-05 2009-11-12 Axcelis Technologies, Inc. Plasma mediated ashing processes that include formation of a protective layer before and/or during the plasma mediated ashing process
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8609526B2 (en) * 2009-10-20 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Preventing UBM oxidation in bump formation processes
US20110097904A1 (en) * 2009-10-22 2011-04-28 Lam Research Corporation Method for repairing low-k dielectric damage
KR101893471B1 (ko) * 2011-02-15 2018-08-30 어플라이드 머티어리얼스, 인코포레이티드 멀티존 플라즈마 생성을 위한 방법 및 장치
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP2013026399A (ja) * 2011-07-20 2013-02-04 Hitachi High-Technologies Corp プラズマ処理方法及びプラズマアッシング装置
US8962469B2 (en) 2012-02-16 2015-02-24 Infineon Technologies Ag Methods of stripping resist after metal deposition
JP5921953B2 (ja) * 2012-03-28 2016-05-24 芝浦メカトロニクス株式会社 反射型マスクの製造方法、および反射型マスクの製造装置
US9349395B2 (en) 2012-08-31 2016-05-24 International Business Machines Corporation System and method for differential etching
US9001463B2 (en) 2012-08-31 2015-04-07 International Business Machines Corporaton Magnetic recording head having protected reader sensors and near zero recessed write poles
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN103904023A (zh) * 2012-12-25 2014-07-02 上海华虹宏力半导体制造有限公司 厚铝刻蚀工艺中光刻胶的去除方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
DE102013223490B4 (de) * 2013-11-18 2023-07-06 Robert Bosch Gmbh Verfahren zur Herstellung einer strukturierten Oberfläche
FR3018951B1 (fr) * 2014-03-18 2017-06-09 Commissariat Energie Atomique Procede de gravure d'un materiau dielectrique poreux
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
BR112017001102B1 (pt) * 2014-07-18 2021-05-18 Deublin Company união rotativa atuada por pistão e processo para operar a mesma
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9767989B2 (en) 2014-11-11 2017-09-19 Seagate Technology Llc Methods of forming features
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6499001B2 (ja) 2015-04-20 2019-04-10 東京エレクトロン株式会社 多孔質膜をエッチングする方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10304668B2 (en) 2016-05-24 2019-05-28 Tokyo Electron Limited Localized process control using a plasma system
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP6869024B2 (ja) * 2016-12-20 2021-05-12 東京エレクトロン株式会社 パーティクル除去方法及び基板処理方法
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10354883B2 (en) * 2017-10-03 2019-07-16 Mattson Technology, Inc. Surface treatment of silicon or silicon germanium surfaces using organic radicals
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US11387111B2 (en) 2018-04-13 2022-07-12 Mattson Technology, Inc. Processing of workpieces with reactive species generated using alkyl halide
JP2019192892A (ja) 2018-04-18 2019-10-31 東京エレクトロン株式会社 処理システムおよび処理方法
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
CN112219260A (zh) 2018-06-11 2021-01-12 玛特森技术公司 用于处理工件的氢反应性核素的生成
KR102568797B1 (ko) * 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
WO2020081226A1 (en) 2018-10-15 2020-04-23 Mattson Technology, Inc. Ozone for selective hydrophilic surface treatment
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
CN112335017B (zh) 2018-11-16 2024-06-18 玛特森技术公司 腔室上光以通过减少化学成分改善刻蚀均匀性
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US10403492B1 (en) 2018-12-11 2019-09-03 Mattson Technology, Inc. Integration of materials removal and surface treatment in semiconductor device fabrication
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
TW202111144A (zh) 2019-04-30 2021-03-16 美商得昇科技股份有限公司 使用甲基化處理的選擇性沉積
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
CN112086335B (zh) * 2019-06-12 2022-07-26 长鑫存储技术有限公司 半导体器件的制备方法
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
EP3999913A4 (en) * 2019-07-18 2022-08-10 Mattson Technology, Inc. MACHINING OF WORKPIECES USING HYDROGEN RADICALS AND OZONE GAS
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN114765126B (zh) * 2021-01-13 2023-11-17 长鑫存储技术有限公司 半导体结构的制造方法和半导体结构的制造设备
US20220223426A1 (en) * 2021-01-13 2022-07-14 Changxin Memory Technologies, Inc. Semiconductor structure manufacturing method and semiconductor structure manufacturing device
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
CN113460954B (zh) * 2021-05-24 2024-03-12 北京量子信息科学研究院 含钽膜的微纳米结构元件及其制备方法
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60170238A (ja) * 1984-02-15 1985-09-03 Toyota Central Res & Dev Lab Inc ドライエツチング方法
JP3391410B2 (ja) * 1993-09-17 2003-03-31 富士通株式会社 レジストマスクの除去方法
US5660682A (en) 1996-03-14 1997-08-26 Lsi Logic Corporation Plasma clean with hydrogen gas
US5895245A (en) 1997-06-17 1999-04-20 Vlsi Technology, Inc. Plasma ash for silicon surface preparation
WO1999026277A1 (en) * 1997-11-17 1999-05-27 Mattson Technology, Inc. Systems and methods for plasma enhanced processing of semiconductor wafers
US7001848B1 (en) * 1997-11-26 2006-02-21 Texas Instruments Incorporated Hydrogen plasma photoresist strip and polymeric residue cleanup process for oxygen-sensitive materials
US6340435B1 (en) 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6316354B1 (en) 1999-10-26 2001-11-13 Lsi Logic Corporation Process for removing resist mask of integrated circuit structure which mitigates damage to underlying low dielectric constant silicon oxide dielectric layer
US20010024769A1 (en) * 2000-02-08 2001-09-27 Kevin Donoghue Method for removing photoresist and residues from semiconductor device surfaces
US6503840B2 (en) * 2001-05-02 2003-01-07 Lsi Logic Corporation Process for forming metal-filled openings in low dielectric constant dielectric material while inhibiting via poisoning
US6951823B2 (en) * 2001-05-14 2005-10-04 Axcelis Technologies, Inc. Plasma ashing process
US6630406B2 (en) 2001-05-14 2003-10-07 Axcelis Technologies Plasma ashing process
US6834656B2 (en) 2001-05-23 2004-12-28 Axcelis Technology, Inc. Plasma process for removing polymer and residues from substrates
US6562700B1 (en) 2001-05-31 2003-05-13 Lsi Logic Corporation Process for removal of resist mask over low k carbon-doped silicon oxide dielectric material of an integrated circuit structure, and removal of residues from via etch and resist mask removal
US6849559B2 (en) 2002-04-16 2005-02-01 Tokyo Electron Limited Method for removing photoresist and etch residues
US6806038B2 (en) * 2002-07-08 2004-10-19 Lsi Logic Corporation Plasma passivation
JP2004103747A (ja) * 2002-09-09 2004-04-02 Renesas Technology Corp 半導体装置の製造方法
JP2004281837A (ja) * 2003-03-18 2004-10-07 Hitachi Ltd 半導体装置の製造方法
JP4588391B2 (ja) * 2004-09-01 2010-12-01 芝浦メカトロニクス株式会社 アッシング方法及びアッシング装置
WO2006026765A2 (en) * 2004-09-01 2006-03-09 Axcelis Technologies, Inc. Plasma ashing process for increasing photoresist removal rate and plasma apparatus wuth cooling means
JP2006154722A (ja) 2004-10-28 2006-06-15 Daikin Ind Ltd Cu/low−k多層配線構造のアッシング残渣の剥離液及び剥離方法
US20070045227A1 (en) * 2005-08-31 2007-03-01 Chih-Ning Wu Method of stripping photoresist
US7695567B2 (en) 2006-02-10 2010-04-13 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020086288A1 (en) * 2018-10-26 2020-04-30 Mattson Technology, Inc. Water vapor based fluorine containing plasma for removal of hardmask

Also Published As

Publication number Publication date
CN101295145B (zh) 2011-11-30
EP1983554A3 (en) 2009-08-05
JP2008277812A (ja) 2008-11-13
US20080261405A1 (en) 2008-10-23
TWI355019B (en) 2011-12-21
CN101295145A (zh) 2008-10-29
US7807579B2 (en) 2010-10-05
TW200908074A (en) 2009-02-16
SG147394A1 (en) 2008-11-28
EP1983554A2 (en) 2008-10-22
KR100971045B1 (ko) 2010-07-16

Similar Documents

Publication Publication Date Title
KR100971045B1 (ko) 수증기 및 희석 가스를 이용하여 강화되는 수소 애슁 방법
KR101385346B1 (ko) 인-시추 기판 프로세싱을 위한 방법 및 장치
Armacost et al. Plasma-etching processes for ULSI semiconductor circuits
EP3038142A1 (en) Selective nitride etch
JP2016157940A (ja) 窒化シリコンのエッチング時における超高選択比を達成するための方法
JP6529357B2 (ja) エッチング方法
KR20160084314A (ko) 이방성 텅스텐 에칭을 위한 방법 및 장치
JP4825911B2 (ja) 介在チャンバでの脱フッ素化及びウェハ脱フッ素化ステップによるプラズマエッチング及びフォトレジストストリッププロセス
WO2000014793A2 (en) In-situ integrated oxide etch process particularly useful for copper dual damascene
CN101536155A (zh) 用于低电介质常数材料的具有原位背侧聚合物去除的等离子体电介质蚀刻工艺
KR20050118267A (ko) 플라즈마 에칭 성능 강화를 위한 방법
WO1999009587A2 (en) Method of etching copper for semiconductor devices
KR20080100220A (ko) 플라즈마를 향한 벽의 수증기 패시베이션
JP2008060566A (ja) プラズマエッチング性能強化方法
TW201626434A (zh) 被處理體之處理方法
JP4911936B2 (ja) プラズマアッシング方法
KR20070105259A (ko) low-k 유전 물질 손상이 감소한 마스킹 물질 제거방법
KR20040102337A (ko) 기판으로부터 잔류물을 제거하는 방법
US20100043821A1 (en) method of photoresist removal in the presence of a low-k dielectric layer
US10811274B2 (en) Etching method and plasma processing apparatus
US20100270262A1 (en) Etching low-k dielectric or removing resist with a filtered ionized gas
US20210111033A1 (en) Isotropic silicon nitride removal
CN113035708A (zh) 基片处理方法和基片处理装置
KR102459874B1 (ko) 구리층을 에칭하는 방법
JP3963295B2 (ja) ケミカルドライエッチング方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130628

Year of fee payment: 4

LAPS Lapse due to unpaid annual fee