KR101385346B1 - 인-시추 기판 프로세싱을 위한 방법 및 장치 - Google Patents

인-시추 기판 프로세싱을 위한 방법 및 장치 Download PDF

Info

Publication number
KR101385346B1
KR101385346B1 KR1020060127495A KR20060127495A KR101385346B1 KR 101385346 B1 KR101385346 B1 KR 101385346B1 KR 1020060127495 A KR1020060127495 A KR 1020060127495A KR 20060127495 A KR20060127495 A KR 20060127495A KR 101385346 B1 KR101385346 B1 KR 101385346B1
Authority
KR
South Korea
Prior art keywords
gases
plasma processing
substrate
plasma
nozzles
Prior art date
Application number
KR1020060127495A
Other languages
English (en)
Other versions
KR20070062943A (ko
Inventor
광-야우 황
푸-룬 루이
위-웨이 양
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20070062943A publication Critical patent/KR20070062943A/ko
Application granted granted Critical
Publication of KR101385346B1 publication Critical patent/KR101385346B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • H01L21/6708Apparatus for fluid treatment for etching for wet etching using mainly spraying means, e.g. nozzles

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

기판을 프로세싱하는 플라즈마 프로세싱 시스템이 공개된다. 플라즈마 프로세싱 시스템은 기체 분배 시스템을 포함한다. 플라즈마 프로세싱 시스템은 또한 기체 분배 시스템에 의해서 제공되는 일 집합의 입력 기체들을 제어하도록 구성되고 기체 분배 시스템에 커플링된 기체 흐름 제어 어셈블리를 포함한다. 플라즈마 프로세싱 시스템은 또한 기판의 제 1 부분을 프로세싱하는 제 1 집합의 기체들을 포함한다. 플라즈마 프로세싱 시스템은 기판의 제 2 부분을 프로세싱하는 제 2 집합의 기체들을 공급하도록 구성되며 기체 흐름 제어 어셈블리에 커플링된 제 2 집합의 노즐들을 포함한다.
Figure R1020060127495
플라즈마 프로세싱 시스템, 기체 분배 시스템

Description

인-시추 기판 프로세싱을 위한 방법 및 장치{METHODS AND APPARATUS FOR IN-SITU SUBSTRATE PROCESSING}
도 1 은 용량적으로 커플링된 플라즈마 프로세싱 시스템의 단순화된 다이어그램.
도 2 는 층 스택의 이상적인 단면도가 도시되는 예시적인 종래-기술 듀얼-다마신 프로세스 단계들의 부분적으로 단순화된 집합.
도 3 은 본 발명의 하나 이상의 실시형태에 따른 인핸스드 플라즈마 프로세싱 챔버 내에서 PREB 프로세싱 단계가 금속 배리어 층 에칭 프로세싱 단계와 결합되어진 듀얼-다마신 프로세스 단계들의 부분적으로 단순화된 집합.
도 4 는 본 발명의 하나 이상의 실시형태에 따른 상부 전극 온도 제어 시스템과 디퍼렌셜 플라즈마 기체 주입을 갖는 용량적으로 커플링된 인핸스드 플라즈마 프로세싱 시스템의 단순화된 다이어그램.
도 5 는 본 발명의 하나 이상의 실시형태에 따른 도 4 의 온도 제어 시스템의 단순화된 단면도.
도 6 은 본 발명의 하나 이상의 실시형태에 따른 도 5 의 온도 제어 시스템의 부분 확대 단면도.
도 7 은 본 발명의 하나 이상의 실시형태에 따른 온도 제어 소자의 예시적인 상면도.
도 8 은 본 발명의 하나 이상의 실시형태에 따른 플라즈마 프로세싱 시스템에서의 멀티-지역 샤워헤드/접지된 전극을 위한 기체 흐름 제어 어셈블리의 단순화된 다이어그램.
(도면의 주요 부분에 대한 부호의 설명)
411 : 펌프 422 : 기체 분배 시스템
436 : 정합 네트워크 440 : 냉각 시스템
발명의 배경
기판, 예를 들어, 평면 패널 디스플레이 제조에 있어서 사용되는 것과 같은, 유리 패널 또는 반도체 기판의 프로세싱에 있어서, 플라즈마가 종종 사용된다. 기판의 프로세싱의 파트로서, 예를 들어, 기판은 복수의 다이들 또는 정사각형 영역으로 분할되고, 이들의 각각은 집적 회로가 될 것이다. 이 후에 기판은 이 위에 전기적 구성요소들을 형성하기 위해서 물질들이 선택적으로 제거 (에칭) 및/또는 증착 (증착) 되는 일련의 단계들에서 프로세싱된다.
예제인 플라즈마 프로세스에 있어서, 기판은 에칭에 앞서서 경화된 에멀젼의 박막 (예를 들어, 포토레지스트 마스크와 같은 것) 으로 도포된다. 이 후에 경화된 에멀젼의 영역들은 선택적으로 제거됨으로 인하여, 기초 층의 구성요소들은 노출되게 된다. 이 후에 기판은 척 또는 페데스탈 (pedestal) 이라고 불리는 단일-극성 또는 양-극성 전극을 포함하는 기판 지지 구조 상의 플라즈마 프로세싱 챔버 내에 놓여진다. 이 후에 적절한 에칭제 소스가 챔버로 흘러지며 기판의 노출된 영역들을 에칭하기 위해서 플라즈마를 형성하도록 충돌된다.
이제 도 1 을 참조하면, 용량적으로 커플링된 플라즈마 프로세싱 시스템의 단순화된 다이어그램이 도시된다. 통상적 구성에 있어서, 플라즈마 프로세싱 챔버는 하부 챔버 내에 위치되는 하부 조각 (150) 및 상부 챔버 내에 위치된 탈착 가능한 상부 조각 (152) 을 포함한다. 제 1 RF 생성기 (134) (소스 RF 생성기 (134)) 는 플라즈마 (110) 를 생성할 뿐만 아니라 플라즈마를 제어하는 한편, 바이어스 RF 를 생성하는 제 2 RF 생성기 (138) (바이어스 RF 생성기) 는 DC 바이어스와 이온 폭격 에너지 (ion bombardment energy) 를 제어하기 위해서 통상적으로 사용된다.
또한, RF 전력 소스들의 임피던스들을 플라즈마 (110) 의 그것에 정합시키려고 시도하는 정합 네트워크 (136) 는 소스 RF 생성기 (134) 및 바이어스 RF 생성기 (138) 에 대하여 커플링될 수도 있다. 더욱이, 펌프 (111) 는 필요 압력을 달성하여 플라즈마 (110) 를 유지하기 위해서 (하부 조각 (150) 및 탈착 가능한 상부 조각 (152) 에 의해서 형성되는) 플라즈마 프로세싱 챔버 (102) 로부터 주변 분위기를 배출하기 위해서 사용된다.
일반적으로, 적절한 집합의 기체들 (입력 기체들) 은 하부 챔버 내에 위치되는 밸브 (123) 를 잠그기 위해서 기체 분배 시스템 (122) 으로부터 샤워헤드/접지 된 전극 (109) 을 통하여 챔버 (102) 로 흐른다. 일반적으로, 기판의 표면을 가로질러서 실질적으로 균일한 에칭제 기체 분배를 달성하기 위해서, 구멍이 난 (perforated) 또는 다공성의 (porous) 평면 표면을 갖는 샤워헤드/접지된 전극 (109) 이 일반적으로 사용된다. 기체 분배 시스템 (122) 은 플라즈마 기체들 (예를 들면, C4F8, C4F6, CHF3, CH2F3, CH4, HBr, CH3F, C2F4, N2, O2, Ar, Xe, He, H2, NH3, SF6, BCl3, Cl2,,WF6, 등) 을 포함하는 압축된 기체 실린더들을 통상적으로 포함한다. 이 후에 정전척 (116) 상의 가장자리 링 (115) 과 함께 위치되고 또한 전력화된 전극으로서 역할하며 반도체 기판 또는 유리 패널과 같은 기판 (114) 의 노출된 영역을 프로세싱 (예를 들어, 에칭 또는 증착) 하기 위해서, 이런 플라즈마 기체들은 플라즈마 (110) 를 형성하도록 이온화될 수도 있다.
부가적으로, 일부 타입의 냉각 시스템 (140) 은 플라즈마가 점화될 때 열적 평형을 달성하기 위해서 척에 커플링될 수도 있다. 냉각 시스템 (140) 은 척 내부에서 캐비티 (cavity) 를 통하여 냉각제를 펌핑하는 칠러 (chiller) 를 포함하고, 헬륨 기체는 척 (116) 과 기판 (114) 사이의 작은 갭 (gap) 에 압력을 가한다. 생성된 열을 제거하는 것에 부가하여, 헬륨 기체는 또한 냉각 시스템 (140) 이 열 방출을 빠르게 제어하는 것을 허용한다. 즉, 이 후에 헬륨 압력을 증가시키는 것은 또한 열 전달 레이트를 증가시킨다. 대부분의 플라즈마 프로세싱 시스템은 또한 소프트웨어 프로그램을 포함하는 복잡한 컴퓨터들에 의해서 제어된다. 전형적인 작동 환경에 있어서, 제조 프로세스 파라미터 (예를 들면, 전압, 기체 흐름 혼합, 기체 유량, 압력 등) 는 특정한 플라즈마 프로세싱 시스템 및 특정한 레시프를 위해서 일반적으로 구성된다.
듀얼 다마신으로 공지된 통상적인 기판 제조 방법에 있어서, 절연층들은 비어 홀을 충전하는 전도성 플러그에 의해서 전기적으로 접속된다. 일반적으로, 개구는 배리어 물질 (예를 들어, SiCN, SiC,, SiON, Si3N4 등) 에 대하여 보통 라이닝 (lining) 된 절연층 내에 형성되며 이 후에 2 개의 집합의 전도성 패턴들 사이의 전기적인 접촉을 허용함으로써 소스/드레인 영역과 같은 기판 상의 2 개의 활성화 영역 사이의 전기적인 접촉을 확립하는 전도성 물질 (예를 들면, 알루미늄 (Al), 구리 (Cu) 등) 을 이용하여 충전된다. 절연 층의 표면 상의 초과 전도성 물질은 전형적으로 화학적 기계적 연마 (CMP; Chemical Mechanical Polishing) 에 의해서 제거된다. 이 후에 실리콘 나이트라이드인 블랭킷 층이 구리를 캡핑하기 위해서 증착된다.
듀얼 다마신 기판들을 제조하기 위한 2 개의 통상적으로 사용되는 접근, 즉, 비어-퍼스트 및 트렌치-퍼스트가 있다. 비어-퍼스트 방법의 일 예제에 있어서, 기판은 먼저 포토레지스트로 도포되며, 이 후에 비어들이 광학적으로 패터닝된다. 다음으로, 비등방성 에칭은 표면 캡핑 물질을 관통하여 커팅하고, 기판의 로우-k 층을 관통하여 아래로 에칭하며, 하부 금속 층의 바로 위쪽에 있는 배리어 물질 상에서 정지한다. 다음으로, 비어 포토레지스트 층은 스트립핑되고, 포트레지스트가 적용되며, 광학적으로 패터닝된다. 전형적으로, 하부 부분이 트렌치 에 칭 프로세스 동안 과-에칭되는 것을 방지하기 위해서, 포토레지스트 중 일부가 비어의 하부에 남아 있을 수도 있고, 유기 ARC 플러그에 의해서 덮여질 수도 있다. 이 후에 제 2 비등방성 에칭은 표면 캡핑 물질을 관통하여 커팅하며 희망하는 깊이로 로우-k 물질을 에칭한다. 제 2 비등방성 에칭은 트렌치를 형성한다. 이 후에 포토레지스트는 스트립되고, 비어의 하부에서의 배리어 물질은 매우 약하고, 작은 에너지를 이용하여 개구되어 하부 구리가 비어 내부로 스퍼터링하는 것을 야기하지 않을 것이다. 상술된 바와 같이, 트렌치와 비어는 전도성 물질 (예를 들어, 알루미늄 (Al), 구리 (Cu) 등) 로 충전되고, 화학적 기계적 연마 (CMP) 에 의해서 연마된다.
또 다른 방법은 트렌치-퍼스트이다. 일 예제에 있어서, 기판은 포토레지스트로 도포되고, 트렌치 광학적 패턴이 사용된다. 비등방성 건식 에칭은 이 후에 포토레지스트를 스트립핑하는 것을 수반하는 표면 하드 마스크 (예를 들면, SiCN, SiC, SiON, Si3N4 등) 를 통하여 커팅한다. 또 상이한 포토레지스트는 트렌치 하드 마스크에 대하여 사용되고, 이 후에 비어들은 광학적으로 패터닝된다. 제 2 광학적 에칭은 이 후에 캡핑 층을 관통하여 커팅하고 로우-k 물질 내부로 아래로 부분적으로 에칭한다. 제 2 비등방성 에칭은 부분적인 비어들을 형성한다. 포토레지스트는 이 후에 트렌치 하드 마스크와 함께 비어에 대하여 트렌치 에칭을 위해서 스트립핑된다. 트렌치 에칭은 이 후에 캡핑 층을 관통하여 커팅되고 로우-k 물질을 희망하는 깊이로 아래로 에칭한다. 제 2 비등방성 에칭은 또한 동시에 비어 홀을 제거하며, 비어의 바닥에 위치하는 최후의 배리어 물질 상에서 정지한다. 최후의 배리어 물질은 이 후에 특별한 에칭을 사용하여 개구될 수도 있다.
예를 들어, 통상적인 비어-퍼스트 프로세스는 상이한 플라즈마 프로세싱 시스템 상에서 수행되는 에칭과 증착 프로세스들 사이의 교대 (alternating) 를 포함할 수도 있다. 설명을 용이하게 하기 위해서, 도 2 는 예제인 종래-기술 듀얼-다마신 프로세스 단계들의 부분적으로 단순화된 집합을 예시하고 여기에서 층 스택의 이상적인 단면도가 도시된다. 수반되는 설명에 있어서, 층들 중의 공간적인 관계를 설명하기 위해서 여기에서 사용될 수도 있는 "위쪽" 및 "아래쪽" 과 같은 용어들은 항상 필요하지는 않지만 관련된 층들 사이의 직접적인 접촉을 지칭할 수도 있다. 도시된 층들의 위쪽에, 아래쪽에 또는 사이에 상이한 부가적인 층들이 존재할 수도 있다는 것이 언급되어야 한다. 또한, 도시된 층들의 전부가 필수적으로 존재할 필요가 없고 일부 또는 모든 것이 이 외의 상이한 층들에 의해서 대체될 수도 있다.
부분적으로 에칭된 층은 단계 (a) 에서 도시된다. 층 스택의 바닥에는, 통상적으로 알루미늄 또는 구리인 금속 층 (210) 이 도시되어 있다. 제 1 금속 층의 위쪽에는 통상적으로 대략 500 Å 두께인 통상적으로 금속 배리어 층 (212) (예를 들면, SiCN, SiC, SiON, Si3N4 등) 이다. 금속 배리어 층 (212) 은 바로 위쪽의 로우-k 물질을 에칭할 때 에칭 정지를 일반적으로 제공한다. 금속 배리 어 층 (212) 위쪽에는, 로우-k 물질, 예를 들어, 어플라이드 머터리얼 사 (www.appliedmaterials.com) 에 의해서 공급되는 SiCN, SiOC, BLACK DIAMONDTM , 노벨러스 시스템 사 (www.novellus.com) 에 의해서 공급되는 CORALTM, TEOS 등 의 통상적으로 대략 3.2 kÅ 의 두께로 형성되는 중간 절연층 (IMD layer; InterMediate Dielectric layer) 이 배치될 수도 있다. IMD 층 (214) 의 위쪽에는, 통상적으로 대략 500 Å 의 두께인 캡핑 층 (216) (예를 들어, SiCN, SiOC, BLACK DIAMONDTM, CORALTM, TEOS 등) 이 위치될 수도 있다. 캡핑 층 (216) 의 위쪽에는, 통상적으로 대략 300 Å 인 트렌치 마스크 층 (218) (예를 들어, TiN, SiN, TaN 등) 이 배치될 수도 있다. 트렌치 마스크 층 (218) 의 위쪽에는 통상적으로 대략 300 Å 인 또 상이한 배리어 층 (220) (예를 들어, PEOX 등) 일 수도 있다. 배리어 층 (220) 의 위쪽에는 통상적으로 대략 1.1 kÅ 인 BARC 층 (222) 일 수도 있다. 최후에는, BARC 층 (222) 의 위쪽에는 비어 (226) 를 이용하여 패터닝된 포토레지스트 층 (224) 이 있고 통상적으로 대략 2.8 kÅ 의 두께이다.
기판은 플라즈마 프로세싱 에칭 챔버 (202) (예를 들어, Lam Research 2300 ExelanTM 등) 로 전달된다. 단계 (b) 에서, 비어 (226) 는 금속 배리어 층 (212) 에 대해 아래로 에칭된다. 단계 (c) 에서, 포토레지스트 층 (224) 및 BARC 층 (222) 은 제거 (스트립핑) 된다. 기판은 이 후에 세정 챔버 (미도시) 로 전달되고 이 후에 포토레지스트 증착 챔버 (204) 에 전달된다. 일반적으로, 이 기판은 제조 스테이션들 사이에서 운반될 때 세정되어야 한다.
에칭 프로세스 동안에, 폴리머 부산물들이 기판의 꼭대기 및 바닥 상에서 형성되는 것은 통상적이다. 일반적으로, 에칭 프로세스 동안 기판 상에서 형성되는 폴리머는 유기적이고 탄소 (C), 산소 (O), 질소 (N), 및/또는 불소 (F) 를 포함할 수도 있다. 그러나, 연속적인 폴리머 층들은 수 개의 상이한 에칭 프로세스들의 결과로서 증착될 때, 강하며 접착적임이 정상적인 유기 결합들은 결국은 약해지며 벗겨질 것이고 운반 동안에 또 상이한 기판 상으로 종종 벗겨져 떨어질 것이다. 예를 들면, 기판은 카세트라고 종종 불리는 실질적으로 청결한 용기를 통하여 플라즈마 프로세싱 시스템들 사이의 세트들 내에서 통상적으로 이동된다. 더 높게 위치된 기판이 용기 내에 재위치될 때, 폴리머 층의 부분은 다이들이 존재하는 하부 기판 상에 떨어질 수도 있어서, 잠재적으로 소자 수율에 영향을 끼칠 수도 있다. 따라서, 기판이 운반되어야 하는 횟수를 최소화하는 것이 유리하다.
일반적으로, 이 기판은 화학적으로 습식 및 건식 프로세스들의 조합을 사용하여 화학적으로 세정된다. 습식 프로세스들은 일반적으로 유기 및 비유기 오염물질 찌꺼기를 각각 제거하기 위해서 솔벤트 및 산 (예를 들어, H2SO4, H2O2, NH4OH, HF 등) 의 조합으로 스핀 턴테이블 상 또는 탱크 (예를 들어, 쿼즈, 플라스틱 등) 내에 기판을 위치시키는 것을 포함하고, 탈이온수 (DI water) 린스 및 스핀 건식 프로세스를 보통 수반한다. 종종, 메가소닉은 습식 세정 프로세스들의 세정 효율을 고양시키기 위해서 사용된다. 메가소닉은 고전력 어코스틱 에너지 파장을 생성하는 탱크의 바닥에 마운팅된 트랜듀서를 말한다. 이 부가적인 에너지는 일반적으로 기판 표면으로부터 파티클들을 제거하는 것을 돕는다. 메가소닉 기능을 갖는 기판 스핀 프로세스 플랫폼들의 통상적인 예제들은 SEZ Holding Ltd. (www.sez.com), Akrion, inc. (www.akrion.com) 및 Semitool, inc. (www.semitool.com) 에 의해서 공급된 프로덕트들을 포함한다.
예를 들어, SC-1 (Standard Clean Solution #1) 이라고 호칭되는 통상적인 세정 방법은 암모늄 수소 (NH4OH), 과산화 수소 (H202), 탈이온수 (H2O) 의 혼합을 갖는 스핀 턴테이블의 상 또는 탱크 (예를 들어, 쿼즈, 플라스틱 등) 내에 기판을 위치시키는 것을 포함한다. 혼합을 위한 전형적인 농도 비는 NH4OH:H2O2:H2O 가 1:1:5 이지만 최근에는 더 나은 세정 성능을 위해서 0.05:1:5 와 같이 낮은 레이트들이 사용되어진다. SC-1 은 기판의 표면을 계속적으로 산화시키는 것 및 이 후에 에칭하는 것에 의해서 기판의 표면으로부터 유기적 오염물질들을 제거하는 것에 있어서 효율적일 수도 있으므로, 오염물질들을 용액으로 용해하는 것이 효율적이다. 이것은 전형적으로 50-70 ℃ 의 온도 범위 내에서 동작한다.
SC-2 (Standard Clean Solution #2) 라고 호칭되는 또 상이한 통상적으로 사용되는 세정 방법은 염화수소 산 (HCl), 과산화 수소 (H2O2), 및 탈이온수 (H2O) 의 혼합을 갖는 쿼즈 또는 플라스틱 탱크 내에 기판을 위치시키는 것을 포함한다. 혼합을 위한 전형적인 농도 레이트는 HCl:H2O2:H2O 에 대하여 1:1:5 이다. 일반적으로, SC-2 용액은 기판 표면으로부터 금속 오염물질들을 제거하는 것에 최적화 되고, 보통 SC-1 에 수반된다. SC-1 과 유사하게, 이것은 웨이퍼의 표면을 계속적으로 산화시키는 것 및 이 후에 에칭하는 것에 의해서 금속들을 제거하고, 이로써 오염물질들을 용액으로 용해시킨다. 이것은 전형적으로 50-70 ℃ 의 온도 범위 내에서 동작된다.
부가적으로, 건식 세정 방법이 또한 사용된다. 일반적으로 건식 세정은 기체상 내에서 기판 표면으로부터 오염물질들을 제거하는 프로세스이다. 오염물질들의 제거는 화학적 반응을 통한 휘발성 화합물로의 오염물질의 변환, 운동량 전달을 통한 기판 표면으로부터 오염물질을 "노킹 오프 (knocking off)" 하는 것, 또는 오염된 표면의 약간의 에칭 동안 오염 물질의 리프트-오프 (lift off) 에 의해서 성립될 수도 있다.
기판이 세정된 후에, 이것은 포토레지스트 증착 챔버 (204) 로 운반된다. 일반적으로, 기판은 높은-속도 회전 동안 기판을 유지할 수 있는 진공 척과 함께 스핀들 (spindle) 상에 위치된다. 액체 포토레지스트가 기판 표면 상에서 사용되며, 기판 회전으로부터의 원심력이 전체 기판에 대하여 액체를 흩뿌린다. 포토레지스트 두께는 점성 및 스핀 레이트 둘 모두에 대하여 관련된다. 즉, 점성이 더 커지면 커질수록, 포토레지스트 층은 더 두꺼워지는 반면에, 스핀 레이트가 더 커지면 커질수록, 포토레지스트 층은 더 얇아진다. 포토레지스 층은 이 후에 베이크되고 기판의 표면에 대하여 터프한 접착성 필름으로 이것을 변환하기 위해서 UV 방사성에 노출된다.
기판은 이 후에 또 상이한 플라즈마 프로세싱 에칭 챔버 (206) 에 대하여 전 달된다. 일반적으로, 포토레지스트는 부분적으로 에칭되고, 이 후의 금속 배리어 층 (212) 에칭을 위한 기판을 준비하기 위해서 비어 (226) 내에 일 부분만을 남긴다. PREB (PhotoResist Etch Back) 으로 주지된 프로세스에서, O2 화학물이 특정한 레벨으로 포토레지스트를 에치 백 하기 위해서 통상적으로 사용된다. 여기에서, 이 레벨은 비어의 꼭대기와 바닥 사이의 지점이다. 결론적으로, 모든 포토레지스트가 제거 (전형적인 에칭 절차가 완료된 후의 통상적으로 케이스일 것이다.) 되는 것을 방지하기 위해서, 포토레지스트 제거 프로세스는 일반적으로 감소되어져야 한다.
O2 화학체를 감소시키는 것 및 이로써 에칭 레이트를 제어하는 것 중 하나의 방법은 불활성 기체들의 실질적인 양을 기체 혼합체에 대하여 부가하는 것일 수도 있다. 즉, 아르곤과 같은 불활성 기체의 부피가 플라즈마 프로세싱 챔버 내에서 증가됨에 따라서, 더 큰 수의 산소 이온들이 불활성 기체 분자들과 충돌하고 에너지를 교환하고, 기판 표면으로부터 열 에너지를 흡수함으로써 포토레지스트 에칭 레이트를 감소시킨다.
O2 화합물을 감소시키는 또 다른 방법은 플라즈마 내에서의 에칭제 라디칼들의 효율적인 양을 감소시킴으로써 포토레지스트 에칭 레이트를 최적화시키기 위해서 에칭제에 대한 친화성을 갖는 물질으로 플라즈마 프로세싱 챔버를 프리-코팅하는 것일 수도 있다. 예를 들어 Cl2 를 이용하여 챔버를 프리-코팅하는 것은 포토레지스트를 에칭하기 위해서 이용 가능한 플라즈마 내의 산소 라디칼의 효율적인 양을 감소시킬 수도 있다. 일반적으로 프리-코팅 물질의 양이 더 커지면 커질수록, 에칭 프로세스를 위하여 이용 가능한 이용가능 산소 라디칼의 수는 더 적어진다.
그러나, PREB 를 위해서 사용되는 플라즈마 프로세싱 챔버는 상이한 프로세스 화학작용 및 챔버 조건들을 요구할 수도 있는 다수의 연속적인 프로세스 단계들 (예를 들어, PREB, 트렌치 에칭, 포토레지스트 스트립핑 등) 을 위해서 최적화되지 않을 수도 있다. 예를 들어, 전형적인 에칭 챔버 내에서, 기판의 표면을 가로질러서 불활성 기체들과 조합된 O2 의 분배를 최적화시키는 것은 난해할 수도 있다. 그러나, 플라즈마가 포토레지스트를 에칭하여 제거할 때, 더 높은 포토그래피를 갖는 기판 상의 영역들은 더 낮은 토포그래피를 갖는 영역보다 에칭을 하기 위해서 더 길게 취함으로써, 케이스일 수도 있는 트렌치들 또는 비어들 중의 비-균일 포토 레지스트 프로파일을 생성한다. 부가적으로, 기판의 외부 가장자리는, 가장자리가 플라즈마 포텐셜에 보다 가깝기 때문에, 중심보다 더 많은 전자들을 수집할 수도 있다 (따라서, 상응하는 에칭 레이트를 증가시킨다). 따라서, 실질적으로 균일한 에칭 레이트를 보장하기 위해서 기판의 표면을 가로질러서 비대칭적으로 O2를 분배하는 것이 유리할 수도 있다.
부가적으로, 챔버 메모리 효과를 최소화하기 위해서 실질적으로 청결한 챔버 조건을 관리하는 것 및 연속적인 기판들 사이의 반복적인 결과를 관리하는 것이 중요하다. PREB 오염물질 증착을 완전히 제거하는 것은 많은 플라즈마 프로세싱 시스템에서 시간 낭비일 수도 있기 때문에, 플라즈마 프로세싱 챔버는 일반적으로 파티클 오염 레벨이 불허용 수준에 다다를 때만 세정된다. 그러나, 프로세스 조건들이 확립된 파라미터들을 넘어서 변할 때를 정확하게 결정하는 것은 종종 난해하다. 먼저 초기에 기판을 제조하는 것을 프로세싱하는 것 및 이 후에 부분적으로 테스트하는 것 없이, 플라즈마 프로세스가 확립된 파라미터 인-시추의 외부로 이동하는지를 결정하기 위해서 효율적인 방법은 일반적으로 없다. 즉, 일 배치 (batch) 의 기판이 프로세싱된 후에, 샘플 기판이 배치 (batch) 로부터 제거되고 테스트된다. 그러므로, 필요한 세정들 사이의 시간의 길이를 최대화하기 위해서, 지정된 플라즈마 프로세싱 챔버가 종종 PREB 프로세스를 위해서 선호된다. 종종, 자본 투자를 최대화하기 위해서, 더 오래된 기계들이 PREB 와 같은 특정한 태스크들 또는 프로세스들에 지정될 수도 있다.
PREB 가 완료된 후에, 기판은 이전에 묘사된 것과 같이 다시 세정 (미도시) 될 수도 있고 이 후에 배리어 층 (220) (예를 들어, PEOX 등) 이 제거될 수도 있는 플라즈마 에칭 챔버 (208) 로 운반될 수도 있고, 금속 배리어 층 (212) 이 에칭될 수도 있다. 초기에, 단계 (f) 에서, 배리어 층 (220) 이 제거된다. 일반적으로 이전의 PREB 단계가 플라즈마로부터 금속 배리어 층 (212) 을 배리어 (220) 로서 감싼 후에 남아있는 포토레지스트 (228) 는 제거된다. 즉, 포토레지스트 (228) 의 일 부분은 금속 배리어 층 (212) 이 에칭되는 대신에 에칭된다. 다음으로, 단계 (g) 에 있어서, 남아있는 포토레지스트는 이전에 설명된 바와 같이 제거 (스트립핑) 된다. 최후에, 금속 배리어 층 (212) 이 에칭된다. 일반적 으로, 라이너 제거 프로세스 (LRM; Liner Removal Process) 가 금속 배리어 층 (212) 을 에칭하기 위해서 사용될 수도 있다.
그러나, 각각의 기판을 취급하는 것을 최소화 하고, 따라서 수율을 향상시키고 전반적인 생산 처리량을 향상시키고, 필요한 플라즈마 프로세싱 챔버의 양을 최소화하는 것을 도우며 기판 운반 동안 야기된 기판 결점들을 최소화시키기 위해서 단일 프로세싱 세션 (예를 들어, 인-시추) 동안 프로세싱하는 것과 같이 많은 단계들이 결합하는 것은 기판의 프로세싱에 있어서 종종 이점이 있다.
본 발명이 이루고자 하는 기술적 과제는 인-시추 기판 프로세싱을 위한 방법 및 장치를 제공하는데 있다.
요약
본 발명의 일 실시형태는 기판을 프로세싱하는 플라즈마 프로세싱 시스템과 관련된다. 플라즈마 프로세싱 시스템은 기체 분배 시스템을 포함할 수도 있다. 플라즈마 프로세싱 시스템은 또한 기체 분배 시스템에 의해서 제공되는 입력 기체들의 집합을 제어하도록 구성되고 기체 분배 시스템에 커플링된 기체 흐름 제어 어셈블리를 포함할 수도 있다. 플라즈마 프로세싱 시스템은 또한 기판의 제 1 부분을 프로세싱하기 위해서 제 1 집합의 기체들을 공급하도록 구성되고 기체 흐름 제어 어셈블리에 커플링되는 제 1 집합의 노즐들을 포함할 수도 있다. 플라즈마 프로세싱 시스템은 또한 기판의 제 2 부분을 프로세싱하기 위해서 제 2 집합의 기체들을 공급하도록 구성되고 기체 흐름 제어 어셈블리에 커플링된 제 2 집합의 노즐들을 포함할 수도 있다. 제 1 집합의 기체들은 집합의 입력 기체들의 제 1 부분을 나타낼 수도 있고, 기체들의 제 2 집합은 입력 기체들의 제 2 부분을 나타낼 수도 있다. 제 1 집합의 기체들의 유량은 제 2 집합의 기체들의 유량과는 상이할 수도 있다.
상술된 요약은 여기에서 공개된 발명의 많은 실시형태들 중 하나에 관련된 것이고, 여기에서 청구항에서 출발되는 발명의 범주를 제한하려는 의도는 아니다. 본 발명의 이런 및 상이한 특징들이 아래에서 발명의 상세한 설명에서 다음 도면과 관련하여 더 상세하게 설명될 것이다.
본 발명은 첨부된 도면의 그림에서 예제의 방식으로 예시된 것이지 제한의 방식으로 예시된 것이 아니며, 유사 참조 번호는 유사한 구성요소를 지칭한다.
상세한 설명
본 발명은 이제 첨부된 도면에서 예시된 수 개의 선호된 실시형태를 참조하여 설명될 것이다. 다음 설명에서 많은 특정한 상세 사항들이 본 발명의 철저한 이해를 제공하기 위해서 시작된다. 그러나, 당업자에게 본 발명은 이런 특정한 상세 사항들의 일부 또는 전부 없이 실행될 수도 있다는 것은 분명할 것이다. 상이한 예시에 있어서, 주지된 프로세스 단계들 및/또는 구조들은 불필요하게 본 발명을 모호하게 하지 않기 위해서 상세하게 설명되지는 않는다.
이론에 의해서 구속되는 것을 바라지 않으면서, 여기에서 PREB 프로세싱 단계는 인핸스드 플라즈마 프로세싱 챔버 내의 금속 층 배리어 에칭 프로세싱 단계와 결합될 수도 있을 것이다. 일 실시형태에 있어서, 인핸스드 플라즈마 프로세싱 챔버는 Lam Research Corporation (www.lamrc.com) 에 의해서 공급된 Lam Research 2300 ExelanTM Flex 플라즈마 프로세싱 시스템의 부분일 수도 있다.
이전에 설명된 바와 같이, PREB 프로세싱은 특정한 수준으로 포토레지스트를 에치 백하기 위해서 감소된 O2 화학물질을 일반적으로 사용한다. 예를 들어, 불활성 기체들의 실질적인 양은 에칭 레이트를 감소시키기 위해서 기체 혼합체에 부가될 수도 있다. 부가적으로, 플라즈마 프로세싱 챔버는 플라즈마 내에서 에칭제 라디칼들의 효율적인 양을 감소시키기 위해서 에칭제에 대하여 친화성을 갖는 물질으로 프리-코팅될 수도 있다. 예를 들어, Cl2 와 같이 산소가 친화성을 갖는 물질으로 챔버를 프리-코팅하는 것은 포토레지스트를 에칭하기 위해서 이용가능한 플라즈마에서의 산소 라디칼의 효율적인 양을 감소시킬 것이다. 일반적으로, 프리-코팅 물질의 양이 더 크면 클 수록, 에칭 프로세스에 대하여 이용 가능한 이용가능 산소 라디칼들의 수는 더 적어진다. 통상적으로, 지정 플라즈마 프로세싱 챔버는 오염 또는 프로세스 제어 관심 때문에 일반적으로 사용될 수도 있다.
이점이 있는 방식에 있어서, 인핸스드 플라즈마 프로세싱 시스템은 O2 에칭 프로세스를 감소시키며 오염을 최소화하기 위해서 상부 전극 온도 제어 시스템 및 디퍼렌셜 플라즈마 기체 주입 중 하나 이상을 갖도록 구성될 수도 있다.
실시형태에 있어서, 인핸스드 플라즈마 프로세싱 시스템은 PREB 오염물질들을 실질적으로 제거하기 위해서 무웨이퍼 자동 세정 프로세스를 실행할 수도 있으 므로써, 프로세스 조건들로 하여금 기판의 연속적인 프로세싱 단계들 동안 확립된 파라미터들 내에 남아있도록 허용한다. 부가적으로, 인핸스드 플라즈마 프로세싱 시스템이 대부분의 PREB 오염물질들을 실질적으로 제거하기 때문에, 챔버 세정들 사이의 요구되는 시간은 실질적으로 관리되거나 향상된다.
본 발명의 하나 이상의 실시형태는 기판을 프로세싱하는 플라즈마 프로세싱 시스템과 관련된다. 플라즈마 프로세싱 시스템은 기체 분사 시스템 (예를 들면, 기체 공급기) 을 포함할 수도 있다. 플라즈마 프로세싱 시스템은 또한 기체 분산 시스템에 의해서 공급되는 일 집합의 입력 기체들을 제어하도록 구성되며 기체 분산 시스템에 커플링된 기체 흐름 제어 어셈블리를 포함할 수도 있다. 일 집합의 입력 기체들은 하나 이상의 기체를 포함한다. 플라즈마 프로세싱 시스템은 또한 기판의 제 1 부분을 프로세싱하는 제 1 집합의 기체들을 공급하도록 구성되며 기체 흐름 제어 어셈블리에 커플링되는 제 1 집합의 노즐들을 포함할 수도 있다. 제 1 집합의 기체들은 집합의 입력 기체들의 제 1 부분을 나타낼 수도 있다. 프로세싱 시스템은 또한 기판의 제 2 부분을 프로세싱하는 기체들이 제 2 집합의 기체들을 공급하도록 구성되며 기체 흐름 제어 어셈블리에 커플링되는 제 2 집합의 노즐들을 포함할 수도 있다. 제 2 집합의 기체들은 제 2 집합의 입력 기체들의 제 2 부분을 나타낼 수도 있다. 제 1 집합의 기체들의 유량은 제 2 집합의 기체들의 유량과는 상이할 수도 있다.
하나 이상의 실시형태에 있어서, 기판의 제 1 부분은 기판의 중심 지역을 나타낼 수도 있고, 기판의 제 2 부분은 기판의 가장자리 지역을 나타낼 수도 있고 제 1 집합의 기체들의 유량은 제 2 집합의 기체들의 유량보다 더 높을 수도 있다.
하나 이상의 실시형태에 있어서, 플라즈마 프로세싱 시스템은 또한 플라즈마 프로세싱 시스템의 상부 챔버에 커플링된 온도 제어 시스템을 포함할 수도 있다. 온도 제어 시스템은 상부 챔버 내부에 배치되는 전극을 가열하기 위해서 구성된다는 가열 유닛을 포함한다.
기판을 프로세싱 하는 동안 가열되는 전극으로 인해서, 플라즈마 프로세싱 챔버의 기판, 전극, 및 월들에 부착하는 오염물질들의 양 (예를 들면, 폴리머 부산물들) 은 효과적으로 감소될 수도 있고, 그러므로 펌프에 의해서 배출되는 오염물질들의 양은 효과적으로 증가될 수도 있다. 따라서, 기판 아래에 위치되는 기판의 오염은 감소될 수도 있고, 따라서, 기판을 세정하는 것과 관련된 시간, 노력, 및 비용은 감소될 수도 있다. 또한, 전극의 오염은 감소될 수도 있고, 그러므로 전극들의 대체 또는 세정의 빈도와 관련 비용은 감소될 수도 있다. 전극은 샤워헤드를 나타낼 수도 있다. 또한, 플라즈마 프로세싱 챔버의 오염은 감소될 수도 있고, 그러므로, 기판은 상이한 플라즈마 프로세싱 챔버로 이동됨 없이 동일한 플라즈마 프로세싱 챔버에 있어서 더 많은 프로세스 단계와 함께 프로세싱될 수도 있다. 생산성 및 효율성은 향상될 수도 있으며 비용은 프로세싱 기판 내에서 감소될 수도 있는 이점이 있다.
본 발명의 하나 이상의 실시형태들은 배리어 층 및 증착된 포토레지스트를 포함하는 기판을 프로세싱하는 방법을 포함한다. 이 방법은 플라즈마 프로세싱 챔버에 있어서 기판의 제 1 부분에서 증착된 포토레지스트를 부분적으로 에칭하기 위해서 제 1 집합의 기체들을 공급하고 이온화하는 것을 포함할 수도 있다. 이 방법은 또한 플라즈마 프로세싱 챔버에 있어서 기판의 제 2 부분에서 증착된 포토레지스트를 부분적으로 에칭하기 위해서 제 2 집합의 기체들을 공급하고 이온화하는 것을 포함할 수도 있다. 이 방법은 또한 동일한 플라즈마 프로세싱 챔버에 있어서 배리어 층을 제거하는 것을 포함할 수도 있다.
하나 이상의 실시형태에 있어서, 방법은 플라즈마 프로세싱 시스템의 전극을 가열하는 것을 포함할 수도 있다. 전극은 제 1 집합의 기체들과 제 2 집합의 기체들 중 하나 이상을 플라즈마 프로세싱 챔버 내부로 전달하기 위한 샤워헤드를 나타낼 수도 있다.
본 발명의 하나 이상의 실시형태는 플라즈마 프로세싱 시스템에 있어서 기판을 프로세싱하는 방법을 포함한다. 이 방법은 기판의 프로세싱 레이트를 감소시키기 위해서 플라즈마 프로세싱 시스템의 전극을 가열하는 것을 포함한다.
본 발명의 특징들 및 이점들은 수반되는 도면들 및 설명들을 참조하여 보다 잘 이해될 수도 있다.
이제 도 3 을 참조하면, 듀얼-다마신 프로세스 단계들의 부분적으로 단순화된 집합이 도시되고, 여기에서 RPEB 프로세싱 단계는 본 발명의 하나 이상의 실시형태에 따라서 인핸스드 플라즈마 프로세싱 챔버에 있어서 금속 층 배리어 에칭 프로세싱 단계와 결합된다.
부분적으로 에칭되는 기판이 단계 (a) 에서 도시된다. 층 스택의 바닥에는, 통상적으로 알루미늄 또는 구리인 금속 층 (310) 이 도시된다. 제 1 금속 층의 위쪽에는 통상적으로 대략 500 Å 의 두께인 통상적으로 금속 배리어 층 (312) (예를 들면, SiCN, SiC, SiON, Si3N4, 등) 이 있다. 금속 배리어 층 (312) 의 위쪽에는 로우-k 물질을 포함하는 물질 (예를 들면, SiCN, SiOC, BLACK DIAMONDTM, CORALTM, TEOS 등) 으로 형성되고 통상적으로 대략 3.2k Å의 두께인 중간 절연층 (IMD layer) 이 배치될 수도 있다. IMD 층 (314) 의 위쪽에는, 통상적으로 대략 500 Å의 두께인 캡핑 층 (316) (예를 들면, SiCN, SiOC, BLACK DIAMONDTM, CORALTM, TEOS 등) 이 위치될 수도 있다. 캡핑 층 (316) 의 위쪽에는 통상적으로 대략 300 Å인 트렌치 마스크 층 (318) (예를 들면, TiN, SiN, TaN 등) 이 배치될 수도 있다. 트렌치 마스크 층 (318) 의 위쪽에는 통상적으로 대략 300 Å 인 또 상이한 배리어 층 (320) (예를 들면, PEOX, 등) 이 있을 수도 있다. 배리어 층 (320) 의 위쪽에는 통상적으로 대략 1.1k Å인 BARC 층 (322) 이 있을 수도 있다. 최종적으로 BARC 층 (322) 의 위쪽에는 비어 (326) 과 함께 패터닝된 포토레지스트 층 (324) 일 수도 있고, 통상적으로 대략 2.8 kÅ 의 두께이다.
기판은 플라즈마 프로세싱 에칭 챔버 (302) (예를 들면, Lam Research Corporation 에 의해서 공급되는 Lam Research 2300 EXELANTM) 로 전달된다. 단계 (b) 에서, 비어 (326) 는 금속 배리어 층 (312) 으로 아래로 에칭된다. 단계 (c) 에서, 포토레지스트 층 (324) 및 BARC 층 (322) 은 제거된다. 기판은 이후에 세정 챔버 (미도시) 로 전달되고, 이후에 포토레지스트 증착 챔버 (304) 로 전달된다. 기판은 제작하는 스테이션들 사이에서 운반될 때 세정될 수도 있다. 일반적으로, 기판들은 화학적으로 습식 및 건식 프로세스들 (예를 들면, SC-1, SC-2, 메가소닉, 건식 세정 등) 또는 이들의 조합 중 하나를 사용하여 화학적으로 세정될 수도 있다. 기판이 세정된 후에, 이것은 포토레지스트 증착 챔버 (304) 로 운반된다.
그러나, 종래-기술 방법들과 달리, 이 후에 기판은 단계 (f) 전에 부가적인 세정 단계 없이 단계 (e) 에서 PREB 프로세싱 단계 및 단계 (f) 에서 금속 층 배리어 에칭 프로세싱 단계 둘 모두를 실행하기 위해서 인핸스드 플라즈마 프로세싱 챔버 (308) 로 전달될 수도 있다. PREB 프로세스인 단계 (e) 에서, 포토레지스트 (328) 는 부분적으로 제거되어, 연이은 금속 배리어 층 (312) 을 위한 기판을 준비하기 위해서 비어 (326) 내의 일 부분만을 남긴다. 하나 이상의 실시형태에 있어서, 단계 (e) 에서, 인핸스드 플라즈마 프로세싱 챔버 (308) 는 플라즈마 프로세싱 챔버 (308) 를 오염시키지 않고 플라즈마 프로세싱 챔버 (308) 에 커플링된 펌프에 의해서 비워진다. 따라서, 플라즈마 프로세싱 챔버 (308) 는 단계 (f) 를 위한 바람직한 조건에 있을 수도 있고, 기판은 상이한 플라즈마 프로세싱 챔버에 전달될 필요가 없을 수도 있다. PREB 프로세스가 완료된 후에, 배리어 층 (320) 은 동일한 인핸스드 플라즈마 프로세싱 챔버 (308) 에서 단계 (f) 에서 제거된다. 다음으로, 단계 (g) 에서, 남아있는 포토레지스트는 이전에 설명된 바와 같이 제거된다. 최종적으로, 금속 배리어 층 (312) 은 단계 (h) 에서 에 칭된다.
이제 도 4 를 참조하면, 본 발명의 일 실시형태에 따라서, 상부 전극 온도 제어 시스템 및 디퍼렌셜 플라즈마 기체 주입을 갖는 용량적으로 커플링된 인핸스드 플라즈마 프로세싱 시스템의 단순화된 다이어그램이 도시된다. 인핸스드 플라즈마 프로세싱 챔버는 하부 챔버 내에 위치되는 하부 조각 (450), 탈착 가능한 상부 조각 (452), 상부 조각 (452) 내에 적어도 부분적으로 위치되는 온도 제어 시스템 (453) 을 포함할 수도 있다.
하나 이상의 실시형태들에 있어서, 온도 제어 시스템 (453) 은 가열 유닛 및 냉각 유닛 (또는 가열 및 냉각 유닛) 을 포함할 수도 있고 플라즈마 프로세싱 시스템의 상부 챔버 (482) 에 커플링될 수도 있다. 이 가열 및 냉각 유닛을 동일한 열적 인터페이스를 통하여 상부 챔버 (282) 로부터 또는 상부 챔버로 열을 운반하도록 기여할 수도 있다. 하나 이상의 실시형태에 있어서, 온도 제어 시스템 (453) 은 상부 챔버 (482) 의 외부 표면에 대해서 래칭 메커니즘 (latching mechanism) 을 통하여 커플링된 가열 및 냉각 유닛을 포함할 수도 있다. 하나 이상의 실시형태에 있어서, 래칭 메커니즘은 클램프 어셈블리를 포함할 수도 있다. 하나 이상의 실시형태에 있어서, 클램프 어셈블리는 가열 및 냉각 유닛으로 직접 합체된다.
하나 이상의 실시형태에 있어서, 제 1 RF 생성기 (434) (소스 RF 생성기 (434)) 는 플라즈마를 생성할 뿐만 아니라 플라즈마 밀도를 제어하는 한편, 제 2 RF 생성기 (438) (바이어스 RF 생성기 (438)) 는 바이어스 RF 를 생성하고 통상적 으로 DC 바이어스 및 이온 폭격 에너지를 제어하기 위해서 사용된다. 정합 네트워크 (436) 는 소스 RF 생성기 (434) 에 대해서 및 바이어스 RF 생성기 (438) 에 대해서 커플링될 수도 있으며 RF 전력 소스들의 임피던스들을 플라즈마 (440) 의 전력 소스의 것에 정합하도록 구성될 수도 있다. 더욱이, 펌프 (411) 는 플라즈마 (440) 를 유지하기 위해서 필요한 압력을 달성하기 위해서 플라즈마 프로세싱 챔버 (402) 로부터 주위 분위기를 배출시키도록 사용될 수도 있다. 펌프 (411) 는 또한 플라즈마 프로세싱 챔버 (402) 로부터 오염물질을 배출하도록 구성될 수도 있다.
하나 이상의 실시형태에 있어서, 적절한 집합의 기체들이 하부 챔버 내에 위치되는 밸브 (423) 을 잠그기 위해서 기체 분배 시스템 (422) 으로부터 챔버 (402) 로 흐른다. 통상적으로 구성되는 플라즈마 프로세싱 챔버와는 달리, 샤워헤드/접지된 전극 (409) 은 (예를 들어, 기판 균일성을 최적화하기 위해서) 독립적으로 제어되는 노즐들의 상이한 집합들 또는 영역을 포함한다. 샤워헤드/접지된 전극 (409) 은 기체 흐름 제어 어셈블리 (425) 에 접속될 수도 있으며, 이것은 상부 챔버 (482) 에 가깝게 위치될 수도 있고, 밸브 (423) 를 잠그도록 커플링될 수도 있다.
하나 이상의 실시형태에 있어서, 멀티-지역 샤워헤드/접지된 전극 상의 지역들은 제 1 집합의 플라즈마 기체들을 기판 (444) 의 제 1 지역 (예를 들어, 중심 지역) 을 에칭하기 위해서 플라지마의 중심 지역으로 제 1 집합의 플라즈마 기체들 (프로세스 기체들) 을 원리적으로 소개하는 중심 집합의 노즐들 및 기판 (444) 의 제 2 지역 (예를 들어, 가장자리 지역) 을 에칭하기 위해서 플라즈마의 남아잇는 부분으로 제 2 집합의 플라즈마 기체들 (프로세스 기체들) 을 원리적으로 주입하는 가장자리 집합의 노즐들을 포함한다. 제 1 집합의 플라지마 기체들 및 제 2 집합의 플라즈마 기체들의 유량들은 기판 (444) 이 균일한 방식으로 에칭될 수도 있도록 제어될 수도 있는 이점이 있다. 유량들은 상이할 수도 있다. 하나 이상의 실시형태에 있어서, 제 1 집합의 플라즈마 기체들의 유량은 제 2 집합의 플라즈마 기체들의 유량보다 더 높을 수도 있을 것이다.
하나 이상의 실시형태들에 있어서, 스테인리스 스틸 도관, 밸브, 바이패스 및 흐름 제한 중 하나 이상을 포함하는 기체 흐름 제어 어셈블리 (425) 는 샤워헤드/접지된 전극 (409) 에서 필요한 기체 흐름 조정을 제공한다. 이 후에, 이런 플라즈마 기체들은 전력화된 전극으로서 또한 역할하는 정전척 (416) 상의 가장자리 링 (445) 과 함께 위치된 반도체 기판 또는 유리 패널과 같은 기판의 노출된 영역을 프로세싱 (예를 들어, 에칭 또는 증착) 하기 위해서, 플라즈마 (440) 를 형성하도록 이온화될 수도 있다.
제 1 집합의 플라즈마 기체들 및 제 2 집합의 플라즈마 기체들 중 하나 이상은 산소 및 불활성 기체들 중 하나 이상을 포함할 수도 있다. 이점이 있는 방식으로, 상부 전극 (샤워헤드/접지된 전극 (409)) 을 가열하는 것은 플라즈마 기체들을 여기시킬 수도 있으며, 산소 이온들과 불활성 기체 분자들 사이의 충돌의 수를 증가시킴으로써 잠재적으로 포토레지스트 에칭 레이트를 감소시킨다. 대체적으로 또는 부가적으로, 도 4 를 참조하면, 샤워헤드/접지된 전극 (409) 를 가열 시키는 것은 기판 (444), 샤워헤드/접지된 전극 (409), 상부 조각 (452), 하부 조각 (450), 및 정전척 (416) 중 하나 이상에 부착하는 오염물질들의 양을 감소시킬 수도 있다. 따라서, 실질적인 양의 오염물질들은 펌프 (411) 에 의해서 플라즈마 프로세싱 챔버 (402) 로부터 비워질 수도 있다.
이제 도 5 를 참조하면, 도 4 의 온도 제어 시스템 (453) 의 단순화된 단면도가 본 발명의 하나 이상의 실시형태에 따라서 도시된다. 냉각 채널 (502) 은 냉각 도관 (504) 을 하우징 (housing) 하기 위해서 열적 전도체 (506) 내에 형성된다. 냉각 채널 (502) 은 열적 전도체 (506) 에 대하여 열적 로드를 평균화하기 위해서 그 자신 상에서 뒤로 돌리는 경로를 갖는 단일 회로 채널이다. 이 방식으로, 열적 전도체 (506) 의 뒤틀림은 감소될 수도 있다. 냉각 도관 (504) 는 효율적으로 상부 챔버로부터 이격되도록 열적 에너지를 전도하기 위해서 선택된 유체 매개체를 운반할 수도 있다. 임의의 수의 냉각 유체가 본 발명에 따라서 사용될 수도 있다. 하나의 실시형태에 있어서, 물은 유체 매개체로서 사용된다. 냉각 도관 (504) 은 본 기술 분야에서 공지된 임의의 수의 방식들로 열적 전도체 (506) 에 부착될 수도 있다. 더 효율적인 냉각이 필요한 일부 실시형태들에 있어서, 냉각 도관 (504) 은 예를 들어 폴리머 레진과 같은 열적 전도성 물질을 갖는 냉각 채널 (502) 내에서 보호될 수도 있다.
이제 도 6 을 참조하면, 도 5 의 온도 제어 시스템 (453) 의 부분적으로 확대된 단면도가 본 발명의 하나 이상의 실시형태에 따라서 도시된다. 본 발명의 하나 이상의 실시형태들에 있어서, 열적 전도 물질 (644) 은 하나 이상의 열적 인 터페이스 층을 포함한다. 열적 전도 물질 (644) 은 표면들 사이, 예를 들어, 상부 챔버 사이드월 (612) 과 방출 대역 (648) 사이의 금속 대 금속 접촉을 향상시키기 위해서 사용될 수도 있다. 하나 이상의 실시형태들에 있어서, 열적 전도성 물질 (644) 은 열적 패드를 포함할 수도 있다. 하나 이상의 실시형태들에 있어서, 열적 전도성 물질 (644) 은 열적 그리스 (thermally grease) 를 포함할 수도 있다. 방출 대역 (648) 은 하나 이상의 열적 인터페이스 층들을 포함할 수도 있다. 일반적으로 방출 대역 (648) 은 열적 전도체 (506) 의 표면에 대하여 균등하게 열적 로드를 분배하도록 기능한다. 방출 대역 (648) 에 의한 열적 로드들의 방출은 방출이 인터페이스 층 표면들에 대하여 실질적으로 방사적인 반면 전도는 인터페이스 층 표면들에 대하여 실질적으로 수직이라는 점에서 열적 전도성 물질 (644) 에 의한 열적 로드의 전도와는 상이하다. 방출 대역 (648) 은 본 기술분야에서 주지된 임의의 수의 적합한 열적 방출 물질로부터 선택된 물질로서 형성될 수도 있다. 하나 이상의 실시형태에 있어서, 방출 대역 (648) 은 시리즈 6000 알루미늄으로 만들어진다.
가열기 층 (650) 은 또한 하나 이상의 열적 인터페이스 층들을 포함할 수도 있다. 하나의 실시형태에 있어서, 가열기 층 (650) 은 KAPTON 에칭된 포일 (foil) 가열기일 수도 있다. 가열기의 용량은 프로세스 요구 파라미터들에 기반하여 선택된다. 열적 배리어 층 (652) 은 또한 하나 이상의 열적 인터페이스 층을 포함할 수도 있다. 최후의 층 (미도시) 은 열적 도전체 (506) 의 외부 표면에 구속될 수도 있다. 이 최후의 층은 일부 실시형태들에 있어서, 열적 체포 자 (arrester) 이다. 열적 체포자는 주위 온도 변화로부터 열적 제어 소자를 절연시킬 수도 있으므로 소자의 보다 나은 프로세스 제어를 유발한다. 설명된 각각의 층들은 본 기술분야에서 주지된 임의의 열적 전도성 접착제를 사용하는 각각의 상이한 것에 구속될 수도 있다. 일부 실시형태들에 있어서, Chomerics (www. Chomerics.com, Parker Hannifin Corporation의 부서) 로부터의 THEMATTACH T412 와 같은 양면 열적 접착제 테이프가 사용될 수도 있다. 당업자는 예시된 층들이 실제 실시형태들의 스케일 표현이 아닐 수도 있다는 것을 이해할 것이다. 차라리, 층들은 단지 예시적인 목적들을 위한 것이다. 물질 선택 및 설계 제한은 층 크기를 규정할 것이다.
도 7 을 참조하면, 온도 제어 소자 (453) 의 예시적인 상면도의 나타냄은 본 발명의 하나 이상의 실시형태들에 따라서 도시된다. 본 발명의 하나 이상의 실시형태들에 있어서, 제어 소자 (453) 은 이것의 순환 구조에 의해서 특징화될 수도 있다. 마운팅 (mounting) 블록 (732) 은 열적 전도체 (506) 의 각각의 단에 부착될 수도 있다. 마운팅 블록 (732) 은 다수의 기능들을 수행할 수도 있다. 먼저, 마운팅 블록 (732) 은 플라즈마 프로세싱 장치의 상부 챔버에 대한 온도 제어 소자 (453) 를 보호하기 위해서 클램핑 시스템 (728) 을 위한 부착 지점으로서 역할할 수도 있다. 클램핑은 본 기술분야에서 통상적으로 공지된 임의의 방식으로 달성될 수도 있다.
하나 이상의 실시형태들에 있어서, 마운팅 블록 (732) 은 냉각 도관 (502; 도 5 에서 도시) 의 입구 (720) 및 출구 (724) 중 하나 이상을 위한 부착 지점으로 서 역할할 수도 있다. 하나 이상의 입구 (720) 와 하나의 출구 (724) 는 각각의 마운팅 블록들 (732) 의 상에 마운팅될 수도 있을 것이다. 임의의 수의 적합한 피팅들이 냉각 도관을 위한 접착 지점들로서 사용될 수도 있을 것이다. 핸들 (716) 은 온도 제어 소자 (453) 의 취급을 돕도록 제공될 수도 있다. 핸들 (716) 는 시스템에서의 열적 잡음을 감소시키기나 제거하기 위해서 온도 제어 소자 (453) 로부터 고립될 수도 있다. 부가적인 핸들은 본 발명으로부터 출발하지 않고도 요구되는 바와 같이 부가될 수도 있다. 온도 감지 소자가 704 로 도시된다. 온도 감지 소자 (704) 는 초과-온도 조건의 경우에 플라즈마 제어 시스템을 상호연동하도록 사용될 수도 있다. 일 실시형태에 있어서, 저항 온도 감지기 (RTD) 는 온도를 감지하기 위해서 사용될 수도 있다. 가열기 부착 지점 (708) 은 열적 전도체 (506) 와 접속될 수도 있다. 가열기 부착 지점 (708) 은 온도 제어 소자 (453) 내에 내장된 가열기에 대한 편리한 접속 및 가열기 층을 위한 스트레스 감면을 제공할 수도 있다. 이상적으로, 가열기 부착 지점 (708) 은 열적 잡음을 시스템으로 들여오지 않기 위해서 온도 제어 소자 (453) 로부터 열적으로 고립된다.
상부 전극을 가열하는 이익들은 포토레지스트 에칭 레이트를 잠재적으로 감소시키기 위해서 산소 이온들과 불활성 기체 분자들 사이의 충돌들의 수를 증가시키는 것을 포함한다.
이제 도 8 을 참조하면, 플라즈마 프로세싱 시스템에서 멀티-지역 샤워헤드/접지된 전극을 위한 (도 4 에서 도시된 바와 같은) 기체 유량 어셈블리 (425) 의 단순화된 다이어그램이 본 발명의 하나 이상의 실시형태들에 따라서 도시된다. 이점이 있는 방식으로, 플라즈마 기체들의 흐름은 기판의 중심과 가장자리 사이에 개별적으로 조절될 수도 있다. 일반적으로, 적절한 집합의 기체들이, 기체 분배 시스템 (422) 으로부터 기체 흐름 제어 어셈블리 (425) 를 통하여 상부 조각 (452) 에 위치하는 샤워헤드/접지된 전극 (409) 으로, 플라즈마 프로세싱 챔버 (미도시) 내부로 흐른다. 샤워헤드/접지된 전극 (409) 은 독립적으로 제어된 노즐들의 집합, 중심 지역 내의 제 1 집합 및 주변 또는 가장자리 지역 내의 제 2 집합을 포함할 수도 있다. 이 후에, 이런 플라즈마 프로세싱 기체들은 기판의 노출된 영역들 (미도시) 을 프로세싱하기 위해서 플라즈마 (미도시) 를 형성하도록 이온화될 수도 있다.
기체 분배 시스템 (422) 은 하부 챔버 내에 위치하는 밸브 (802) 를 주로 잠그기 위해서 접합점 A 에서 커플링될 수도 있고, 이것은 차례로 접합점 B 를 경유하여 도관 (808a) 을 통하여 하부-대-상부 챔버 인터페이스 (807) 로 커플링될 수도 있다. 하부-대-상부 챔버 인터페이스 (807) 는, (상부 챔버 내에 위치되는) 상부 조각 (450) 이 기체 흐름 제어 어셈블리 (425) 및 기체 분배 시스템 (422) 을 손상시키지 않고도 세정과 관리를 위해서 (하부 챔버 내에 위치되는) 하부 조각 (452) 으로부터 안전하게 제거되도록 허용할 수도 있다.
하부-대-상부 챔버 인터페이스 (807) 는 도관 (816), 접합점 F 에서 가장자리 제어 밸브 (806) (제 2 제어 밸브 (806)) 에 커플링된 바이패스 도관 (810), 및 접합점 D 에서 중심 제어 밸브 (804) (제 1 제어 밸브 (804)) 에 커플링된 바이패 스 도관 (812) 사이에서 분기 (fork) 하는 접합점 C 에 대하여 커플링할 수도 있다. 도관 (816) 은 또한 제한된 흐름 도관 (880) 및 제한된 흐름 도관 (8822) 에 대하여 접합점 I 에서 커플링된다.
가장자리 제어 밸브 (806) 및 중심 제어 밸브 (804) 가 둘 모두 폐쇄되면, 샤워헤드/접지된 전극 (409) 의 둘 모두의 지역들에 대한 플라즈마 기체의 흐름은 실질적으로 제한될 수도 있다. 밸브들 중 하나를 개방하는 것은 대응하는 지역에 대한 플라즈마 기체 흐름을 증가시키기 쉬울 수도 있는 반면, 밸브들 중 둘 모두를 개방하는 것은 둘 모두의 지역들 사이의 플라즈마 기체 흐름을 실질적으로 균등화하기 쉬울 수도 있다.
가장자리 제어 밸브 (806) 는 접합점 G 에서 가변적 흐름 도관 (818) 에 대하여 커플링될 수도 있고, 이것은 차례로 접합점 J 에서 이전에 언급되고 제한된 흐름 도관 (880) 에 커플링될 수도 있다. 이와 마찬가지로, 중심 제어 밸브 (804) 는 접합점 E 에서 변하는 흐름 도관 (814) 에 커플링될 수도 있고, 이것은 차례로 접합점 H 에서 이전에 언급된 제한된 흐름 도관 (822) 에 커플링될 수도 있다.
가장자리 도관 (884) 은 접합점 K 에서 샤워헤드/접지된 전극 (409) 에 커플링될 수도 있는 한편, 중심 도관 (886) 은 접합점 L 에서 샤워헤드/접지된 전극 (409) 에 커플링될 수도 있고, 이것은 기체를 플라즈마 프로세싱 챔버 (미도시) 에 공급한다.
기체 흐름 제어 어셈블리의 이점들은 실질적으로 균일한 에칭 레이트를 보장 하기 위해서 기판의 표면을 가로질러서 O2 를 비대칭적으로 분배하는 능력을 포함한다.
이전으로부터 이해될 수 있는 바와 같이, 본 발명의 실시형태들은 프로세싱 기판들에 있어서 균일성을 향상시킬 수도 있으므로, 수율을 증가시키는 이점이 있다. 또한, 본 발명의 실시형태들은 기판들 및 플라즈마 프로세싱 챔버들을 위한 세정 필수조건 및 빈도를 감소시킬 수도 있으므로, 생산성 및 감소 비용을 증가시킬 수도 있다. 또한, 하나 이상의 실시형태들에 있어서, PREB 및 배리어 층 제거와 같은 더 많은 프로세싱 단계들은 기판들을 상이한 플라즈마 프로세싱 챔버로 운반하지 않고도 동일한 플라즈마 프로세싱 챔버 내에서 수행될 수도 있다. 이에 따라서, 기판들을 운반하는 빈도는 감소된다. 이 결과, 기판 오염의 가능성은 실질적으로 감소될 수도 있으며, 프로세싱은 실질적으로 단순화될 수도 있다. 수율은 또한 증가될 수도 있고, 생산성은 또한 증가될 수도 있으며, 비용은 또한 감소될 수도 있는 이점이 있다.
이 발명은 수 개의 선호되는 실시형태들과 관련하여 설명되었지만, 이 발명의 범주와 부합하는 대체물, 변형물, 및 동등물이 있다. 예를 들어, 본 발명은 Lam Reasearch Corp. (예를 들면, EXELANTM, EXELANTM HP, EXELANTM HPT, 2300 VERSYSTM STAR 등) 로부터의 플라즈마 프로세싱 시스템과 관련하여 설명되었을 지라도, 상이한 플라즈마 프로세싱 시스템들이 사용될 수도 있다. 이 발명은 또한 다양한 지름 (예를 들면, 200mm, 300mm 등) 의 기판과 함께 사용될 수도 있다. 또한, 산소 외의 상이한 기체들을 포함하는 포토레지스트 플라즈마 에칭제들이 사용될 수도 있다. 또한, 본 발명의 방법들을 구현하는 많은 대체적인 방법들이 있다는 것이 언급되어야 한다. 더욱이, 본 발명의 실시형태들은 상이한 애플리케이션에 있어서의 사용을 발견할 수 있다. 예를 들어, 에칭 프로세스들은 예제들로서 설명될 지라도, 본 발명의 실시형태들은 증착 프로세스들에 적용될 수도 있다. 요약 섹션이 편리성을 위해서 여기에서 제공되고, 단어 카운트 제한에 기인하여 읽기 편리하도록 이에 따라서 쓰여지고 특허 청구 범위의 범주를 제한하도록 사용되어서는 안된다. 따라서, 추후의 첨부된 특허 청구범위가 본 발명의 진실된 정신 및 범주에 부합하는 모든 대체물, 변형물 및 동등물을 포함하는 것으로 해석되어야 하는 것으로 의도된다.
본 발명은 프로세싱 기판들에 있어서 균일성을 향상시킬 수도 있으므로, 수율을 증가시키는 이점이 있다.

Claims (29)

  1. 기판을 프로세싱하는 플라즈마 프로세싱 시스템으로서,
    상기 플라즈마 프로세싱 시스템은,
    기체 분배 시스템;
    상기 기체 분배 시스템에 의해서 제공되는, 하나 이상의 기체를 포함하는 입력 기체들의 집합을 제어하도록 구성되며 상기 기체 분배 시스템에 커플링되는 기체 흐름 제어 어셈블리;
    상기 기판의 제 1 부분을 프로세싱하기 위해서 제 1 집합의 기체들을 공급하도록 구성되며 상기 기체 흐름 제어 어셈블리에 커플링되는 제 1 집합의 노즐들; 및
    상기 기판의 제 2 부분을 프로세싱하기 위해서 제 2 집합의 기체들을 공급하도록 구성되며 상기 기체 흐름 제어 어셈블리에 커플링되는 제 2 집합의 노즐들을 포함하며,
    상기 제 1 집합의 기체들은 상기 입력 기체들의 집합의 제 1 부분을 나타내고, 상기 제 2 집합의 기체들은 상기 입력 기체들의 집합의 제 2 부분을 나타내며, 상기 제 1 집합의 기체들의 유량은 상기 제 2 집합의 기체들의 유량과는 상이하고,
    상기 입력 기체들의 집합은 상기 제 1 집합의 기체들과 상기 제 2 집합의 기체들 중 하나 이상으로부터 생성되는 플라즈마와 충돌하도록 구성되는 불활성 기체를 포함하는, 플라즈마 프로세싱 시스템.
  2. 제 1 항에 있어서,
    상기 기판의 상기 제 1 부분은 상기 기판의 중심 지역을 나타내고, 상기 기판의 상기 제 2 부분은 상기 기판의 가장자리 지역을 나타내며, 상기 제 1 집합의 기체들의 상기 유량은 상기 제 2 집합의 기체들의 상기 유량보다 더 높은, 플라즈마 프로세싱 시스템.
  3. 제 1 항에 있어서,
    상기 제 1 집합의 노즐들과 상기 제 2 집합의 노즐들 중 하나 이상은 상기 플라즈마 프로세싱 시스템의 전극의 파트를 나타내는, 플라즈마 프로세싱 시스템.
  4. 제 1 항에 있어서,
    상기 입력 기체들의 집합은 산소를 포함하는, 플라즈마 프로세싱 시스템.
  5. 삭제
  6. 제 1 항에 있어서,
    상기 플라즈마 프로세싱 시스템은 무웨이퍼 자동 세정 프로세스를 실행하도록 구성되는, 플라즈마 프로세싱 시스템.
  7. 제 1 항에 있어서,
    상기 플라즈마 프로세싱 시스템의 상부 챔버에 커플링되는 온도 제어 시스템을 더 포함하며,
    상기 온도 제어 시스템은 상기 플라즈마 프로세싱 시스템의 상기 상부 챔버의 내부에 배치되는 전극을 가열하도록 구성되는 가열 유닛을 포함하는, 플라즈마 프로세싱 시스템.
  8. 제 7 항에 있어서,
    상기 전극은 상기 제 1 집합의 노즐들과 상기 제 2 집합의 노즐들 중 하나 이상을 포함하는, 플라즈마 프로세싱 시스템.
  9. 제 7 항에 있어서,
    상기 온도 제어 시스템은 상기 상부 챔버로부터 이격되도록 열을 운반하도록 구성되는 냉각 유닛을 더 포함하는, 플라즈마 프로세싱 시스템.
  10. 제 7 항에 있어서,
    상기 온도 제어 시스템은 방출 대역 및 열적 전도층을 포함하고,
    상기 방출 대역은 상기 가열 유닛과 상기 상부 챔버 사이에 배치되고 열적 로드 (thermal load) 의 방사적인 방출을 수행하도록 구성되고,
    상기 열적 전도층은 상기 방출 대역과 상기 상부 챔버 사이에 배치되고 상기 상부 챔버와 상기 열적 전도층 사이의 인터페이스에 수직인 방향으로 상기 열적 로드를 전도하도록 구성되는, 플라즈마 프로세싱 시스템.
  11. 제 1 항에 있어서,
    상기 플라즈마 프로세싱 시스템의 전극과 플라즈마 프로세싱 챔버 중 하나 이상을 가열하여, 상기 플라즈마 프로세싱 시스템의 상기 전극과 상기 플라즈마 프로세싱 챔버 중 하나 이상에 부착되는 오염물질의 양을 감소시키도록 구성되는 가열 유닛을 더 포함하는, 플라즈마 프로세싱 시스템.
  12. 기판을 프로세싱하는 방법에 있어서,
    상기 기판은 배리어 층과 증착된 포토레지스트를 포함하고,
    상기 방법은,
    플라즈마 프로세싱 챔버에 있어서 상기 기판의 제 1 부분에서의 상기 증착된 포토레지스트를 부분적으로 에칭하는 제 1 집합의 기체들을 공급 및 이온화하는 단계;
    상기 플라즈마 프로세싱 챔버에 있어서 상기 기판의 제 2 부분에서의 상기 증착된 포토레지스트를 부분적으로 에칭하는 제 2 집합의 기체들을 공급 및 이온화하는 단계; 및
    상기 플라즈마 프로세싱 챔버에 있어서 상기 배리어 층을 제거하는 단계를 포함하는, 기판 프로세싱 방법.
  13. 제 12 항에 있어서,
    상기 기판의 상기 제 1 부분은 상기 기판의 중심 지역을 나타내며, 상기 기판의 상기 제 2 부분은 상기 기판의 가장자리 지역을 나타내는, 기판 프로세싱 방법.
  14. 제 13 항에 있어서,
    상기 제 1 집합의 기체들과 상기 제 2 집합의 기체들은 동일한 구성을 갖고, 상기 제 1 집합의 기체들과 상기 제 2 집합의 기체들은 상이한 유량을 갖는, 기판 프로세싱 방법.
  15. 제 12 항에 있어서,
    상기 제 1 집합의 기체들과 상기 제 2 집합의 기체들은 상기 플라즈마 프로세싱 챔버 내부로 동시에 공급되는, 기판 프로세싱 방법.
  16. 제 12 항에 있어서,
    상기 제 1 집합의 기체들과 상기 제 2 집합의 기체들은 상이한 유량을 갖도록 상기 제 1 집합의 기체들과 상기 제 2 집합의 기체들을 제어하는 단계를 더 포함하는, 기판 프로세싱 방법.
  17. 제 12 항에 있어서,
    상기 제 1 집합의 기체들과 상기 제 2 집합의 기체들을 생성하기 위해서 입력 기체들의 집합을 제어하는 단계를 더 포함하는, 기판 프로세싱 방법.
  18. 제 12 항에 있어서,
    상기 제 1 집합의 기체들은 제 1 집합의 노즐들을 통하여 공급되고, 상기 제 2 집합의 기체들은 제 2 집합의 노즐들을 통하여 공급되며,
    상기 제 1 집합의 노즐들과 상기 제 2 집합의 노즐들 중 하나 이상은 상기 플라즈마 프로세싱 시스템의 전극의 파트를 나타내는, 기판 프로세싱 방법.
  19. 제 12 항에 있어서,
    무웨이퍼 자동 세정 프로세스를 실행하는 단계를 더 포함하는, 기판 프로세싱 방법.
  20. 제 12 항에 있어서,
    상기 증착된 포토레지스트는 기판의 비어 피처 및 트렌치 피처 중 하나 이상 내에 증착되는, 기판 프로세싱 방법.
  21. 제 12 항에 있어서,
    상기 플라즈마 프로세싱 챔버에 부착된 오염물질들의 양을 감소시키기 위해서 상기 플라즈마 프로세싱 챔버의 적어도 일 부분을 가열시키는 단계를 더 포함하는, 기판 프로세싱 방법.
  22. 제 12 항에 있어서,
    상기 플라즈마 프로세싱 시스템의 전극을 가열하는 단계를 더 포함하며,
    상기 전극은 상기 제 1 집합의 기체들과 상기 제 2 집합의 기체들 중 하나 이상을 공급하도록 구성되는, 기판 프로세싱 방법.
  23. 제 12 항에 있어서,
    상기 제 1 집합의 기체들과 상기 제 2 집합의 기체들 중 하나 이상으로부터 생성된 플라즈마와 충돌하도록 불활성 기체를 공급함으로써, 상기 프로세싱의 프로세싱 레이트를 감소시키는 단계를 더 포함하는, 기판 프로세싱 방법.
  24. 플라즈마 프로세싱 시스템에 있어서 플라즈마 프로세싱 챔버 내에서 기판을 프로세싱하는 방법으로서,
    상기 플라즈마 프로세싱 챔버 내부로 제 1 집합의 기체들을 주입하는 단계;
    상기 플라즈마 프로세싱 챔버 내부로 제 2 집합의 기체들을 주입하는 단계;
    상기 제 1 집합의 기체들을 플라즈마로 여기시키는 단계;
    상기 플라즈마를 사용하여 상기 기판 상에서 에칭 및 증착 중 하나 이상을 수행하는 단계; 및
    상기 플라즈마와 상기 제 2 집합의 기체들 사이의 충돌을 증가시키기 위해서 상기 플라즈마 프로세싱 시스템의 전극을 가열함으로써, 상기 에칭 및 증착 중 하나 이상에 관한 프로세싱 레이트를 감소시키는 단계를 포함하는, 기판 프로세싱 방법.
  25. 제 24 항에 있어서,
    상기 플라즈마 프로세싱 시스템의 상기 전극은 상기 제 1 집합의 기체들과 상기 제 2 집합의 기체들 중 하나 이상을 주입하도록 구성되는, 기판 프로세싱 방법.
  26. 제 24 항에 있어서,
    상기 제 1 집합의 기체들은 산소를 포함하는, 기판 프로세싱 방법.
  27. 제 24 항에 있어서,
    상기 제 2 집합의 기체들은 불활성 기체를 포함하는, 기판 프로세싱 방법.
  28. 제 24 항에 있어서,
    상기 제 1 집합의 기체들은 제 1 유량을 갖는 제 1 집합의 노즐들 및 제 2 유량을 갖는 제 2 집합의 노즐들을 통하여 상기 플라즈마 프로세싱 챔버 내부로 주 입되고, 상기 제 1 유량은 상기 제 2 유량과는 상이하고, 상기 제 1 집합의 노즐들은 상기 기판의 제 1 지역의 위쪽에 위치되고, 상기 제 2 집합의 노즐들은 상기 기판의 제 2 지역의 위쪽에 위치되는, 기판 프로세싱 방법.
  29. 제 24 항에 있어서,
    상기 플라즈마 프로세싱 챔버에 부착되는 오염물질의 양을 감소시키기 위해서 상기 플라즈마 프로세싱 챔버 중 적어도 일 부분을 가열하는 단계를 더 포함하는, 기판 프로세싱 방법.
KR1020060127495A 2005-12-13 2006-12-13 인-시추 기판 프로세싱을 위한 방법 및 장치 KR101385346B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US75085205P 2005-12-13 2005-12-13
US60/750,852 2005-12-13
US11/608,738 US7662723B2 (en) 2005-12-13 2006-12-08 Methods and apparatus for in-situ substrate processing
US11/608,738 2006-12-08

Publications (2)

Publication Number Publication Date
KR20070062943A KR20070062943A (ko) 2007-06-18
KR101385346B1 true KR101385346B1 (ko) 2014-04-14

Family

ID=38321001

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060127495A KR101385346B1 (ko) 2005-12-13 2006-12-13 인-시추 기판 프로세싱을 위한 방법 및 장치

Country Status (4)

Country Link
US (2) US7662723B2 (ko)
KR (1) KR101385346B1 (ko)
CN (1) CN101031181B (ko)
TW (1) TWI427684B (ko)

Families Citing this family (119)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7662723B2 (en) * 2005-12-13 2010-02-16 Lam Research Corporation Methods and apparatus for in-situ substrate processing
US7628866B2 (en) * 2006-11-23 2009-12-08 United Microelectronics Corp. Method of cleaning wafer after etching process
US20120237730A1 (en) * 2006-12-14 2012-09-20 Metin Sitti Dry adhesives and methods for making dry adhesives
WO2009099661A2 (en) * 2008-02-08 2009-08-13 Lam Research Corporation A protective coating for a plasma processing chamber part and a method of use
JP5164107B2 (ja) * 2008-07-01 2013-03-13 株式会社ユーテック プラズマcvd装置、薄膜の製造方法及び磁気記録媒体の製造方法
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9293305B2 (en) 2011-10-31 2016-03-22 Lam Research Corporation Mixed acid cleaning assemblies
WO2013136474A1 (ja) * 2012-03-14 2013-09-19 富士通株式会社 冷却システム
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9314854B2 (en) 2013-01-30 2016-04-19 Lam Research Corporation Ductile mode drilling methods for brittle components of plasma processing apparatuses
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US8893702B2 (en) 2013-02-20 2014-11-25 Lam Research Corporation Ductile mode machining methods for hard and brittle components of plasma processing apparatuses
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) * 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11227749B2 (en) * 2016-02-18 2022-01-18 Lam Research Corporation 3D printed plasma arrestor for an electrostatic chuck
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10304668B2 (en) * 2016-05-24 2019-05-28 Tokyo Electron Limited Localized process control using a plasma system
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
JP7033441B2 (ja) * 2017-12-01 2022-03-10 東京エレクトロン株式会社 プラズマ処理装置
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US10734219B2 (en) * 2018-09-26 2020-08-04 Asm Ip Holdings B.V. Plasma film forming method
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
KR20050009808A (ko) * 2003-07-16 2005-01-26 삼성전자주식회사 식각장치

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3694622A (en) * 1971-01-07 1972-09-26 Ralph L Bentley Heater
US5647945A (en) * 1993-08-25 1997-07-15 Tokyo Electron Limited Vacuum processing apparatus
US5589041A (en) * 1995-06-07 1996-12-31 Sony Corporation Plasma sputter etching system with reduced particle contamination
US6274058B1 (en) * 1997-07-11 2001-08-14 Applied Materials, Inc. Remote plasma cleaning method for processing chambers
US6207583B1 (en) * 1998-09-04 2001-03-27 Alliedsignal Inc. Photoresist ashing process for organic and inorganic polymer dielectric materials
US6581623B1 (en) * 1999-07-16 2003-06-24 Advanced Technology Materials, Inc. Auto-switching gas delivery system utilizing sub-atmospheric pressure gas supply vessels
JP4523094B2 (ja) * 1999-10-19 2010-08-11 東京エレクトロン株式会社 プラズマ処理方法
US6302966B1 (en) * 1999-11-15 2001-10-16 Lam Research Corporation Temperature control system for plasma processing apparatus
US6853141B2 (en) * 2002-05-22 2005-02-08 Daniel J. Hoffman Capacitively coupled plasma reactor with magnetic plasma control
US6797633B2 (en) * 2000-11-09 2004-09-28 Texas Instruments Incorporated In-situ plasma ash/treatment after via etch of low-k films for poison-free dual damascene trench patterning
JP4720019B2 (ja) * 2001-05-18 2011-07-13 東京エレクトロン株式会社 冷却機構及び処理装置
US6666068B2 (en) * 2001-09-25 2003-12-23 Pertect Detectors, Inc. Vapor trap system and associated method for detecting volatile organic chemical vapors
WO2004008513A1 (ja) * 2002-07-15 2004-01-22 Hitachi Kokusai Electric Inc. 半導体装置の製造方法及び基板処理装置
US6853043B2 (en) * 2002-11-04 2005-02-08 Applied Materials, Inc. Nitrogen-free antireflective coating for use with photolithographic patterning
US7169231B2 (en) * 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
US20040192058A1 (en) * 2003-03-28 2004-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Pre-etching plasma treatment to form dual damascene with improved profile
KR100550342B1 (ko) * 2004-02-24 2006-02-08 삼성전자주식회사 가스 산포 방법, 및 샤워 헤드, 및 샤워 헤드를 구비하는반도체 기판 가공 장치
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7001836B2 (en) * 2004-03-25 2006-02-21 Taiwan Semiconductor Manufacturing Company Two step trench definition procedure for formation of a dual damascene opening in a stack of insulator layers
US8317968B2 (en) * 2004-04-30 2012-11-27 Lam Research Corporation Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
TWI256083B (en) * 2004-06-02 2006-06-01 Lam Res Co Ltd Seasoning method for etch chamber
US7138767B2 (en) * 2004-09-30 2006-11-21 Tokyo Electron Limited Surface wave plasma processing system and method of using
US20060199370A1 (en) * 2005-03-01 2006-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method of in-situ ash strip to eliminate memory effect and reduce wafer damage
US7674393B2 (en) * 2005-03-25 2010-03-09 Tokyo Electron Limited Etching method and apparatus
US7662723B2 (en) * 2005-12-13 2010-02-16 Lam Research Corporation Methods and apparatus for in-situ substrate processing

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
KR20050009808A (ko) * 2003-07-16 2005-01-26 삼성전자주식회사 식각장치

Also Published As

Publication number Publication date
US20100108262A1 (en) 2010-05-06
KR20070062943A (ko) 2007-06-18
TWI427684B (zh) 2014-02-21
US20070175861A1 (en) 2007-08-02
CN101031181A (zh) 2007-09-05
US7662723B2 (en) 2010-02-16
TW200739697A (en) 2007-10-16
CN101031181B (zh) 2011-07-06

Similar Documents

Publication Publication Date Title
KR101385346B1 (ko) 인-시추 기판 프로세싱을 위한 방법 및 장치
US6440864B1 (en) Substrate cleaning process
US8980045B2 (en) Substrate cleaning chamber and components
KR100971045B1 (ko) 수증기 및 희석 가스를 이용하여 강화되는 수소 애슁 방법
US6014979A (en) Localizing cleaning plasma for semiconductor processing
TWI774688B (zh) 蝕刻處理中保護超低介電材料不受損害以得到期望的特徵部之製造方法
US7977245B2 (en) Methods for etching a dielectric barrier layer with high selectivity
JP6284786B2 (ja) プラズマ処理装置のクリーニング方法
US6793835B2 (en) System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
US20090302002A1 (en) Method and apparatus for removing polymer from a substrate
US20090277874A1 (en) Method and apparatus for removing polymer from a substrate
KR20070086312A (ko) 잔류물 제거를 위한 사후-에칭 처리
US20070224827A1 (en) Methods for etching a bottom anti-reflective coating layer in dual damascene application
US20110130007A1 (en) In-situ clean to reduce metal residues after etching titanium nitride
WO2015041746A1 (en) Methods for stabilizing an interface post etch to minimize queue time issues before next processing step
JP2008515160A (ja) 表面波プラズマソースと、プラズマ空間との間の結合を改良するための方法とシステム
US9130018B2 (en) Plasma etching method and storage medium
US20070111528A1 (en) Method of cleaning semiconductor substrate conductive layer surface
US7718543B2 (en) Two step etching of a bottom anti-reflective coating layer in dual damascene application
US20100043821A1 (en) method of photoresist removal in the presence of a low-k dielectric layer
KR102435643B1 (ko) 마이크로전자 워크피스의 처리를 위한 금속 하드 마스크 층
WO2009111344A2 (en) Method and apparatus for removing polymer from a substrate
US20090111275A1 (en) Plasma etching method and storage medium
US11289325B2 (en) Radiation of substrates during processing and systems thereof

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20170330

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20180328

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20190327

Year of fee payment: 6