CN101295145B - 用水蒸汽和稀释气体增强的氢灰化 - Google Patents

用水蒸汽和稀释气体增强的氢灰化 Download PDF

Info

Publication number
CN101295145B
CN101295145B CN200810095009XA CN200810095009A CN101295145B CN 101295145 B CN101295145 B CN 101295145B CN 200810095009X A CN200810095009X A CN 200810095009XA CN 200810095009 A CN200810095009 A CN 200810095009A CN 101295145 B CN101295145 B CN 101295145B
Authority
CN
China
Prior art keywords
technology
amount
gas
plasma
hydrogen
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN200810095009XA
Other languages
English (en)
Other versions
CN101295145A (zh
Inventor
晨-思扬·杨
昌珲·李
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101295145A publication Critical patent/CN101295145A/zh
Application granted granted Critical
Publication of CN101295145B publication Critical patent/CN101295145B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明公开了一种特别适用于基于氢化的氧碳化硅的低介电常数材料的无氧的氢等离子体灰化工艺。主要的灰化步骤包括将事先被刻蚀的电介质层暴露于从氢气(50)、可选的氮气(54)、大量的水蒸气(60)、和大量的氩气(80)或氦气形成的等离子体(48)。尤其是对于多孔低介电常数电介质,主要的灰化等离子体额外地包括碳氢化合物气体(84),例如甲烷。在主要的灰化步骤之前可以有一个短时间的表面处理步骤,这通过含有诸如氢气和可选的氮气的含氢还原气体的等离子体来实现。

Description

用水蒸汽和稀释气体增强的氢灰化
技术领域
本发明一般性地涉及集成电路制造中材料的等离子刻蚀。具体地,本发明涉及光刻胶的灰化。
背景技术
在硅集成电路制造中广泛使用等离子刻蚀技术。其中一个工艺步骤通常被称为电介质刻蚀,该步骤被用于形成穿过电介质层的孔,从而在集成电路的不同层之间提供垂直电连接。在图1的横截面图中示意性地示出了一种原型过孔(via)结构。形成在晶片表面上的下电介质层10在其表面内形成有导电构件(feature)12。上电介质层14沉积在下电介质层10和其导电构件12的上方。平面光刻胶16层被旋涂在未图案化的上电介质层14上,利用步进式光刻机按照辐射图案对其进行曝光形成穿过光刻胶层16的掩膜孔18,从而形成具有掩膜孔18的光刻掩膜,掩膜孔18位于导电构件12上方并借助过孔与其电连接。在上电介质层14和光刻胶层16之间可能还形成有其它层,例如刻蚀硬掩膜或者抗反射涂层。被光刻掩膜覆盖的晶片被放进等离子刻蚀反应器中,在其中上电介质层14被向下刻蚀到导电构件从而形成过孔20。典型地,可以使用相同的刻蚀反应器来刻蚀抗反射涂层和硬掩膜(如果有的话),而对不同的层使用不同的刻蚀化学试剂。电介质刻蚀通常利用碳氟化合物,例如使用六氟丁二烯(C4F6)。
在电介质刻蚀之后,利用铝或铜等金属填充过孔20从而提供与导电构件12的垂直电连接。对于双镶嵌结构,通常使用铜金属化,过孔20被上电介质层14下部的更短的过孔代替,其连接至在顶部水平延伸的沟槽(trench),两者同时用铜填充。对于接触层的金属化,下电介质层10被活性硅层代替,并且导电构件12也由硅构成,但是在过孔20的界面处可能有复合硅化物和栅极氧化物,在这种情况下过孔20被称为接触孔。
在完成电介质刻蚀后,一些光刻胶可能残留在电介质层14顶部,或者刻蚀残余物(通常是碳质材料)可能残留在过孔18内。残余物可能在过孔20的侧面上形成多聚物涂层22,这有助于产生垂直的刻蚀轮廓,或者残余物形成被隔离的刻蚀残余物24(包括在过孔20底部的一些)。类似的多聚物涂层可能覆盖光刻胶的剩余部分产生硬化外表面。金属填充过程要求过孔20包覆上一层保形的衬里(包括阻挡层),并且在通过电化学镀覆(ECP)工艺进行铜金属化时,铜层可以作为晶种层和电镀电极。目前,阻挡层通常是TaN/Ta双层,并且阻挡层和铜晶种层可以通过先进溅射法进行沉积。重要的是在沉积过孔的衬里层之前将光刻胶和其它残余物从结构中除去,因为它们分解粘附在过孔侧壁上并且增大了过孔底部的接触电阻,两者都会影响器件的良率和可靠性。
等离子灰化(plasma ashing)早已被用于在刻蚀之后除去光刻胶和其它残余物。氧等离子体对于刻蚀除去碳基材料层非常有效。尽管以前在被设计来批处理大量晶片的筒状灰化器中进行灰化,更新的技术采用单晶片等离子灰化器,其或者是一个单独的刻蚀反应器或者是在用于电介质刻蚀的同样的等离子刻蚀反应器中进行的单独处理步骤。
当电介质层由二氧化硅形成、具有SiO2的近似化学组成和约3.9的介电常数时,传统的灰化工艺是有效的。但是用于先进集成电路所需的更低介电常数电介质时会遇到很多困难。早期的低介电常数电介质是通过用氟掺杂二氧化硅使介电常数降低到约3.5来形成的。更低的低-3介电常数可以通过氢化的氧碳化硅(silicon oxycarbide)来得到,例如加利福尼亚州Santa Clara的Applied Materials公司的Black Diamond电介质。更低的低于3的介电常数可以通过沉积这些材料并使其多孔化来得到。这些材料的氧灰化引起了很多问题。氧等离子体不仅攻击碳质光刻胶的残余物和其它残余物,它也会消耗氧碳化硅中的碳,从而增大其介电常数。多孔介电材料相对易碎,并且更容易被氧等离子体破坏,原因是部分氧穿透进入孔中以及孔的坍缩。
因此,先进灰化工艺已经从氧等离子体的氧化化学试剂转移到由氢和可能的氮的一些组合(例如,H2、H2/N2或NH3)形成的等离子体的还原化学试剂。与氧灰化相比,基于氢自由基H*的灰化具有更好的性能和更少的电介质破坏。但是,由于还原反应速率低并且在仅有还原气体的环境中产生的氢自由基密度低,氢灰化是非常慢的工艺。氧灰化工艺可能需要20秒的处理,而氢灰化可能需要10倍的时间,从经济角度考虑这明显是个缺点。因此,通常将少量的氧加到还原气体中以便提高灰化速率和灰化效率。然而,多孔低介电常数材料对即使少量的氧也是敏感的,这些氧会从氧碳化硅材料除去大量的碳并使孔结构坍缩,从而增大介电常数。
发明内容
进行无氧等离子体灰化工艺,其中主要的灰化步骤包括从氢气、可选的氮气、水蒸气、和非活性气体或稀释气体(例如氩或氦)形成的等离子体。可以用氨气代替氢和氮。形成等离子体的水蒸气多于氢气,并且非活性气体多于水蒸气。
该灰化工艺特别适用于含碳和氧化硅的低介电常数材料,例如氢化的氧碳化硅。
可选地,可以向主要的灰化步骤中的等离子体加入碳氢化合物气体,例如甲烷。碳氢化合物的引入特别适用于多孔低介电常数材料,例如介电常数小于3的材料。
初始的无氧等离子体灰化步骤或表面处理步骤包括从含氢还原气体(例如氢气或氨气)和可选的氮气(但没有水蒸气)形成的等离子体。表面处理步骤可以比主要灰化步骤用时短。
附图说明
图1是介电刻蚀后的过孔的横截面图,包括残余光刻胶、侧壁多聚物涂层、和其它需要被灰化工艺除去的刻蚀残余物。
图2是可用于本发明的等离子灰化器的横截面示意图。
具体实施方式
在基于氢的灰化等离子体中加入水蒸气和大量氩气或氦气,这大大增加了氢自由基的浓度,并增大了灰化速率而对低介电常数电介质的损坏更小。
本发明可以在等离子灰化反应器30中进行,如图2的横截面图所示。用真空泵浦***36将真空处理室32抽至低压力范围。室32内的基座38支撑着待灰化的晶片40,与气体喷头42相对,气体喷头42通过大量小孔44供应处理气体。
处理气体通过远程等离子源48被供应到喷头42后面的歧管46,远程等离子源48激发处理气体而形成等离子体。远程等离子源48可以位于远离真空室32的一定距离处,但它仍被认为附属于真空室32,因为含有在远程等离子源48产生的等离子体的气体在其等离子状态下流入真空室32。优选地,绝大多数自由基和相对很少的等离子体离子被送到处理室32。远程等离子源和歧管的一些细节公开在Fu等2006年2月10日递交的美国专利申请11/351,676中,现在该申请已公开为美国专利申请公开2007/0190266。远程等离子源48可以使用在低GHz范围(例如2.54GHz)操作的微波激发源或者在亚GHz范围(例如270-650kHz)操作的RF激发源。远程等离子源48有利地具有带电粒子过滤器,使得送到处理室的等离子体仅包含中性自由基,而没有带电离子。如果氢气(H2)被用作主要的灰化气体,则从氢气源50通过质量流量控制器52向远程等离子源48供应氢气。氮气(N2)可以从氮气源54通过另一个质量流量控制器56供应。氮往往用作氢自由基刻蚀的钝化剂。
从含有液体水池62的真空密封的水安瓿60向远程等离子体源48供应水蒸汽(H2O)。质量流量控制器64从安瓿60计量供应水蒸汽。室温下水的蒸汽压约为20Torr,这远高于通常操作远程等离子源48的真空压强水平。因此,一旦安瓿60被反向抽吸,具有约20Torr压力的水蒸汽就会出现在安瓿60中液体水池62上方的顶部空间66中。安瓿60可以直接安装在室32上以使管道长度最短,水会在管道壁上凝结。
按照***控制器70中、存储在可读介质72(例如CDROM)上的指令集来操作控制器70,从而控制泵浦***36、远程等离子源48和各个质量流量控制器,包括已经提到的质量流量控制器52、56、64以及其它质量流量控制器。
根据本发明,另外的非活性气体(如Ar)从氩气源80经质量流量控制器82计量供应。可以用氦代替氩。氩促进了H2O解离成H*和OH*,这被认为是在Penning过程中发生的,其中激发态氩自由基的能量被转移给水分子。因而,与单独的H2相比,水蒸汽产生氢自由基H*密度更高。结果,尽管氩气和氦气通常被认为是非活性的稀释气体,它们在实际灰化过程中保持非活性,但是促进了高密度的活性灰化自由基的生成。然而,在配方中有利地包括H2可以抑制氧自由基O*的产生。此外,还有利地加入N2,不仅增强H2O的解离,还在灰化过程中提供了一定的钝化效果。
氢化的氧碳化硅的灰化工艺的一个实施例在表1中示出。表1中示出的是一个两步骤工艺,其中处理气体流量的单位是标准立方厘米(sccm)。
表1
  步骤1   步骤2
  H2(sccm)   600   600
  N2(sccm)   100
  H2O(sccm)   1000
  Ar(sccm)   3000
  压力(Torr)   1   1
  RPS功率(W)   5000   5000
  时间(s)   20   60
第一个步骤是中度的软刻蚀或者表面处理,它并不会使光刻胶或多聚物侧壁涂层表面***。第一个步骤主要基于氢还原化学物质,因此较慢。然而,它只是用于刻蚀掉表面。可以用其它还原气体(如单独的H2或氨气NH3)来代替H2/N2。第二个步骤用于迅速除去光刻胶的主体部分和残余物。第二个步骤是主要的灰化步骤,并且比初始的表面处理步骤用时长。
应该理解这里概括的配方仅是本发明工艺的代表性例子。压力范围可以容易地扩展到0.5-5Torr,RPS源功率范围对于300mm的室介于2kW-8kW之间,氢流量为200-2000sccm,氩气流量为3000-10000sccm,水蒸汽流量为500-3000sccm。如前所述,可以用氦代替氩。通常,在第一步骤中,主要供给氢,但也可以供给少量的氮。在第二步骤中供给的氩比水多,供给的氢比水蒸汽少。在这两个步骤中都不供给氧气或其自由基形式的臭氧。
也可以在不进行第一步骤的初步表面处理或进行其它初步处理的情况下,实施第二步骤的主要灰化工艺。
表1的配方对于氢化的氧碳化硅非多孔低介电常数电介质是有效的。然而,对于目前更好的同样组成的多孔低介电常数电介质,额外的钝化是理想的。因此,可以从碳氢化合物气体源84通过另一个质量流量控制器86供应碳氢化合物,例如甲烷(CH4),但也可以替换成其它由碳和氢组成的碳氢化合物,例如乙烷(C2H6)、乙烯(C2H4)和乙炔(C2H2),以及其它高级烷烃、烯烃、炔烃等。表2中示出了一种用于多孔低介电常数电介质的优选配方。
表2
Figure S200810095009XD00061
  RPS功率(W)   5000   5000
  时间(s)   20   60
表2的配方与表1的配方基本一致,只是在第二步骤中引入了用量远少于其它组分的甲烷。这些少量的碳氢化合物被认为可以通过封闭电介质材料的孔来钝化和保护暴露的低介电常数电介质,从而防止刻蚀等离子体(尤其是氧)穿透进入孔内的深处并降解电介质材料。
本发明并不限于使用远程等离子源的等离子体灰化器,本发明也可以在二极管等离子刻蚀反应器中实现,其中在靠近晶片或其它衬底的真空室内产生等离子体,但是等离子体的离子浓度需要被最小化。此外,本发明也不限于上面描述的氢化的氧碳化硅低介电常数电介质,也可以用于其它类型的电介质材料,并可以在金属刻蚀或硅刻蚀工艺之后应用。
本发明提供了特别适用于低介电常数电介质材料的快速且具有保护性的灰化工艺。
附图标记索引
10    下电介质层
12    导电构件
14    上电介质层
16    光刻胶层
18    掩膜孔
20    过孔
22    多聚物涂层
24    被隔离的刻蚀残余物
30    等离子灰化反应器
32    真空处理室
36    真空泵浦***
38    底座
40    晶片
42    气体喷头
44    小孔
46    歧管
48    远程等离子源
50    氢气源
52    质量流量控制器
54    氮气源
56    质量流量控制器
60    水安瓿
62    池
64    质量流量控制器
66    顶部空间
70    控制器
72    可读介质
80    氩气源
82    质量流量控制器
84    氢气源
86    质量流量控制器

Claims (19)

1.一种灰化工艺,包括主要灰化步骤,其中所述主要灰化步骤包括:
将主要灰化气体供应到远程等离子体源,其中所述主要灰化气体包括:第一量的选自氢气和氨气的还原气体;大于第一量的第二量的水蒸气;大于第二量的第三量的选自氩气和氦气的稀释气体,且不含有有效量的氧气;
在所述远程等离子体源中由所述主要灰化气体生成等离子体;
在带电粒子过滤器中将离子从所述等离子体去除;以及
将从所述带电粒子过滤器输出的所述等离子体施加于衬底。
2.如权利要求1所述的工艺,其中所述还原气体包括氢气。
3.如权利要求1所述的工艺,其中所述还原气体包括氨气。
4.如权利要求2所述的工艺,其中所述主要灰化气体额外含有第四量的碳氢化合物气体。
5.如权利要求4所述的工艺,其中所述第四量小于第一量。
6.如权利要求4所述的工艺,其中所述碳氢化合物气体包括甲烷。
7.如权利要求1所述的工艺,其中所述衬底包括电介质层,所述电介质层中具有暴露于灰化工艺的孔。
8.如权利要求7所述的工艺,还包括按照一个光刻胶掩膜刻蚀所述电介质层中的孔的在先步骤。
9.如权利要求1-8中任一项所述的工艺,还包括在主要灰化步骤之前进行的初始灰化步骤,其中将初始灰化气体的等离子体施加于衬底,所述初始灰化气体包括第六量的含氢还原气体且不含有效量的水蒸气或碳氢化合物。
10.如权利要求9所述的工艺,其中所述含氢还原气体包括氢气。
11.如权利要求9所述的工艺,其中所述初始灰化气体还包括小于第六量的第五量的氮气。
12.如权利要求9所述的工艺,其中所述衬底包括电介质层,所述电介质层中具有暴露于灰化工艺的孔。
13.如权利要求12所述的工艺,还包括按照一个光刻胶掩膜刻蚀所述电介质层中的孔的在先步骤。
14.一种对衬底进行灰化的工艺,所述衬底具有事先在其中刻蚀有孔的电介质层,所述工艺包括在其中放置有所述衬底且包括附属装置的等离子体刻蚀室中进行的如下步骤:
将第一气体混合物激发成第一等离子体的第一步骤,所述第一气体混合物包括第一量的氢气,且不含有有效量的氧气和水蒸气;
随后进行的将第二气体混合物激发成第二等离子体的第二步骤,所述第二气体混合物包括:第二量的氢气、第三量的水蒸气、第四量的选自氩气和氦气的非活性气体,且不含有有效量的氧气,其中所述第三量大于第二量且小于第四量,并且所述第一步骤和第二步骤包括在带电粒子过滤器中从所述第一和第二等离子体去除离子。
15.如权利要求14所述的工艺,其中第二步骤进行的时间比第一步骤长。
16.如权利要求14所述的工艺,其中所述附属装置包括远程等离子体源,在其中第一和第二等离子体被激发并通过所述带电粒子过滤器流入所述室中。
17.如权利要求14所述的工艺,其中所述第一气体混合物还含有氮气。
18.如权利要求14-17中任一项所述的工艺,其中所述第二气体混合物还含有第五量的碳氢化合物气体,并且所述第一气体混合物不含碳氢化合物气体。
19.如权利要求18所述的工艺,其中所述碳氢化合物气体包括甲烷。
CN200810095009XA 2007-04-19 2008-04-21 用水蒸汽和稀释气体增强的氢灰化 Expired - Fee Related CN101295145B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/737,731 US7807579B2 (en) 2007-04-19 2007-04-19 Hydrogen ashing enhanced with water vapor and diluent gas
US11/737,731 2007-04-19

Publications (2)

Publication Number Publication Date
CN101295145A CN101295145A (zh) 2008-10-29
CN101295145B true CN101295145B (zh) 2011-11-30

Family

ID=39604669

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200810095009XA Expired - Fee Related CN101295145B (zh) 2007-04-19 2008-04-21 用水蒸汽和稀释气体增强的氢灰化

Country Status (7)

Country Link
US (1) US7807579B2 (zh)
EP (1) EP1983554A3 (zh)
JP (1) JP2008277812A (zh)
KR (1) KR100971045B1 (zh)
CN (1) CN101295145B (zh)
SG (1) SG147394A1 (zh)
TW (1) TWI355019B (zh)

Families Citing this family (271)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8021514B2 (en) * 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
US20090277871A1 (en) * 2008-03-05 2009-11-12 Axcelis Technologies, Inc. Plasma mediated ashing processes that include formation of a protective layer before and/or during the plasma mediated ashing process
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8609526B2 (en) * 2009-10-20 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Preventing UBM oxidation in bump formation processes
US20110097904A1 (en) * 2009-10-22 2011-04-28 Lam Research Corporation Method for repairing low-k dielectric damage
KR101893471B1 (ko) * 2011-02-15 2018-08-30 어플라이드 머티어리얼스, 인코포레이티드 멀티존 플라즈마 생성을 위한 방법 및 장치
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP2013026399A (ja) * 2011-07-20 2013-02-04 Hitachi High-Technologies Corp プラズマ処理方法及びプラズマアッシング装置
US8962469B2 (en) 2012-02-16 2015-02-24 Infineon Technologies Ag Methods of stripping resist after metal deposition
JP5921953B2 (ja) * 2012-03-28 2016-05-24 芝浦メカトロニクス株式会社 反射型マスクの製造方法、および反射型マスクの製造装置
US9349395B2 (en) 2012-08-31 2016-05-24 International Business Machines Corporation System and method for differential etching
US9001463B2 (en) 2012-08-31 2015-04-07 International Business Machines Corporaton Magnetic recording head having protected reader sensors and near zero recessed write poles
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN103904023A (zh) * 2012-12-25 2014-07-02 上海华虹宏力半导体制造有限公司 厚铝刻蚀工艺中光刻胶的去除方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
DE102013223490B4 (de) * 2013-11-18 2023-07-06 Robert Bosch Gmbh Verfahren zur Herstellung einer strukturierten Oberfläche
FR3018951B1 (fr) * 2014-03-18 2017-06-09 Commissariat Energie Atomique Procede de gravure d'un materiau dielectrique poreux
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
BR112017001102B1 (pt) * 2014-07-18 2021-05-18 Deublin Company união rotativa atuada por pistão e processo para operar a mesma
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9767989B2 (en) 2014-11-11 2017-09-19 Seagate Technology Llc Methods of forming features
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6499001B2 (ja) 2015-04-20 2019-04-10 東京エレクトロン株式会社 多孔質膜をエッチングする方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10304668B2 (en) 2016-05-24 2019-05-28 Tokyo Electron Limited Localized process control using a plasma system
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP6869024B2 (ja) * 2016-12-20 2021-05-12 東京エレクトロン株式会社 パーティクル除去方法及び基板処理方法
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10354883B2 (en) * 2017-10-03 2019-07-16 Mattson Technology, Inc. Surface treatment of silicon or silicon germanium surfaces using organic radicals
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US11387111B2 (en) 2018-04-13 2022-07-12 Mattson Technology, Inc. Processing of workpieces with reactive species generated using alkyl halide
JP2019192892A (ja) 2018-04-18 2019-10-31 東京エレクトロン株式会社 処理システムおよび処理方法
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
CN112219260A (zh) 2018-06-11 2021-01-12 玛特森技术公司 用于处理工件的氢反应性核素的生成
KR102568797B1 (ko) * 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
WO2020081226A1 (en) 2018-10-15 2020-04-23 Mattson Technology, Inc. Ozone for selective hydrophilic surface treatment
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
KR20210065199A (ko) * 2018-10-26 2021-06-03 매슨 테크놀로지 인크 하드마스크의 제거를 위한 수증기 기반 불소 함유 플라즈마
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
CN112335017B (zh) 2018-11-16 2024-06-18 玛特森技术公司 腔室上光以通过减少化学成分改善刻蚀均匀性
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US10403492B1 (en) 2018-12-11 2019-09-03 Mattson Technology, Inc. Integration of materials removal and surface treatment in semiconductor device fabrication
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
TW202111144A (zh) 2019-04-30 2021-03-16 美商得昇科技股份有限公司 使用甲基化處理的選擇性沉積
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
CN112086335B (zh) * 2019-06-12 2022-07-26 长鑫存储技术有限公司 半导体器件的制备方法
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
EP3999913A4 (en) * 2019-07-18 2022-08-10 Mattson Technology, Inc. MACHINING OF WORKPIECES USING HYDROGEN RADICALS AND OZONE GAS
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN114765126B (zh) * 2021-01-13 2023-11-17 长鑫存储技术有限公司 半导体结构的制造方法和半导体结构的制造设备
US20220223426A1 (en) * 2021-01-13 2022-07-14 Changxin Memory Technologies, Inc. Semiconductor structure manufacturing method and semiconductor structure manufacturing device
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
CN113460954B (zh) * 2021-05-24 2024-03-12 北京量子信息科学研究院 含钽膜的微纳米结构元件及其制备方法
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6806038B2 (en) * 2002-07-08 2004-10-19 Lsi Logic Corporation Plasma passivation

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60170238A (ja) * 1984-02-15 1985-09-03 Toyota Central Res & Dev Lab Inc ドライエツチング方法
JP3391410B2 (ja) * 1993-09-17 2003-03-31 富士通株式会社 レジストマスクの除去方法
US5660682A (en) 1996-03-14 1997-08-26 Lsi Logic Corporation Plasma clean with hydrogen gas
US5895245A (en) 1997-06-17 1999-04-20 Vlsi Technology, Inc. Plasma ash for silicon surface preparation
WO1999026277A1 (en) * 1997-11-17 1999-05-27 Mattson Technology, Inc. Systems and methods for plasma enhanced processing of semiconductor wafers
US7001848B1 (en) * 1997-11-26 2006-02-21 Texas Instruments Incorporated Hydrogen plasma photoresist strip and polymeric residue cleanup process for oxygen-sensitive materials
US6340435B1 (en) 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6316354B1 (en) 1999-10-26 2001-11-13 Lsi Logic Corporation Process for removing resist mask of integrated circuit structure which mitigates damage to underlying low dielectric constant silicon oxide dielectric layer
US20010024769A1 (en) * 2000-02-08 2001-09-27 Kevin Donoghue Method for removing photoresist and residues from semiconductor device surfaces
US6503840B2 (en) * 2001-05-02 2003-01-07 Lsi Logic Corporation Process for forming metal-filled openings in low dielectric constant dielectric material while inhibiting via poisoning
US6951823B2 (en) * 2001-05-14 2005-10-04 Axcelis Technologies, Inc. Plasma ashing process
US6630406B2 (en) 2001-05-14 2003-10-07 Axcelis Technologies Plasma ashing process
US6834656B2 (en) 2001-05-23 2004-12-28 Axcelis Technology, Inc. Plasma process for removing polymer and residues from substrates
US6562700B1 (en) 2001-05-31 2003-05-13 Lsi Logic Corporation Process for removal of resist mask over low k carbon-doped silicon oxide dielectric material of an integrated circuit structure, and removal of residues from via etch and resist mask removal
US6849559B2 (en) 2002-04-16 2005-02-01 Tokyo Electron Limited Method for removing photoresist and etch residues
JP2004103747A (ja) * 2002-09-09 2004-04-02 Renesas Technology Corp 半導体装置の製造方法
JP2004281837A (ja) * 2003-03-18 2004-10-07 Hitachi Ltd 半導体装置の製造方法
JP4588391B2 (ja) * 2004-09-01 2010-12-01 芝浦メカトロニクス株式会社 アッシング方法及びアッシング装置
WO2006026765A2 (en) * 2004-09-01 2006-03-09 Axcelis Technologies, Inc. Plasma ashing process for increasing photoresist removal rate and plasma apparatus wuth cooling means
JP2006154722A (ja) 2004-10-28 2006-06-15 Daikin Ind Ltd Cu/low−k多層配線構造のアッシング残渣の剥離液及び剥離方法
US20070045227A1 (en) * 2005-08-31 2007-03-01 Chih-Ning Wu Method of stripping photoresist
US7695567B2 (en) 2006-02-10 2010-04-13 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6806038B2 (en) * 2002-07-08 2004-10-19 Lsi Logic Corporation Plasma passivation

Also Published As

Publication number Publication date
EP1983554A3 (en) 2009-08-05
JP2008277812A (ja) 2008-11-13
US20080261405A1 (en) 2008-10-23
TWI355019B (en) 2011-12-21
CN101295145A (zh) 2008-10-29
US7807579B2 (en) 2010-10-05
TW200908074A (en) 2009-02-16
SG147394A1 (en) 2008-11-28
KR20080094608A (ko) 2008-10-23
EP1983554A2 (en) 2008-10-22
KR100971045B1 (ko) 2010-07-16

Similar Documents

Publication Publication Date Title
CN101295145B (zh) 用水蒸汽和稀释气体增强的氢灰化
US10354888B2 (en) Method and apparatus for anisotropic tungsten etching
JP6832088B2 (ja) 感受性材料上にハロゲン化物含有ald膜を統合する方法
US7238393B2 (en) Method of forming silicon carbide films
KR100978704B1 (ko) 밀도 및 스텝 커버리지가 개선된 비정질 탄소막 증착 방법
US6669858B2 (en) Integrated low k dielectrics and etch stops
KR20170017827A (ko) 산화물 에칭 선택성 시스템 및 방법
JP2016157940A (ja) 窒化シリコンのエッチング時における超高選択比を達成するための方法
JP2006514783A5 (zh)
US11848199B2 (en) Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
TWI584358B (zh) 無電鍍銅沉積
US20030098038A1 (en) System and method for on-site generation and distribution of fluorine for fabrication processes
KR20230004881A (ko) 공극을 형성하기 위한 시스템들 및 방법들
US20210111033A1 (en) Isotropic silicon nitride removal
US20220293430A1 (en) Isotropic silicon nitride removal
CN112349585A (zh) 蚀刻方法及基板处理装置
KR20120001127A (ko) 비정질 탄소막 형성 방법
WO2024009815A1 (ja) 基板処理方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20111130

Termination date: 20140421