KR102154105B1 - 하전 입자 빔 리소그라피를 이용하여 패턴들을 형성하기 위한 방법 및 시스템 - Google Patents

하전 입자 빔 리소그라피를 이용하여 패턴들을 형성하기 위한 방법 및 시스템 Download PDF

Info

Publication number
KR102154105B1
KR102154105B1 KR1020147032338A KR20147032338A KR102154105B1 KR 102154105 B1 KR102154105 B1 KR 102154105B1 KR 1020147032338 A KR1020147032338 A KR 1020147032338A KR 20147032338 A KR20147032338 A KR 20147032338A KR 102154105 B1 KR102154105 B1 KR 102154105B1
Authority
KR
South Korea
Prior art keywords
pattern
delete delete
substrate
reticle
charged particle
Prior art date
Application number
KR1020147032338A
Other languages
English (en)
Other versions
KR20150001840A (ko
Inventor
아키라 후지무라
아나톨리 아다모브
엘다 카리울린
잉고 보크
Original Assignee
디2에스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 디2에스, 인코포레이티드 filed Critical 디2에스, 인코포레이티드
Publication of KR20150001840A publication Critical patent/KR20150001840A/ko
Application granted granted Critical
Publication of KR102154105B1 publication Critical patent/KR102154105B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0275Photolithographic processes using lasers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2051Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source
    • G03F7/2059Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source using a scanning corpuscular radiation beam, e.g. an electron beam
    • G03F7/2063Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source using a scanning corpuscular radiation beam, e.g. an electron beam for the production of exposure masks or reticles
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/36Circuit design at the analogue level
    • G06F30/367Design verification, e.g. using simulation, simulation program with integrated circuit emphasis [SPICE], direct methods or relaxation methods
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Optics & Photonics (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Electron Beam Exposure (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Architecture (AREA)
  • Software Systems (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

MDP(mask data preparation)를 위한 방법이 개시되며, 여기서, 레티클 상에 패턴을 형성할 샷들의 세트가 결정되고, 상기 결정은 샷들의 세트를 이용하여 형성되는 레티클로 광학 리소그라피 프로세스를 이용하여 기판 상에 형성될 패턴을 계산하는 것을 포함한다. OPC(optical proximity correction) 또는 MDP를 위한 방법이 또한 개시되는데, 여기서 하전 입자 빔 샷들의 예비 세트는 예비 마스크 모델을 이용하여 생성되고, 이어서 샷들은 최종 마스크 모델을 이용하여 레티클 패턴 및 최종 기판 패턴 둘 다를 계산함으로써 수정된다. OPC에 대한 방법이 또한 개시되는데, 여기서 포토마스크에 대한 이상적인 패턴이 원하는 기판 패턴으로부터 계산되고, 계산에 이용되는 모델은 광학 리소그라피 효과들 및/또는 기판 프로세싱 효과들만을 포함한다.

Description

하전 입자 빔 리소그라피를 이용하여 패턴들을 형성하기 위한 방법 및 시스템{METHOD AND SYSTEM FOR FORMING PATTERNS USING CHARGED PARTICLE BEAM LITHOGRAPH}
본 출원은, 발명의 명칭이 "Method And System For Forming Patterns Using Charged Particle Beam Lithography"이고 2012년 4월 18일에 출원된 미국 가특허 출원 제 61/625,789 호를 우선권으로 주장하고, 그에 의해 상기 가특허 출원은 모든 목적들을 위해 인용에 의해 포함된다. 본 출원은 또한 1) 발명의 명칭이 "Method and System For Forming Patterns Using Charged Particle Beam Lithography"이고 2013년 4월 15일에 출원된 Fujimura의 미국 특허 출원 제 13/862,471 호, 2) 발명의 명칭이 "Method and System For Forming Patterns Using Charged Particle Beam Lithograph"이고 2013년 4월 15일에 출원된 Fujimura의 미국 특허 출원 제 13/862,472호, 3) 발명의 명칭이 "Method and System For Forming Patterns Using Charged Particle Beam Lithography"이고 2013년 4월 15일에 출원된 Fujimura의 미국 특허 출원 제 13/862,475 호, 4) 발명의 명칭이 "Method And System For Critical Dimension Uniformity Using Charged Particle Beam Lithography"이고 2013년 4월 15일에 출원된 Pearman의 미국 특허 출원 제 13/862,476 호, 및 5) 발명의 명칭이 "Method And System For Optimization Of An Image On A Substrate To Be Manufactured Using Optical Lithography"이고 2013년 3월 21일에 공개된 미국 특허 공보 제 2013/0070222 호에 관련되며, 그로 인해 상기 출원들 모두는 모든 목적으로 인용에 의해 통합된다.
집적 회로들과 같은 반도체 디바이스들의 생산 또는 제조 시에, 반도체 디바이스들을 제작하기 위해 광학 리소그라피(lithography)가 이용될 수 있다. 광학 리소그라피는, 집적 회로(I.C.)를 생성하기 위해 반도체 또는 실리콘 웨이퍼와 같은 기판에 패턴들을 전사하기 위해서, 레티클(reticle)로부터 제조된 리소그라피 마스크 또는 포토마스크가 이용되는 인쇄 프로세스이다. 다른 기판들은 평판 디스플레이들, 홀로그래피 마스크들 또는 심지어 다른 레티클들을 포함할 수 있다. 종래의 광학 리소그라피는 193 nm의 파장을 갖는 광원을 이용하지만, 극자외선(EUV) 또는 X-레이 리소그라피가 또한 본 출원의 광학 리소그라피의 타입들로 간주된다. 레티클 또는 다수의 레티클들은, 집적 회로의 개별적인 층에 대응하는 회로 패턴을 포함할 수 있고, 이 패턴은, 포토레지스트 또는 레지스트로서 공지된 방사-감응 재료의 층으로 코팅된, 기판 상의 특정한 영역 상에 이미징될 수 있다. 패터닝된 층이 일단 전사되면, 층은 에칭, 이온-주입(도핑), 금속화, 산화 및 연마와 같은 다양한 다른 프로세스들을 겪을 수 있다. 이들 프로세스들은 기판의 개별적인 층을 마감하는데 이용된다. 여러 층들이 요구되면, 전체 프로세스 또는 이의 변형들이 각각의 새로운 층에 대해 반복될 것이다. 결국, 다수의 디바이스들 또는 집적 회로들의 결합이 기판 상에 존재할 것이다. 그 다음, 이들 집적 회로들은, 다이싱(dicing) 또는 쏘잉(sawing)에 의해 서로로부터 분리될 수 있고, 그 다음, 개별적인 패키지들 내에 장착될 수 있다. 더 일반적인 경우에서, 기판 상의 패턴들은, 디스플레이 픽셀들, 홀로그램들, DSA(directed self-assembly) 가드 밴드들 또는 자기 레코딩 헤드들과 같은 아티팩트들을 한정하는데 이용될 수 있다. 종래의 광학 리소그라피 기록 머신들은 통상적으로 광학 리소그라피 프로세스 동안 포토마스크 패턴을 1/4로 감소시킨다. 따라서, 레티클 또는 마스크 상에 형성된 패턴들은 기판 또는 웨이퍼 상의 원하는 패턴의 크기보다 4배 더 커야한다.
집적 회로들과 같은 반도체 디바이스들의 생산 또는 제조 시에, 실리콘 웨이퍼와 같은 기판에 리소그라피 마스크 상의 패턴을 전사하기 위해 비-광학 방법들이 이용될 수 있다. 나노임프린트 리소그라피(NIL; nanoimprint lithography)가 비-광학 리소그라피 프로세스의 일례이다. 나노임프린트 리소그라피에서, 리소그라피 마스크 패턴은 표면과 리소그라피 마스크의 접촉을 통해 표면에 전사된다.
2개의 통상적 타입들의 하전 입자 빔 리소그라피는 가변 성형 빔(VSB) 및 캐릭터 투사(CP; character projection)이다. 이들은 모두 성형 빔 하전 입자 빔 리소그라피의 하위 카테고리들이고, 여기서, 웨이퍼의 표면 또는 레티클의 표면과 같은 레지스트-코팅 표면을 노출시키기 위해, 정확한 전자 빔이 성형되고 조향(steer)된다. VSB에서, 이들 형상들은 단순한 형상들인데, 통상적으로, 특정한 최소 및 최대 크기들을 갖고 그리고 직교 좌표(Cartesian coordinate) 평면의 축들에 평행한 (즉, "맨하탄" 배향의) 변들을 갖는 직사각형들, 및 특정한 최소 및 최대 크기들의 45도 직각 삼각형들(즉, 자신의 3개의 내각들이 45도, 45도 및 90도인 삼각형들)로 제한된다. 미리 결정된 위치들에서, 전자들의 선량들(doses)은 이들 단순한 형상들을 갖는 레지스트에 발사된다. 이러한 타입의 시스템에 대한 총 기록 시간은 샷(shot)들의 수에 따라 증가한다. 캐릭터 투사(CP)에서는, 직선, 임의의 각도의 선형, 원형, 거의 원형, 환형, 거의 환형, 타원형, 거의 타원형, 부분적으로 원형, 부분적으로 거의 원형, 부분적으로 환형, 부분적으로 거의 환형, 부분적으로 거의 타원형, 또는 임의의 곡선 형상들과 같은 복잡한 형상들일 수 있고, 복잡한 형상들의 연결된 세트 또는 복잡한 형상들의 연결된 세트의 분리된 세트들의 그룹일 수 있는 다양한 캐릭터들 또는 어퍼처들을 내부에 갖는 스텐실(stencil)이 시스템에 존재한다. 레티클 상에 더 복잡한 패턴들을 효율적으로 생성하기 위해, 전자 빔은 스텐실 상의 캐릭터를 통해 발사될 수 있다. 이론적으로, 이러한 시스템은 VSB 시스템보다 더 빠를 수 있는데, 이는, VSB 시스템이 각각의 시간 소모적인 샷으로 더 복잡한 형상들을 발사할 수 있기 때문이다. 따라서, VSB 시스템에 의한 E-형상의 패턴 샷은 4개의 샷들을 갖지만, 동일한 E-형상의 패턴이 캐릭터 투사 시스템에 의해서는 하나의 샷으로 발사될 수 있다. VSB 시스템들은 캐릭터 투사의 특수한(단순한) 경우로서 생각될 수 있고, 여기서 캐릭터들은 통상적으로 직사각형들 또는 45-45-90도의 삼각형들인 단지 단순한 캐릭터들임을 주목한다. 캐릭터를 부분적으로 노출시키는 것이 또한 가능하다. 이것은, 예를 들어, 입자 빔의 일부를 차단함으로써 행해질 수 있다. 예를 들어, 앞서 설명된 E-형상의 패턴은 F-형상의 패턴 또는 I-형상의 패턴으로서 부분적으로 노출될 수 있고, 여기서, 이 빔의 다른 부분들은 어퍼처에 의해 컷오프된다. 이것은, 다양한 크기의 직사각형들이 VSB를 이용하여 발사될 수 있는 방법과 동일한 매커니즘이다. 본 개시에서, 부분적 투사는 캐릭터 투사 및 VSB 투사 모두를 의미하는 것으로 사용된다. 성형 빔 하전 입자 빔 리소그라피는 단일 성형 빔을 이용할 수 있거나, 또는 표면을 동시에 노출시키는 복수의 성형 빔들을 이용할 수 있고, 복수의 성형 빔들은 단일 성형 빔보다 더 높은 기록 속도를 생성한다.
나타낸 바와 같이, 리소그라피에서, 리소그라피 마스크 또는 레티클은, 기판 상에 집적될 회로 컴포넌트들에 대응하는 기하학적 패턴들을 포함한다. 레티클을 제조하는데 이용되는 패턴들은 컴퓨터-보조 설계(CAD) 소프트웨어 또는 프로그램들을 활용하여 생성될 수 있다. 패턴들의 설계 시에, CAD 프로그램은, 레티클을 생성하기 위해 미리 결정된 설계 규칙들의 세트를 따를 수 있다. 이 규칙들은, 프로세싱, 설계 및 최종-용도 제한들에 의해 설정된다. 최종-용도 제한의 일례는, 트랜지스터가 요구되는 서플라이 전압으로 충분히 동작할 수 없는 방식으로 트랜지스터의 기하학 구조를 정의하는 것이다. 구체적으로, 설계 규칙들은 회로 디바이스들 또는 상호연결 라인들 사이에 공간 허용 오차(space tolerance)를 정의할 수 있다. 설계 규칙들은, 예를 들어, 회로 디바이스들 또는 라인들이 바람직하지 않은 방식으로 서로 상호작용하지 않는 것을 보장하도록 이용된다. 예를 들어, 설계 규칙들은, 단락 회로를 초래할 수 있는 방식으로 라인들이 서로에 대해 너무 가깝게 되지 않도록 이용될 수 있다. 설계 규칙 제한들은, 다른 것들 중, 신뢰가능하게 제작될 수 있는 최소 치수(dimension)들을 반영한다. 이들 작은 치수들을 참조하는 경우, 통상적으로 임계 치수의 개념이 도입된다. 이들은, 예를 들어, 라인의 최소 폭 또는 2개의 라인들 사이의 최소 공간으로서 정의되고, 이들 치수들은 필수적 제어를 요구한다.
광학 리소그라피에 의한 집적 회로 제작에서 하나의 목표는, 레티클을 이용하여, 원래의 회로 설계를 기판 상에 재생성하는 것이다. 집적 회로 제작자들은 반도체 웨이퍼의 실제 활용공간(real estate)을 가능한 한 효율적으로 이용하려 항상 시도하고 있다. 엔지니어들은, 집적 회로들이 더 많은 회로 엘리먼트들을 포함하고 더 적은 전력을 이용하도록 허용하기 위해 회로들의 크기를 계속하여 감소시키고 있다. 집적 회로 임계 치수의 크기가 감소되고 집적 회로의 회로 밀도가 증가함에 따라, 회로 패턴 또는 물리적 설계의 임계 치수는, 종래의 광학 리소그라피에서 이용되는 노광 툴의 분해능 한계에 접근하고 있다. 회로 패턴의 임계 치수들이 더 작아지고, 노광 툴의 분해능 값에 접근함에 따라, 레지스트층 상에 현상되는 실제 회로 패턴으로의 물리적 설계의 정확한 전사는 어려워지고 있다. 광학 리소그라피 프로세스에서 이용되는 광의 파장보다 더 작은 피쳐들을 갖는 패턴들을 전사하기 위한 광학 리소그라피의 추가적 이용을 위해, 광학 근접도 보정(OPC; optical proximity correction)으로서 알려진 프로세스가 개발되어 왔다. OPC는, 근접한 피쳐들을 갖는 피쳐들의 광학 회절 및 광학 상호작용과 같은 효과들에 의해 초래되는 왜곡들을 보상하기 위해, 물리적 설계를 변경한다. OPC는 레티클로 수행되는 모든 분해능 향상 기술들을 포함한다.
OPC는 원래 물리적 설계 패턴, 즉 설계와 상기 기판 상에서의 최종 전사된 회로 패턴 사이의 차이들을 감소시키기 위해 마스크 패턴들에 서브-분해능 리소그라피 피쳐들을 부가할 수 있다. 서브-분해능 리소그라피 피쳐들은 물리적 설계에서 원래 패턴들과 서로 상호작용하며, 최종 전사된 회로 패턴을 개선하기 위해 근접도 효과(proximity effect)들을 보상한다. 패턴의 전사를 개선하기 위해 사용되는 하나의 피쳐는 서브-분해능 보조 피쳐(sub-resolution assist feature; SRAF)이다. 패턴 전사를 개선하기 위해 부가되는 또 다른 피쳐는 "셰리프들(serifs)"로서 불리운다. 셰리프들은 최종 전사된 이미지에서의 코너를 날카롭게 하기 위해 패턴의 내부 또는 외부 코너 상에 위치될 수 있는 작은 피쳐들이다. 그것은 종종 SRAF들에 대한 표면 제조 프로세스의 요구된 정밀도가 종종 주요 피쳐들로서 불리우는, 기판 상에 프린트하려고 의도되는 패턴들에 대한 것들보다 작은 경우이다. 셰리프들은 주요 피쳐의 일 부분이다. 광학 리소그라피의 한계들이 서브-파장 영역으로 더 확장됨에 따라, OPC 피쳐들은 훨씬 더 섬세한 상호작용들 및 효과들을 보상하기 위해 점점 더 복잡해져야 한다. 이미징 시스템들이 그것들의 한계들에 더 가까이 확장됨에 따라, 충분히 미세한 OPC 피쳐들을 가진 레티클들을 생산하기 위한 능력은 중대해진다. 마스크 패턴에 셰리프들 또는 다른 OPC 피쳐들을 부가하는 것이 유리하지만, 그것은 또한 마스크 패턴에서 총 피쳐 카운트를 상당히 증가시킨다. 예를 들면, 종래의 기술들을 사용하여 정사각형의 코너들의 각각에 셰리프를 부가하는 것은 마스크 또는 레티클 패턴에 8 개 더 많은 직사각형들을 부가한다. OPC 피쳐들을 부가하는 것은 매우 힘든 작업이고, 과도한 계산 시간을 요구하며, 보다 값비싼 레티클들을 초래한다. OPC 패턴들은 복잡할 뿐만 아니라, 광학 근접도 효과들이 최소 라인 및 공간 치수들에 비교하여 장기적이기 때문에, 주어진 위치에서 정확한 OPC 패턴들은 어떤 다른 기하학적 구조가 근처에 있는지에 상당히 의존한다. 따라서, 예를 들면, 라인 단(line end)이 레티클 상에서 그것에 무엇이 가까이 있는지에 의존하여 상이한 크기 셰리프들을 가질 것이다. 이것은 목표가 웨이퍼 상에서 정확히 동일한 형상을 생성하는 것일지라도 그렇다. 이들 작지만 중대한 변화들은 중요하며 다른 것들이 레티클 패턴들을 형성할 수 있는 것을 방지한다. OPC 데코레이션(decoration) 전에 설계를 반영하는 피쳐들인 설계된 피쳐들, 및 OPC 피쳐들에 대하여 레티클 상에 기록될 OPC-데코레이션된 패턴들을 논의하는 것이 관례적이며, OPC 피쳐들은 셰리프들, 조그들(jogs), 및 SRAF를 포함할 수 있다. 작은 변화들에 의해 의도된 것을 수량화하기 위해, 이웃마다 OPC 데코레이션에서의 통상적인 작은 변화는 주요 피쳐 크기의 5% 내지 80%일 수 있다. 명료함을 위해, OPC의 설계에서의 변화들이 참조되는 것임을 주의하자. 라인-에지 거칠기 및 코너 라운딩(corner rounding)과 같은 제조 변화들이 또한 실제 표면 패턴들에 존재할 것이다. 이들 OPC 변화들이 웨이퍼 상에서 실질적으로 동일한 패턴들을 생성할 때, 의미하는 것은 웨이퍼 상에서의 기하학적 구조가 특정 오류 - 이것은 그 기하학적 구조가 예로서 트랜지스터 또는 와이어를 수행하도록 설계된 기능의 세부사항들에 의존한다 - 내에서 동일하도록 타겟팅되는 것이다. 그럼에도 불구하고, 통상적인 규격들은 설계된 피쳐 범위의 2% 내지 50%에 있다. 또한, 변화들을 야기하는 다수의 제조 인자들이 존재하지만, 전체 오류의 OPC 컴포넌트는 종종 리스트된 범위에 있다. 서브-분해능 보조 피쳐들과 같은 OPC 형상들은 광학 리소그라피를 사용하여 웨이퍼에 전사될 수 있는 최소 피쳐의 크기에 기초한 규칙과 같은, 다양한 설계 규칙들의 대상이 된다. 다른 설계 규칙들은 마스크 제조 프로세스로부터 발생할 수 있거나, 또는 캐릭터 투사 하전 입자 빔 기록 시스템이 레티클 상에 패턴을 형성하기 위해 사용된다면, 스텐실 제조 프로세스로부터 발생할 수 있다. 마스크 상에서의 SRAF 피쳐들의 정확도 요건은 마스크 상에서의 설계된 피쳐들에 대한 정확도 요건들보다 낮을 수 있다는 것이 또한 주의되어야 한다. 프로세스 노드들이 계속해서 줄어듦에 따라, 포토마스크 상에서의 최소 SRAF들의 크기가 또한 줄어들게 된다. 예를 들면, 20 nm 로직 프로세스 노드에서, 40 nm 내지 60 nm SRAF들이 최고 정확도 층들을 위한 마스크 상에서 요구된다.
ILT(inverse lithography technology)는 OPC 기술의 일 타입이다. ILT는, 레티클 상에 형성될 패턴이, 실리콘 웨이퍼와 같은 기판 상에 형성되도록 기대되는 패턴으로부터 직접 컴퓨팅되는 프로세스이다. 이것은, 기판 상의 원하는 패턴을 입력으로서 이용하여, 광학 리소그라피 프로세스를 역방향으로 시뮬레이션하는 것을 포함할 수 있다. ILT-컴퓨팅된 레티클 패턴들은 순수한 곡선, 즉, 직선이 전혀 없는 것일 수 있고, 원형, 거의 원형, 환형, 거의 환형, 타원형 및/또는 거의 타원형 패턴들을 포함할 수 있다. 이러한 이상적인 ILT 곡선 패턴들은 종래의 기술들을 이용하여 레티클 상에 형성하기에 곤란하고 값비싸기 때문에, 이상적인 곡선 패턴들의 직선 근사화들 또는 직선화들이 이용될 수 있다. 그러나, 직선 근사화들은 이상적인 ILT 곡선 패턴들과 비교하여 정확도를 감소시킨다. 추가적으로, 이상적인 ILT 곡선 패턴들로부터 직선 근사화들이 생성되면, 이상적인 ILT 곡선 패턴들과 비교하여 전체 계산 시간이 증가된다. 본 개시에서, ILT, OPC, SMO(source mask optimization) 및 계산적 리소그라피는 상호교환가능하게 사용되는 용어들이다.
EUV 리소그라피에서, OPC 피쳐들이 일반적으로 요구되지 않는다. 따라서, 레티클 상에 제조될 패턴의 복잡성은 종래의 193 nm 파장 광학 리소그라피를 사용하는 것보다 덜하고, 이에 대응하여 샷 카운트 감소는 덜 중요하다. 그러나, EUV에서, 마스크 정확성 요건들이 매우 높은데, 왜냐하면 통상적으로 웨이퍼 상의 패턴들의 크기의 4 배인, 마스크 상의 패턴들이 충분히 작아서 패턴들이 전자 빔과 같은 하전 입자 빔 기술을 사용하여 정밀하게 형성되기 어렵기 때문이다.
광학 리소그라피 또는 하전 입자 빔 리소그라피를 이용하는 것을 포함하는, 레티클 상에 패턴들을 형성하기 위해 이용되는 다수의 기술들이 존재한다. 가장 통상적으로 이용되는 시스템은 가변 성형 빔(VSB)이고, 여기서, 앞서 설명된 바와 같이, 맨하탄 직사각형들 및 45도 직각삼각형들과 같은 단순한 형상들로 전자들의 선량들이 레지스트-코팅된 레티클 표면을 노출시킨다. 종래의 마스크 기록에서, 레티클 상의 레지스트가 패턴을 등록할 방법에 대한 계산을 크게 단순화시키기 위해, 전자들의 선량들 또는 샷들은 가능한 한 오버랩을 회피하도록 설계된다. 유사하게, 샷들의 세트는, 레티클 상에 형성될 패턴 영역을 완전히 커버하도록 설계된다. 본 특허 출원의 양수인에 의해 소유되고 모든 목적들을 위해 인용에 의해 통합되는 미국 특허 제 7,754,401 호는, 기록 패턴들에 대한 의도적인 샷 오버랩이 이용되는 마스크 기록 방법을 개시한다. 오버랩하는 샷들이 이용되는 경우, 레티클 상의 레지스트가 등록할 패턴을 결정하기 위해, 하전 입자 빔 시뮬레이션이 이용될 수 있다. 오버랩하는 샷들의 이용은, 감소된 샷 횟수로 패턴들이 기록되도록 허용할 수 있다. 미국 특허 제 7,754,401 호는 또한 선량 조절(dose modulation)의 이용을 개시하고, 여기서, 샷들의 할당된 조사량(dosages)은 다른 샷들의 조사량에 대해 상이하다. 미국 특허 제 7,754,401 호의 기술들을 이용하여 샷들을 결정하는 프로세스를 설명하기 위해, 모델-기반 프랙쳐링(model-based fracturing)이라는 용어가 사용된다.
가장 진보된 기술 노드들을 위한 레티클 기록은 통상적으로 다중-패스 노광(multi-pass exposure)이라 불리는 프로세스인, 하전 입자 빔 기록의 다수의 패스들을 수반하며, 그에 의해 레티클 상에서의 주어진 형상이 기록되고 겹쳐 기록된다. 통상적으로, 2 내지 4개의 패스들이 보다 정확한 포토마스크들의 생성을 허용하는, 하전 입자 빔 기록기에서의 정확도 오류들의 평균을 내도록 레티클을 기록하기 위해 사용된다. 또한 통상적으로, 조사량들을 포함한, 샷들의 리스트는 모든 패스에 대해 동일하다. 다중-패스 노광의 일 변화에 있어서, 샷들의 리스트들은 노광 패스들 중에서 변할 수 있지만, 임의의 노광 패스에서의 상기 샷들의 결합은 동일한 영역을 커버한다. 다중-패스 기록은 상기 표면을 코팅하는 레지스트의 과열을 감소시킬 수 있다. 다중-패스 기록은 또한 하전 입자 빔 기록기의 무작위 오류들의 평균을 낸다. 상이한 노광 패스들에 대한 상이한 샷 리스트들을 사용한 다중-패스 기록은 또한 기록 공정에서 특정한 시스템 오류들의 효과들을 감소시킬 수 있다.
MDP(mask data preparation)를 위한 방법이 개시되며, 여기서 레티클 상에 패턴을 형성할 샷들의 세트가 결정되고, 이 결정은 샷들의 세트를 이용하여 형성되는 레티클로 광학 리소그라피 프로세스를 이용하여 기판 상에 형성될 패턴을 계산하는 것을 포함한다.
OPC(optical proximity correction) 또는 MDP(mask data preparation)를 위한 방법이 또한 개시되며, 여기서 하전 입자 빔 샷들의 입력된 세트가 레티클 패턴을 계산하는데 이용되고, 이어서 그것은 계산된 레티클 패턴으로 광학 리소그라피 프로세스를 이용하여 형성될 기판 패턴을 계산하는데 이용된다.
OPC(optical proximity correction) 또는 MDP(mask data preparation)를 위한 방법이 개시되며, 여기서 하전 입자 빔 샷들의 예비 세트는 예비 마스크 모델을 이용하여 생성되고, 이어서 샷들은 최종 마스크 모델을 이용하여 레티클 패턴 및 결과적인 기판 패턴 둘 다를 계산함으로써 수정된다.
OPC(optical proximity correction) 또는 MDP(mask data preparation)를 위한 방법이 개시되며, 여기서, 이전에-생성된 샷들의 예비 세트가 입력되고, 샷들은 이어서 최종 마스크 모델을 이용하여 레티클 패턴을 계산함으로써 수정된다. 몇몇 실시예들에서, 기판 패턴은 기판 모델 및 계산된 레티클 패턴을 이용하여 또한 계산된다.
OPC(optical proximity correction)를 위한 방법이 개시되며, 여기서, 포토마스크에 대한 이상적인 패턴이 원하는 기판 패턴으로부터 계산되고, 상기 계산에서 이용되는 모델은 광학 리소그라피 효과들 및/또는 기판 프로세싱 효과들만을 포함한다.
OPC(optical proximity correction)를 위한 방법이 또한 개시되며, 여기서, 마스크 모델은 전방 산란, 후방 산란, 쿨롱 효과, 포깅, 부하 및 레티클 레지스트 충전과 같은 레티클 기록 효과들을 모델링하도록 결정된다. 기판 모델은 마스크 모델을 이용하여 후속적으로 결정되고, 그에 의해, 마스크 모델은 마스크 모델에 포함된 효과들을 배제한다.
도 1은 VSB(variable shaped beam) 하전 입자 빔 시스템의 예를 예시한다.
도 2는 광학 리소그라피를 이용하여 실리콘 웨이퍼 상에 집적 회로와 같은 기판을 제공하는데 이용하기 위해 레티클과 같은 표면을 준비하는 방법의 종래 기술의 개념적인 흐름도를 예시한다.
도 3은 광학 리소그라피를 이용하여 실리콘 웨이퍼 상에 집적 회로와 같은 기판을 제공하는데 이용하기 위해 레티클과 같은 표면을 준비하는 예시적인 방법의 개념적인 흐름도를 예시한다.
도 4는 도 3으로부터 OPC 최적화를 상세히 설명하는 예시적인 개념적인 흐름도를 예시한다.
도 5는 도 2의 종래 기술의 설계 흐름에 대한 교정된 모델을 생성하기 위한 종래 기술의 방법을 예시한다.
도 6은 마스크 모델을 생성하는 예시적인 방법의 개념적인 흐름도를 예시한다.
도 7은 기판 모델을 생성하는 예시적인 방법의 개념적인 흐름도를 예시한다.
도 8은 광학 리소그라피를 이용하여 실리콘 웨이퍼 상에 집적 회로와 같은 기판을 제공하는데 이용하기 위해 레티클과 같은 표면을 준비하는 다른 예시적인 방법의 개념적인 흐름도를 예시한다.
도 9는 미리-존재하는 샷 리스트를 최적화하기 위한 방법의 개념적인 흐름도를 예시한다.
도 10은 ILT(inverse lithography technology)를 이용한 프로세싱에 의해 출력될 수 있는 패턴과 같은 복잡한 패턴들을 예시한다.
본 개시는 리소그라피에 관한 것이며, 더 구체적으로는, 하전 입자 빔 리소그라피를 이용한, 레티클, 웨이퍼 또는 임의의 다른 표면일 수 있는 표면의 설계 및 제조에 관한 것이다.
이제, 동일한 번호들이 동일한 항목들을 지칭하는 도면들을 참조하면, 도 1은, 표면(12)을 제조하기 위해 가변 성형 빔(VSB)를 이용하는 하전 입자 빔 기록기 시스템(이 경우에는 전자 빔 기록기 시스템(10))과 같은 리소그라피 시스템의 실시예를 예시한다. 전자 빔 기록기 시스템(10)은, 어퍼처 플레이트(18)를 향해 전자 빔(16)을 투사하는 전자 빔 소스(14)를 갖는다. 플레이트(18)는, 전자 빔(16)이 통과하도록 허용하는, 플레이트 내에 형성된 어퍼처(20)를 갖는다. 전자 빔(16)이 어퍼처(20)를 일단 통과하면, 전자 빔(16)은 렌즈들의 시스템(도시되지 않음)에 의해 다른 직사각형 어퍼처 플레이트 또는 스텐실 마스크(24)를 향해 전자 빔(22)으로서 지향되거나 편향된다. 스텐실(24)은, 직사각형들 및 삼각형들과 같은 다양한 단순한 형상들을 한정하는 다수의 개구부들 또는 어퍼처들(26)을 그 내부에 형성한다. 스텐실(24)에 형성된 각각의 어퍼처(26)는, 실리콘 웨이퍼, 레티클 또는 다른 기판과 같은 기판(34)의 표면(12)에 패턴을 형성하는데 이용될 수 있다. 전자 빔(30)은 어퍼처들(26) 중 하나로부터 나오고, 전자기 또는 정전기 감소 렌즈(38)를 통과하며, 렌즈(38)는 어퍼처(26)로부터 나오는 패턴의 크기를 감소시킨다. 통상적으로 이용가능한 하전 입자 빔 기록기 시스템들에서, 감소 팩터는 10 내지 60이다. 감소된 전자 빔(40)은 감소 렌즈(38)로부터 나오고, 일련의 편향기들(42)에 의해 표면(12) 상에 패턴(28)으로서 지향된다. 표면(12)은, 전자 빔(40)과 반응하는 레지스트(도시되지 않음)로 코팅된다. 전자 빔(22)은 어퍼처(26)의 가변부와 오버랩하도록 지향될 수 있고, 이는 패턴(28)의 크기 및 형상에 영향을 미친다. 빔(22)을 지향시키는 렌즈들 및 편향기들(42)이 연속적인 샷에 대해 재조절되고 있는 경우, 각각의 샷 이후의 기간 동안 전자 빔이 표면(12)에 도달하는 것을 방지하기 위해, 빔(16) 또는 성형 빔(22)을 편향시키도록 블랭킹 플레이트들(도시되지 않음)이 이용된다. 통상적으로, 블랭킹 플레이트들은, 전자 빔(16)이 어퍼처(20)를 조명하는 것을 방지하기 위해 전자 빔(16)을 편향시키도록 위치된다. 블랭킹 기간은 고정된 시간 길이일 수 있거나, 또는 예를 들어, 연속적인 샷의 위치에 대해 편향기(42)가 얼마나 많이 재조절되어야 하는지에 따라 변할 수 있다.
전자 빔 기록기 시스템(10)에서, 기판(34)은 이동 가능한 플랫폼(32) 상에 장착된다. 플랫폼(32)은, 하전 입자 빔(40)의 최대 편향 능력 또는 필드 크기보다 큰 패턴들이 표면(12)에 일련의 서브필드들로 기록될 수 있게 기판(34)이 재위치되도록 허용하고, 여기서, 각각의 서브필드는 빔(40)을 편향시키는 편향기(42)의 능력 내에 있다. 일 실시예에서, 기판(34)은 레티클일 수 있다. 이 실시예에서, 패턴으로 노출된 후 레티클은 다양한 제조 단계들을 겪고, 이를 통해 리소그라피 마스크 또는 포토마스크가 된다. 그 다음, 마스크는, 집적 회로를 생성하기 위해 일반적으로 크기에서 감소된 레티클 패턴(28)의 이미지를 실리콘 웨이퍼 상으로 투사하는 광학 리소그라피 머신에서 이용될 수 있다. 더 일반적으로, 마스크는 패턴(28)을 기판(도시되지 않음) 상으로 전사하는 다른 디바이스 또는 머신에서 이용된다.
단일 빔(40)이 표면(12)을 조명하는 하전 입자 빔 시스템을 도 1이 예시하지만, 일부 하전 입자 빔 기록기들은 독립적으로 조절 가능하고 포지셔닝 가능할 수 있거나 가능하지 않을 수 있는 복수의 빔들로 표면을 동시에 조명할 수 있다. 다중-빔 하전 입자 빔 기록기들은 일반적으로 단일-빔 기록기들보다 더 높은 기록 속도들을 갖는다. 일부 타입들의 다중-빔 기록기들은 성형된 빔이고, 반면에 다른 것들에서 빔들은 표면에 걸쳐 주사된다. 본 개시의 목적들로, 용어 "샷"은 성형 빔 및 주사 빔 다중-빔 하전 입자 빔 기록기들 둘 모두에 대한, 뿐만 아니라 성형 단일 빔 기록기들에 대한 노출 정보를 포함한다.
합리적인 정확도로 표면(12) 상에 투사될 수 있는 최소 크기 패턴은, 전자 빔 기록기 시스템(10) 및 표면(12)(통상적으로 기판(34) 상에 레지스트 코팅을 포함함)과 연관된 다양한 단거리 물리 효과들에 의해 제한된다. 이 효과들은 전방 산란(forward scattering), 쿨롱 효과 및 레지스트 확산(resist diffusion)을 포함한다. βf로도 지칭되는 빔 흐림(beam blur)은 이러한 단거리 효과들 모두를 포함하도록 사용되는 용어이다. 가장 현대식 전자 빔 기록기 시스템들은 20 nm 내지 30 nm 범위의 유효 빔 흐림 반경 또는 βf를 달성할 수 있다. 전방 산란은 총 빔 흐림의 1/4 내지 1/2을 구성할 수 있다. 현대식 전자 빔 기록기 시스템들은 빔 흐림의 구성 요소들(pieces) 각각을 최소로 감소시키기 위한 다수의 매커니즘들을 포함한다. 빔 흐림의 몇몇 성분들은 입자 빔 기록기의 교정 레벨의 함수이기 때문에, 동일한 설계의 2개의 입자 빔 기록기들의 βf는 상이할 수 있다. 레지스트들의 확산 특징들이 또한 상이할 수 있다. 샷 크기 또는 샷 선량에 기초한 βf의 변화는 시뮬레이션될 수 있고 체계적으로 고려될 수 있다. 그러나, 처리될 수 없거나 처리되지 않는 다른 효과들이 존재할 수 있고, 이들은 무작위적 변화로서 나타난다.
전자 빔 기록기 시스템과 같은 하전 입자 빔 기록기의 샷 조사량은 빔 소스(14)의 강도 및 각각의 샷에 대한 노출 시간의 함수이다. 통상적으로, 빔 강도는 명목상 고정되어 유지되고, 노출 시간은, 가변적 샷 조사량들을 획득하기 위해 변화된다. 노출 시간은, 근접도 효과 보정(PEC; proximity effect correction)으로 지칭되는 프로세스에서 후방 산란(backscatter), 포깅(fogging) 및 부하 효과들(loading effects)과 같은 다양한 원거리 효과들을 보상하기 위해 변화될 수 있다. 전자 빔 기록기 시스템들은 통상적으로, 노출 패스의 모든 샷들에 영향을 미치는, 베이스 조사량(base dosage)으로 지칭되는 전체 조사량의 세팅을 허용한다. 몇몇 전자 빔 기록기 시스템은 전자 빔 기록기 시스템 자체 내에서 조사량 보상 계산들을 수행하고, 각각의 샷의 조사량이 입력 샷 리스트의 일부로서 개별적으로 할당되는 것을 허용하지 않으며, 따라서 입력 샷들은 비할당된 샷 조사량들을 갖는다. 이러한 전자 빔 기록기 시스템들에서, 모든 샷들은 묵시적으로, PEC 이전에 베이스 조사량을 갖는다. 다른 전자 빔 기록기 시스템들은 샷 단위로(on shot-by-shot basis) 명시적 조사량 할당을 허용한다. 샷 단위의 조사량 할당을 허용하는 전자 빔 기록기 시스템들에서, 이용가능한 조사량 레벨들의 수는 64 내지 4096 또는 그 초과일 수 있거나, 또는 3 내지 8개의 레벨들과 같은 비교적 소수의 이용가능한 조사량 레벨들이 존재할 수 있다. 주사형 다중-빔 시스템들에 대해, 조사량 조절은 표면을 여러 번 주사함으로써 행해질 수 있다.
종래에, 샷들은, 가능한 한 샷 오버랩을 회피하면서 직사각형 샷들로 입력 패턴을 완전히 커버하도록 설계된다. 또한, 모든 샷들은 정규의 조사량을 갖도록 설계되는데, 정규의 조사량은, 원거리 효과들의 부재 시에 비교적 큰 직사각형 샷이, 그 샷 크기와 동일한 크기인 표면 상에 패턴을 생성할 조사량이다. 몇몇 전자 빔 기록기 시스템들은 노출 패스 내에서 샷들이 오버랩하는 것을 허용하지 않음으로써 이 방법을 강화한다.
프로세스 변화들은, 포토마스크 상의 패턴의 폭이 의도된 또는 타겟 폭과 달라지게 할 수 있다. 포토마스크 상의 패턴 폭 변화는, 광학 리소그라피 프로세스를 이용하여 포토마스크로 노출된 웨이퍼 상에 패턴 폭 변화를 초래할 것이다. 포토마스크 패턴 폭에서의 변화들에 대한 웨이퍼 패턴 폭의 감도는 마스크 에지 에러 팩터 또는 MEEF로 지칭된다. 4x 포토마스크를 이용하는 광학 리소그라피 시스템에서, 광학 리소그라피 프로세스가 웨이퍼 상으로, 4x 감소된 버전의 포토마스크 패턴을 투사하는 경우, 예를 들어, 1의 MEEF는 포토마스크 상의 패턴 폭에서의 각각의 1 nm 에러에 대해, 웨이퍼 상의 패턴 폭이 0.25 nm만큼 변할 것을 의미한다. 2의 MEEF는, 포토마스크 패턴 폭에서의 1 nm 에러에 대해, 웨이퍼 상의 패턴 폭은 0.5 nm만큼 변할 것을 의미한다. 최소 집적 회로들 프로세스들에서, MEEF는 2보다 클 수 있다.
도 2는 광학 리소그라피를 이용하여 실리콘 웨이퍼와 같은 기판 상에 패턴들을 형성하는 종래 기술의 방법(250)의 개념적 흐름도이다. 제 1 단계(252)에서, 집적 회로의 물리적 설계와 같은 물리적 설계가 생성된다. 이는 로직 게이트들, 트랜지스터들, 금속 층들 및 집적 회로의 물리적 설계에서 발견되도록 요구되는 다른 아이템들을 결정하는 것을 포함할 수 있다. 다음으로, 단계(254)에서, OPC(optical proximity correction)가 타겟 마스크 설계(256)를 생성하기 위해 ILT(inverse lithography technology)를 이용하여 물리적 설계(252) 내의 패턴들 상에서 이루어진다. ILT 프로세스는 그의 계산들을 안내하기 위해 마스크 + 기판 모델(282)을 이용한다. 마스크 + 기판 모델(282)이 보통 기판 효과들을 모델링하도록 의도되지만, 이 모델을 결정하는 방법은 아래에서 추가로 설명되는 바와 같이 마스크 효과들을 또한 포함할 수 있다. 미가공(raw) 또는 "이상적인(ideal)" ILT-생성된 패턴들은 보통 곡선(curvilinear)이며, 이에 따라 종래의 비-오버랩핑 VSB 샷들(shots)을 이용하여 프랙쳐링(fracture)하는 것이 어렵다. ILT OPC 단계(254)에서, 패턴들은 이에 따라 VSB 샷들에 의한 후속 프랙쳐링을 용이하게 하도록 ILT OPC(254)의 직선화(rectilinearization) 서브-단계에서 보다 직선이 되도록 단순화된다. ILT-생성된 패턴들의 직선화는 ILT 프로세스의 정확도를 감소시킨다. 결과적인 타겟 마스크 설계(256)는 MDP(mask data preparation) 단계(258)에서 하전 입자 빔 샷(charged particle beam shot)들로 프랙쳐링된다. 종래에서는, 샷 조사량들(shot dosages)이 할당되지 않거나 모든 할당된 샷 조사량들이 동일한 경우, 비-오버랩핑 VSB 샷들이 생성된다. MDP(258)의 출력은 샷 리스트(260)이다. PEC(proximity effect correction) 개선 단계(262)에서, 샷 조사량들은 근접도 효과(proximity effect)를 고려하도록 조절되어, 최종 샷 리스트(264)를 생성한다. 최종 샷 리스트(264)는 전자 빔 기록기 시스템(electron beam writer system)과 같은 하전 입자 빔 기록기를 이용하는 마스크 기록 단계(266)에서 표면을 생성하는데 이용된다. 이용되고 있는 하전 입자 빔 기록기의 타입에 의존하여, PEC 개선(262)이 하전 입자 빔 기록기에 의해 수행될 수 있다. 마스크 기록 단계(266)는 단일 노출 패스(single exposure pass) 또는 다중 노출 패스들을 포함할 수 있다. 전자 빔 기록기 시스템은 단계(268)에서 도시된 바와 같이 표면 상의 패턴들을 포함하는 마스크 이미지를 형성하도록 스텐실(stencil)을 통해 전자들의 하나 이상의 빔들을 표면 상에 투사한다. 부가적인 프로세싱 단계들(예시되지 않음) 이후에, 완료된 표면은 이어서 레지스트 상에 잠재 이미지(latent image)(272)를 생성하기 위해 실리콘 웨이퍼와 같은 레지스트-코팅 기판을 노출하도록 단계(270)에서 도시된 광학 리소그라피 머신에서 이용될 수 있다. 노출된 기판은 이어서 기판 상에 패턴(276)을 생성하도록 레지스트 베이킹 및 레지스트 현상과 같은 부가적인 레지스트 프로세싱 단계들(274)을 거친다.
도 2의 흐름에서, 웨이퍼 이미지(272)를 포함하는 패턴들은 다음을 포함하는 다양한 이유들로 물리적 설계(252)와 상이할 수 있다:
·코너 라운딩(corner rounding). 물리적 설계(252) 내의 패턴들이 보통 직각 코너들을 갖지만, 당업자들은 이들이 마스크 상에서 라운딩되고, 웨이퍼 상에서 추가로 라우딩될 것을 이해한다. 이러한 라운딩은 하전 입자 빔 리소그라피 및 광학 리소그라피 프로세스들의 제한들로 인한 것이며, 정상으로 간주된다.
· ILT 결과들의 직선화는, 이상적인 곡선 ILT 패턴들이 타겟 마스크 설계(256)에 대한 출력이었던 경우에 비해, 결과적인 타겟 마스크 설계(256)의 정확도를 감소시킨다.
· MDP 단계(258)에서 생성된 샷들은 프랙쳐링 프로세스의 제한으로 인해 타겟 마스크 설계(256)에 패턴들을 정확히 형성하지 않을 것이다.
· 종래의 광학 리소그라피들의 제한들, 이들 제한들은 비교적 큰 MEEF를 포함할 수 있어서, 마스크 상의 비교적 작은 CD 에러들이 웨이퍼 상에서 보다 더 중요하게 되게 한다.
· 마스크 + 기판 모델(282)의 제한. 마스크 프로세스 및 기판 프로세스들을 함께 묶는 것은 부정확성들을 생성한다. 부가적으로, 마스크 제조 프로세스 파라미터들은 미묘하게 변화하고, 이들 변화들은 일반적으로 ILT OPC(254)가 행해지지 않은 때에는 이용 가능하지 않다.
다양한 실시예들에서, 상당히 상이한 흐름이 이용된다. 이 흐름의 특징들은 다음을 포함한다:
· 이상적인 레티클 패턴들이 이를 테면, ILT를 이용함으로써 생성된다. 이상적인 ILT 패턴들은 보통 곡선이고, 비-맨하튼(non-manhattan)의 비-45도 에지들을 갖는 다각형들로서 표현된다. 또한, 이들 패턴들은 단지 광학 리소그라피 및 기판 프로세싱 효과들(하전 입자 빔 및 레티클 프로세싱 효과들을 생략함)만을 포함하는 모델을 이용하여 생성된다. 따라서 ILT는 물리적 설계를 형성하기 위해 실제로 이상적인 마스크 패턴인 마스크 설계 패턴을 출력할 수 있다.
·생성된 하전 입자 빔 샷들의 예비 세트는 기판 패턴의 품질을 개선하도록 OPC-최적화된다. 이를 행하기 위해, 이중 시뮬레이션이 이용된다:
o 레티클 패턴이 이를 테면, 하전 입자 빔 시뮬레이션을 이용함으로써 계산된다. 더 높은-정확도 마스크 모델은 샷들의 예비 세트를 생성하는데 이용된 이 최적화 단계를 위해 이용된다.
o 기판 패턴이 입력으로서 계산된 레티클 패턴을 이용하는 이를 테면, 리소그라피 시뮬레이션을 이용함으로써 계산된다. 이는 아래의 단락들에서 보다 완전히 설명된다.
도 3은 본 발명의 일 실시예에 따라 광학 리소그라피를 이용하여 실리콘 웨이퍼와 같은 기판 상에 패턴들을 형성하기 위한 개념적 흐름도(300)이다. 제 1 단계(302)에서, 집적 회로의 물리적 설계와 같은 물리적 설계가 생성된다. 이는 로직 게이트들, 트랜지스터들, 금속 층들 및 집적 회로의 물리적 설계와 같은 물리적 설계에서 발견되도록 요구되는 다른 아이템들을 결정하는 것을 포함할 수 있다. 다음으로, 단계(304)에서, OPC(optical proximity correction)가 이상적인 마스크 설계(306)를 생성하기 위해 물리적 설계(302)의 패턴들 상에서 또는 물리적 설계의 패턴들의 부분 상에서 이루어진다. 몇몇 실시예들에서, ILT는 OPT 단계(304)에서 이용된다. OPC/ILT 프로세스는 흐름(252)에서와 같은 직선화를 이용하는 것이 아니라, 오히려 이상적인 마스크 설계(306)에서 이상적인 패턴들을 생성한다. 이상적인 마스크 설계(306)의 패턴은 예를 들어, 비-맨하튼의 비-45도 에지들을 갖는 다각형들을 이용하여 표현되는 복잡한 형상일 수 있다. 이러한 패턴들은 비-맨하튼의 비-45도 에지들을 갖는 다각형들을 이용하여 표현될 수 있는 예시적인 복잡한 형상들(1002)을 도시하는 도 10에서 예시된다. 도 3의 OPC/ILT 단계(304)는 광학 리소그라피 및 기판 프로세싱 효과들을 포함하지만, 하전 입자 빔 노출 및 레티클 프로세싱 효과들을 포함하지 않는 기판 모델(340)을 이용한다. 흐름(250)의 타겟 마스크 설계(256)에 비해, 이상적인 마스크 설계(306)는 레티클에 대한 이상적인 패턴에 더 가깝다. 단계(308)에서, 이상적인 마스크 설계는 하전 입자 빔 샷들(310)의 예비 세트로 프랙쳐링된다. 예비 샷 생성(308)은 단일 가우시안과 같은 비교적 단순한 예비 마스크 모델(342)을 이용할 수 있다. 예비 샷 리스트(310)는 레티클에 대한 원하는 패턴을 근사화하는 레티클 상의 예비 패턴을 형성할 수 있다. 일 실시예에서, 예비 샷 리스트(310)는 이상적인 마스크 설계(306)에 비해, 예를 들어, 마스크 상의 4nm의 타겟 정확도를 가질 수 있다. 다른 실시예에서, 타겟 샷 카운트는 예를 들어, 최종의 아직-결정되지 않은 샷 카운트의 2% 내일 수 있다.
단계(312)에서, OPC 최적화가 수행된다. OPC 최적화는 예비 샷 리스트(310) 및 물리적 설계(302) 둘 다를 입력한다. OPC 최적화(312)는 본 개시에서 "이중-시뮬레이션"으로서 정의될 수 있는 2개의 시뮬레이션 서브-단계들을 이용한다. 최종 마스크 모델(346) 및 기판 모델(340)은 OPC 최적화(312)에서 2개의 시뮬레이션 서브-단계들에 의해 이용된다. OPC 최적화(312)의 상세들이 도 4 및 아래의 연관된 설명에서 보다 상세히 설명된다. 몇몇 실시예들에서, 최종 마스크 모델(346) 및 예비 마스크 모델(342)은 동일할 수 있다. 몇몇 실시예들에서, OPC 최적화(312)는 샷 카운트를 감소시킬 수 있다. OPC 최적화(312)의 출력은 최적화된 샷 리스트(314)이다. 일 실시예에서, 최적화된 샷 리스트(314)의 타겟 샷 카운트는 최종 샷 카운트의 1% 이내일 수 있다. 다른 실시예에서, 최적화된 샷 리스트는 웨이퍼 상에서 1nm의 타겟 정확도를 가질 수 있다.
PEC(proximity effect correction) 개선 단계(320)에서, 최적화된 샷 리스트(314) 내의 샷들의 샷 조사량들은 후방 산란, 부하, 포깅(fogging) 및 레지스트 충전(resist charging)을 포함할 수 있는 원거리 효과들(long-range effect)을 고려하도록 조절된다. PEC 개선(320)의 출력은 조절된 조사량들(322)을 갖는 최종 샷 리스트이다. 조절된 조사량들을 갖는 최종 샷 리스트(322)는 전자 빔 기록기 시스템과 같은 하전 입자 빔 기록기를 이용하는 마스크 기록 단계(324)에서 레티클을 생성하는데 이용된다. 이용되고 있는 하전 입자 빔 기록기의 타입에 의존하여, PEC 개선(320)은 하전 입자 빔 기록기에 의해 수행될 수 있다. 마스크 기록 단계(324)는 단일 노출 패스 또는 다중 노출 패스들을 포함할 수 있다. 전자 빔 기록기 시스템은 단계(326)에서 도시된 바와 같이 레티클 상의 패턴들을 포함하는 마스크 이미지를 형성하도록 스텐실을 통해 전자들의 하나 이상의 빔들을 레티클 상에 투사한다. 다양한 프로세싱 단계들(예시되지 않음) 이후에, 완료된 레티클은 이어서 레지스트 상에 잠재 이미지(330)를 생성하도록 실리콘 웨이퍼와 같은 레지스트-코팅 기판을 노출하기 위해 단계(328)에서 도시된 광학 리소그라피 머신에서 이용될 수 있다. 노출된 기판은 이어서 기판 상에 패턴(334)을 생성하도록 레지스트 베이킹 및 레지스트 현상과 같은 부가적인 레지스트 프로세싱 단계들(332)을 거친다.
도 4는 OPC 최적화 단계(312)의 일 실시예의 세부사항들을 예시하는 개념적 흐름도(400)이다. 프로세스(400)로의 일 입력은 도 3의 물리적 설계(302)에 대응하는 물리적 설계(402)이다. 단계(434)에서, 물리적 설계(402)는 원하는 웨이퍼 이미지(436)를 결정하도록 프로세싱된다. 일 실시예에서, 이 프로세싱은 물리적 설계 패턴들에서 코너들(내부 및 외부 둘 다의 코너들)을 라운딩하는 것을 포함한다. 다른 실시예들에서, 다른 프로세싱이 단계(434)에서 물리적 설계(402)의 패턴들 상에서 행해질 수 있다. 또 다른 실시예들에서, 물리적 설계(402)는 그 자체가 원하는 웨이퍼 이미지(436)로서 이용된다. 프로세스(400)에 대한 다른 입력은 도 3의 예비 샷 리스트(310)에 대응하는 예비 샷 리스트(404)이다. 단계(406)에서, 하전 입자 빔 시뮬레이션은 계산된 마스크 이미지(408)를 계산한다. 하전 입자 빔 시뮬레이션(406)은 도 3의 최종 마스크 모델(346)에 대응하는 최종 마스크 모델(430)을 이용한다. 하전 입자 빔 시뮬레이션(406)의 출력은 계산된 마스크 이미지(408)이다. 리소그라피 시뮬레이션(410)은 이어서 웨이퍼(412) 상의 계산된 패턴을 계산하며, 이는 계산된 마스크 이미지(408)를 포함하는 포토마스크 또는 레티클을 이용하는 광학 리소그라피 프로세스를 통해 레지스트-코팅 웨이퍼 상에 이미징될 공중 이미지(aerial image) 또는 패턴이다. 리소그라피 시뮬레이션(410)은 도 3의 기판 모델(340)에 대응하는 기판 모델(432)을 이용한다. 리소그라피 시뮬레이션(410)은 기판 레지스트 상의 계산된 공중 이미지의 공중 세기 정보(aerial intensity information)(416)를 또한 출력할 수 있다. 일 실시예에서, 단계(414)에서, 웨이퍼 상의 계산된 패턴(412)은, 계산된 웨이퍼 패턴(412) 및 원하는 웨이퍼 이미지(436)가 서로의 미리-결정된 허용오차 내에 있는지를 결정하기 위해 원하는 웨이퍼 이미지(436)에 비교될 수 있다. 다른 실시예들에서, 이미지 품질 측정들(418)은 계산된 웨이퍼 패턴(412) 및/또는 공중 세기 정보(416) 상에서 이루어질 수 있다. 이미지 품질 측정 단계(418)에서 측정될 수 있는 파라미터들은 CD(critical dimension), CDU(critical dimension uniformity), DOF(depth of focus), EL(exposure latitude) 및 PV(process variation) 대역을 포함한다. 다른 실시예들에서, MEEF(mask error enhancement factor)는 계산된 마스크 이미지(408)를 이용하여 단계(420)에서 계산되고 리소그라피 시뮬레이션(410)으로부터 출력될 수 있다. 몇몇 측정들은, 하전 입자 빔 시뮬레이션(406) 및/또는 리소그라피 시뮬레이션(410)이 이를 테면, 프로세스 변화들의 효과를 측정하기 위해 하나 초과의 제조 조건에 대해 실행될 것을 요구할 수 있다. 상이한 제조 조건들은 최종 마스크 모델(430) 및 기판 모델(432)의 상이한 변화들로서 반영될 수 있다. 유사하게, 몇몇 측정들은 최종 마스크 모델(430)에 의해 결정된 바와 같은 1개 초과의 제조 조건들에 대해 하전 입자 빔 시뮬레이션(406)이 실행될 것을 요구할 수 있다. 웨이퍼 패턴 비교(414), 이미지 품질 측정(418) 및/또는 MEEF 측정(420)은 시뮬레이션된 샷들이 원하는 허용오차(들) 내에 있는지를 결정하는 조건적인 단계(422)에 통신된다. 샷들이 원하는 허용오차 내에 있지 않은 경우, 샷들의 수정된 세트에 의해 형성되는 패턴이 원하는 기판 패턴에 보다 근접하게 근사화하게 되도록 샷 리스트가 단계(424)에서 수정된다. 단계(424)에서 수정되는 샷 리스트는 예비 샷들일 수 있거나 샷들의 예비 세트로부터 유도될 수 있다. 샷 수정(424)은 예를 들어, 기존의 샷의 위치, 또는 형상 또는 조사량을 변경하는 것을 포함할 수 있다. 샷 수정(424)은 또한 샷들을 삭제하고 샷들을 부가하는 것을 포함할 수 있다. 몇몇 실시예들에서, 요구되는 샷 수정들이 직접적으로 계산될 수 있어서, 샷 리스트 수정(424)의 결과는 최적화된 샷 리스트(426)이다. 다른 실시예들에서, 샷 리스트 수정(424)은 반복적일 수 있으며, 이 경우에, 샷 리스트 수정(424)은 하전 입자 빔 시뮬레이션(406)으로 역으로 샷들의 개정된 세트를 출력한다. 조건적 단계(422)에서 이용된 허용오차는 기판 또는 포토마스크의 프로세싱에서의 변경들로 인해 변경될 수 있다. 결국, 조건적 단계(422)는 원하는 허용오차들이 도달되었다고 결정하여서, 현재 샷 리스트는 최적화된 샷 리스트(426)로서의 출력이 된다. 일 실시예에서, 최적화된 샷 리스트(426)의 타겟 샷 카운트는 최종 샷 카운트의 1% 이내일 수 있다.
도 5는 도 2의 흐름(250)에서 마스크 + 기판 모델(282)과 같은 마스크 + 기판 모델을 생성하기 위한 종래 기술의 방법의 개념적 흐름도(500)를 예시한다. 제 1 단계(502)에서, 특정된 테스트 패턴들이 설계된다. 단계(502)의 출력은 마스크 설계(504)이다. 결과적인 마스크 설계(504)는 MDP(mask data preparation) 단계(506)에서 하전 입자 빔 샷들로 프랙쳐링된다. 종래에는, 샷 조사량들이 지정되지 않거나 모든 지정된 샷 조사량들이 동일한 경우, 비-오버랩핑 VSB 샷들이 MDP 단계(506)에서 생성된다. MDP(506)의 출력은 샷 리스트(508)이다. PEC(proximity effect correction) 개선 단계(510)에서, 샷 리스트(508) 내의 샷들의 샷 조사량들은 후방 산란, 부하, 포깅 및 레지스트 충전을 포함할 수 있는 원거리 효과들을 고려하도록 조절된다. PEC 개선(510)의 출력은 조절된 조사량들(512)을 갖는 최종 샷 리스트이다. 최종 샷 리스트(512)는 전자 빔 기록기 시스템과 같은 하전 입자 빔 기록기를 이용하는 레티클 기록 단계(514)에서 표면을 생성하는데 이용된다. 이용되는 하전 입자 빔 기록기의 타입에 의존하여 PCD 개선(510)이 하전 입자 빔 기록기에 의해 수행될 수 있다. 레티클 기록 단계(514)는 단일 노출 패스 또는 다중 노출 패스들을 포함할 수 있다. 전자 빔 기록기 시스템은 레티클 상에 이미지를 형성하기 위해 스텐실을 통해 하나 이상의 전자 빔들을 표면에 투사한다. 이어서 이미지를 포함하는 레티클은 다양한 레티클 프로세싱 단계들(516)을 거치며, 이러한 다양한 레티클 프로세싱 단계들(516)을 통해, 레티클은 포토마스크(518)가 된다. 포토마스크(518)는 실리콘 웨이퍼와 같은 레지스트-코팅 기판 상에 잠재 이미지를 형성하기 위해 광학 리소그라피 머신(520)에서 시용된다. 잠재 이미지(522)를 포함하는 레지스트-코팅 표면은 이어서 레지스트(526) 상에 패턴들을 형성하도록 이를 테면, 베이킹 및 현상에 의해 단계(524)에서 프로세싱된다. 단계(528)에서, 다양한 측정들이 기판 상의 프로세싱된 레지스트 코팅 상의 패턴들 상에서 이루어진다. 이들 측정들은 모델 교정 단계(540)로의 입력들 중 하나이다.
마스크 설계(504)는 또한 레지스트 상의 원하는 웨이퍼 이미지를 계산하는 단계(530)에 대한 입력이다. 단계(530)는 마스크 설계 패턴들 상의 코너들, 이를 테면 90도 코너들의 라운딩을 포함할 수 있다. 단계(530)는 고속 3-차원 근접 필드 이미지 계산(fast 3-dimensional near field image calculation)을 또한 포함할 수 있다. 단계(530)의 결과는 원하는 잠재 웨이퍼 레지스트 이미지(532)이다. 단계(534)에서, 레지스트 프로세싱 단계들의 효과는 원하는 웨이퍼 레지스트 패턴(536)을 생성하기 위해 원하는 잠재 레지스트 이미지(532)의 패턴들 상에서 계산된다. 원하는 웨이퍼 레지스트 패턴(536)은 모델 교정 단계(540)에 대한 입력들 중 하나이다.
모델 교정 단계(540)는 마스크 + 기판 모델(542)을 생성하기 위해 테스트 패턴 측정들(528) 및 원하는 웨이퍼 레지스트 패턴(536)을 이용한다. 흐름(500)에서 알 수 있는 바와 같이, 모델링된 프로세스들은 하전 입자 빔 레티클 노출 및 마스크 프로세싱, 및 또한 잠재 이미지를 생성하기 위해 광학 리소그라피를 이용한 레지스트-코팅 기판으로의 마스크 패턴의 전사를 포함한다. 대조적으로, 레지스트 프로세싱 단계(524)는 그의 효과들이 단계(534)에서 미리-계산되기 때문에, 마스크 + 기판 모델(542)에 포함되지 않는다. 마스크 + 기판 모델(542)은 종래 기술의 흐름(250)에서의 마스크 + 기판 모델(282)에 대응한다.
마스크 + 기판 모델(542)의 종래 기술의 성공은 프로세스의 마스크-형성 부분이 비교적 잘-작동되게 할 것이어서, PEC 이전의 모든 형상들에 유사한 조사량을 제공하는 것은 마스크 상에 예측 가능한 형상을 생성할 수 있게 된다. 그러나 100 nm보다 더 적은 마스크 패턴들에 대해, 하전 입자 빔 노출 프로세스는 모든 패턴 형상들에 대한 유사한 조사량이 레티클 상에 예측 가능한 패턴을 생성하도록 그리 잘-작동하지 않는다. 부가적으로, 레티클 상에 변화되는 조사량들을 의도적으로 생성하는 MB-MDP가 이용될 때, 레티클/마스크 제조 효과들(하전 입자 빔 시뮬레이션을 포함함)은 마스크 또는 기판 효과들 중 어느 하나의 정확한 시뮬레이션을 허용하도록 광학 리소그라피와 같은 기판 제조 효과들로부터 분리되어야 한다.
종래 기술의 흐름(250)에서, 광학 리소그라피 및 기판 레지스트 효과들을 갖는 마스크 제조 효과들의 포함은, 하전 입자 빔 노출 프로세스가 PEC 개선(262) 이전에, 타겟 마스크 설계(256)의 모든 부분들에 일정한 조사량을 제공할 때 가장 잘 작동한다.
도 6은 흐름(300)의 최종 마스크 모델(346)의 생성을 위한 개념적 흐름도(600)이다. 단계(602)에서, 테스트 패턴들이 마스크 설계(604)를 생성하도록 설계된다. 결과적인 마스크 설계(604)는 MDP 단계(606)에서 하전 입자 빔 샷들로 프랙쳐링된다. 샷들은 할당되거나 할당되지 않은 샷 조사량들을 가질 수 있다. MDP의 출력은 샷 리스트(608)이다. PEC(proximity effect correction) 개선 단계(610)에서, 샷 리스트(608) 내의 샷들의 샷 조사량들은 후방 산란, 부하, 포깅 및 레지스트 충전을 포함할 수 있는 원거리 효과들을 고려하도록 조절된다. PEC 개선(610)의 출력은 조절된 조사량들(612)을 갖는 최종 샷 리스트이다. 최종 샷 리스트(612)는 전자 빔 기록기 시스템과 같은 하전 입자 빔 기록기를 이용하는 레티클 기록 단계(614)에서 표면을 생성하는데 이용된다. 이용되고 있는 하전 입자 빔 기록기의 타입에 의존하여 PEC 개선(610)은 하전 입자 빔 기록기에 의해 수행될 수 있다. 레티클 기록 단계(614)는 단일 노출 패스 또는 다중 노출 패스들을 포함할 수 있다. 전자 빔 기록기 시스템은 레티클 레지스트 상에 잠재 이미지를 형성하기 위해 스텐실을 통해 하나 이상의 전자 빔들을 레지스트-코팅 레티클 표면으로 투사한다. 레지스트 프로세싱 단계(616)는 레지스트 상의 잠재 이미지를 레지스트 이미지(618)로 변환한다. 이미지를 포함하는 레티클은 이어서 에칭 프로세싱 단계들(620) 및 가능하게는 다른 프로세싱 단계들을 겪으며, 이들을 통해, 이 레티클은 포토마스크(622)가 된다.
제 1 실시예에서, 레티클 레지스트 이미지(618) 상의 패턴들은 단계(626)에서 측정될 수 있고, 이들 측정들은 레티클 모델 교정 단계(628)에서 이용된다. 제 2 실시예에서, 완료된 포토마스크(622) 상의 패턴들은 단계(624)에서 측정되고, 측정 결과들은 마스크 모델 교정 단계(646)에서 이용된다.
마스크 설계(604)는 또한 레티클 상의 원하는 공중 이미지를 계산하는 단계(634)에 대한 입력이다. 단계(634)의 결과는 원하는 잠재 레티클 이미지(636)이다. 단계(638)에서, 레티클 레지스트 프로세싱의 효과들은 원하는 레티클 레지스트 패턴(640)을 생성하도록 원하는 잠재 레티클 이미지(636)의 패턴들 상에서 계산된다. 이전의 단락에서 설명된 제 1 실시예에서, 원하는 레티클 레지스트 패턴(640)은 모델 교정 단계(628)에 대한 입력들 중 하나이다. 이전의 단락의 제 2 실시예에서, 에칭 프로세싱의 결과들이 단계(642)에서 계산되어서 모델 교정 단계(646)에 대한 입력이 되는 원하는 마스크 패턴(644)을 생성한다.
제 1 실시예에서, 레티클 모델 교정 단계(628)는 상세한 레티클 레지스트 모델(630)을 생성한다. 에칭 계산 단계(632)는 최종 마스크 모델(648)을 생성하기 위해 에칭의 효과들을 계산한다. 에칭 계산은 단순 바이어스(simple bias)일 수 있거나, 또는 보다 복잡한 계산일 수 있다. 제 2 실시예에서, 마스크 모델 교정 단계(646)에 대한 양자의 입력들은 이미 레티클 에칭 효과들을 포함하기 때문에, 마스크 모델 교정(646)은 최종 마스크 모델(648)을 직접 생성한다. 최종 마스크 모델(648)은 도 3의 흐름(300)에서 최종 마스크 모델(346)에 대응한다. 최종 마스크 모델(346)에 포함될 수 있는 물리적 현상은 전방 산란, 후방 산란, 쿨롱 효과(Coulomb effect), 포깅, 레지스트 충전, 부하, 레지스트 베이킹, 레지스트 현상 및 레지스트 에칭을 포함한다.
흐름(300)의 예비 마스크 모델(342)은 흐름(600)과 유사한 흐름을 통해 생성될 수 있다. 단순 마스크 모델의 생성에 있어서, 모델은 최종 마스크 모델(346)을 컴퓨팅하는데 더 단순하고 더 빠르게 되도록 강요될 수 있다. 일 실시예에서, 예비 마스크 모델(342)은 단일 가우시안이다.
도 7은 다른 실시예에 따라 기판 모델을 생성하기 위한 흐름(700)을 예시하는 개념적 흐름도이다. 제 1 단계(702)에서, 테스트 패턴들이 설계된다. 이들 패턴들은 마스크 제조 및 광학 리소그라피를 통한 레지스트-코팅 기판으로의 전사 이후에 측정되는 형상들을 포함한다. 단계(702)의 출력은 마스크 설계(704)이다. 결과적인 마스크 설계(704)는 MDP 단계(706)에서 하전 입자 빔 샷들로 프랙쳐링된다. 샷들은 지정되거나 지정되지 않은 조사량들을 가질 수 있다. MDP(706)의 출력은 샷 리스트(708)이다. PEC(proximity effect correction) 개선 단계(710)에서, 샷 리스트(708) 내의 샷들의 샷 조사량들은 후방 산란, 부하, 포깅 및 레지스트 충전을 포함할 수 있는 원거리 효과들을 고려하도록 조절된다. PEC 개선(710)의 출력은 조절된 조사량들(712)을 갖는 최종 샷 리스트이다. 최종 샷 리스트(712)는 전자 빔 기록기 시스템과 같은 하전 입자 빔 기록기를 이용하는 레티클 기록 단계(714)에서 표면을 생성하는데 이용된다. 이용되고 있는 하전 입자 빔 기록기의 타입에 의존하여 PEC 개선(710)은 하전 입자 빔 기록기에 의해 수행될 수 있다. 레티클 기록 단계(714)는 단일 노출 패스 또는 다중 노출 패스들을 포함할 수 있다. 전자 빔 기록기 시스템은 레티클 레지스트 상에 이미지를 형성하기 위해 스텐실을 통해 하나 이상의 전자 빔들을 표면으로 투사한다. 이미지를 포함하는 레티클은 이어서 다양한 프로세싱 단계들(716)을 겪으며, 이 다양한 프로세싱 단계들(716)을 통해 이 레티클은 포토마스크(718)가 된다. 포토마스크(718)는 실리콘 웨이퍼와 같은 레지스트-코팅 기판 상에 잠재 이미지를 형성하도록 광학 리소그라피 머신(720)에서 이용된다. 잠재 이미지(722)를 포함하는 레지스트-코팅 표면은 이어서 레지스트(726) 상에 패턴들을 형성하도록, 이를 테면, 베이킹 및 현상에 의해 단계(724)에서 프로세싱된다. 단계(728)에서, 다양한 측정들은 기판 상에 프로세싱되는 레지스트 코팅 상의 패턴들 상에서 이루어진다. 이들 측정들은 기판 모델 교정 단계(744)에 대한 입력들 중 하나이다.
마스크 설계(704)는 또한 이를 테면, 하전 입자 빔 시뮬레이션을 이용함으로써 예상된 마스크 이미지를 계산하는 단계(730)에 대한 입력이다. 계산은 일 실시예에서, 흐름(600)의 최종 마스크 모델(648)에 대응하는 이전에-결정된 마스크 모델(732)을 이용한다. 단계(730)의 출력은 예상된 마스크 이미지(734)이다. 단계(736)에서, 예상된 마스크 이미지는 레지스트 상에 원하는 이미지를 계산하는데 이용된다. 단계(736)는 마스크 설계 패턴들 상의 코너들, 이를 테면, 90도의 코너들의 라운딩을 포함한다. 단계(736)는 고속 3-차원 근접 필드 이미지 계산(fast 3-dimensional near field image calculation)을 또한 포함할 수 있다. 단계(736)의 결과는 원하는 잠재 레지스트 이미지(738)이다. 단계(740)에서, 레지스트 프로세싱 단계들의 효과는 원하는 웨이퍼 레지스트 패턴(742)을 생성하기 위해 원하는 잠재 레지스트 이미지(738)의 패턴들 상에서 계산된다. 원하는 웨이퍼 레지스트 패턴(742)은 기판 모델 교정 단계(744)에 대한 입력들 중 하나이다.
기판 모델 교정 단계(744)는 기판 모델(748)을 생성하도록 테스트 패턴 측정들(728) 및 원하는 웨이퍼 패턴(742)을 이용한다. 기판 모델(748)은 흐름(300)의 기판 모델(340)에 대응한다. 마스크 + 기판 모델을 생성하는 종래 기술의 흐름(500)에 비해, 원하는 웨이퍼 레지스트 패턴(742)은 예상된 마스크 이미지(734)로부터 계산된다. 흐름(500)에서, 원하는 웨이퍼 레지스트 패턴(536)이 마스크 설계(504)로부터 직접 계산된다. 흐름(700)으로의 단계(730)의 부가(이는 미리-계산된 마스크 모델(732)을 요구함)는 전방 산란, 후방 산란, 쿨롱 효과와 같은 마스크 효과들을 포함하지 않는 기판 모델(748)의 생성을 허용한다. 다른 방법을 언급하면, 계산된 마스크 효과를 원하는 웨이퍼 레지스트 패턴(742) 내에 포함시킴으로써, 기판 모델 교정 단계(744)는 그의 데이터 소스들 양자 - 웨이퍼 레지스트 측정들(728) 및 원하는 웨이퍼 레지스트 패턴(742)에 관한 마스크 효과들을 알게 된다. 마스크 모델(732)이 정확한 결과로, 원하는 웨이퍼 레지스트 패턴(742)에 포함되는 모델링된 마스크 효과들은 웨이퍼 레지스트 측정들(728)에 포함되는 레티클 기록(714) 및 레티클 프로세싱(716)으로부터의 실제 마스크 효과들과 동일할 것이어서, 마스크 효과들은 모델 교정 단계(744) 동안 웨이퍼 레지스트 측정들(728)로부터 본질적으로 차감되며, 이는 마스크 효과들에 의해 영향을 받지 않고 이를 고려하지 않는 기판 모델(748)을 발생시킨다.
도 3의 예시적인 흐름(300)을 재차 참조하면, 마스크 효과들을 포함하지 않는 기판 모델(340)을 이용 가능하게 함으로써, 이상적인 ILT 단계(304)는 이어서 이상적인 마스크 설계(306)를 컴퓨팅할 수 있다. 예비 슬롯 생성(308) 단계는 슬롯 생성을 위해 예비 마스크 모델(342)을 이용한다. 그러므로, 종래 기술의 흐름(250)의 마스크 + 기판 모델(282)에서 발생할 것과 같이 이상적인 ILT(304)에 대해 이용되는 모델 내로의 마스크 효과들의 포함은 마스크 효과들을 부정확하게 이중-보상할 것이다. 비교하면, 종래 기술의 흐름(250)에서, ILT OPC(254)의 출력인 타겟 마스크 설계(256)는 마스크 효과들의 보상을 포함하는데, 이는 마스크 + 기판 모델(282)이 하전 입자 빔 효과들은 물론 광학 리소그라피 및 웨이퍼 효과들을 포함하는 마스크 효과들을 포함하기 때문이다.
도 8은 본 개시의 다른 실시예에 따른, 광학 리소그라피를 사용하여 실리콘 웨이퍼와 같은 기판들 상에 패턴들을 형성하기 위한 개념적인 흐름도(800)이다. 제 1 단계(802)에서, 집적 회로의 물리적 설계와 같은 물리적 설계가 생성된다. 이것은, 집적 회로의 물리적 설계와 같은 물리적 설계에서 발견되도록 요구되는 논리 게이트들, 트랜지스터들, 금속층들 및 다른 아이템들을 결정하는 것을 포함할 수 있다. 다음에, 단계(804)에서, 이상적인 마스크 설계(806)를 생성하기 위해, ILT를 이용하여 물리적 설계(802) 내의 패턴들 또는 물리적 설계 내의 패턴들의 일부분 상에서 OPC가 행해진다. OPT/ILT 프로세스는 흐름(250)의 단계(254)에서와 같은 직선화를 이용하는 것이 아니라, 오히려 이상적인 마스크 설계(806)에서 이상적인 - 그리고 통상적으로 곡선 - 패턴들을 생성한다. 이상적인 ILT 단계(804)는, 흐름(250)에서와 같이 리소그라피 및 기판 프로세싱 효과들을 통합하지만 하전 입자 빔 노출 및 레티클 프로세싱 효과들을 통합하지 않는 기판 모델(840)을 사용한다. 기판 프로세싱 효과들은, 예를 들면, 기판 레지스트 베이크(bake) 및 기판 레지스트 현상(development)을 포함할 수 있다. 그러므로, 이상적인 마스크 설계(806)는 실제로 마스크에 대한 컴퓨팅된 타겟 패턴이다.
MDP 단계(808)에서, 이상적인 마스크 설계(806)가 하전 입자 빔 샷들(810)의 세트로 프랙쳐링된다. MDP 단계(808)는 샷들의 세트로 기판 상에 형성되는 패턴을 결정하도록 이중 시뮬레이션을 이용한다. 이중 시뮬레이션은 2-부분 프로시저이다. 제 1 부분에서, 마스크 모델(842)은 샷들의 세트로 레티클 상에 생성될 패턴을 계산하는데 이용된다. 제 2 부분에서, 기판 모델(840)은 계산된 레티클 패턴을 이용하여 기판 상에 형성될 패턴을 계산하는데 이용된다. 하전 입자 빔 시뮬레이션은 마스크 모델을 이용하여 레티클 패턴을 계산하는데 이용될 수 있다. 리소그라피 시뮬레이션은 기판 모델을 이용하여 기판 패턴을 계산하는데 이용될 수 있다. 기판 모델(840)은 광학 리소그라피 효과들을 포함할 수 있고, 레지스트 베이크 및 레지스트 현상과 같은 레지스트 효과들을 또한 포함할 수 있다. MDP(808)는 계산된 레티클 패턴과 물리적 설계(802)의 비교를 포함하고, 기판 패턴의 CD, 기판 패턴의 CDU(critical dimension uniformity), 기판 패턴의 DOF(depth of focus), 기판 패턴의 노출 허용도(exposure latitude), 레티클 패턴의 PV(process variation) 대역 또는 레티클 패턴의 MEEF(mask error enhancement factor)의 최적화를 포함할 수 있다. MDP(808)는 샷 리스트(810)를 출력한다. MDP(808)는 또한 기판 레지스트 패턴에 대해, 패턴의 부분 또는 전체 패턴 중 어느 하나에 대해 계산된 공중 세기 정보(844)를 출력할 수 있다.
PEC(proximity effect correction) 개선 단계(820)에서, 샷 리스트(810) 내의 샷들의 샷 조사량들은 원거리 효과들을 처리하도록 조절되고, 원거리 효과는 후방 산란, 부하, 포깅 및 레지스트 충전을 포함할 수 있다. PEC 개선(820)의 출력은 조절된 조사량들(822)을 갖는 마지막 샷 리스트이다. 조절된 조사량들을 갖는 마지막 샷 리스트(822)는, 전자 빔 기록기 시스템과 같은 하전 입자 빔 기록기를 사용하는 마스크 기록 단계(824)에서 표면을 생성하는데 사용된다. 사용되는 하전 입자 빔 기록기의 타입에 의존하여, PEC 개선(820)은 하전 입자 빔 기록기에 의해 수행될 수 있다. 마스크 기록 단계(824)는 단일 노출 패스 또는 다수의 노출 패스를 포함할 수 있다. 전자 빔 기록기 시스템은 단계(826)에 도시된 바와 같이 표면 상에 패턴들을 포함하는 마스크 이미지를 형성하기 위해 스텐실을 통해 전자들의 하나 이상의 빔들을 표면으로 투사한다. 부가적인 프로세싱 단계들(예시되지 않음) 후에, 완료된 표면은, 이어서 레지스트 상에 잠재 이미지(830)를 생성하도록 실리콘 웨이퍼와 같은 레지스트-코팅 기판을 노출시키기 위해, 단계(828)에 도시된 광학 리소그라피 머신에서 사용될 수 있다. 이어서, 노출된 기판은 기판 상에 패턴(834)을 생성하기 위해 레지스트 베이킹 및 레지스트 현상과 같은 부가적인 레지스트 프로세싱 단계들(832)을 겪는다.
도 9는 기존의 샷 리스트를 최적화하기 위한 개념적인 흐름도(900)이다. 흐름(900)은 원하는 레티클 패턴(902) 및 예비 샷 리스트(904)를 갖고 시작되고, 여기서 예비 샷 리스트(904)는 원하는 레티클 패턴(902)을 대략적으로 형성할 수 있다. 몇몇 실시예들에서, 예비 샷 리스트(904)는 단일 가우시안 모델과 같은 예비 마스크 모델(932)을 이용하여 MDP/프랙쳐링 단계(930)에서 이전에 생성되었을 것이다. MDP 단계(910)는, 원래의 샷 리스트(904)보다 더 정확하게 원하는 레티클 패턴(902)을 형성할 수 있는 결과적인 최적화된 샷 리스트(912)를 생성하기 위해 원래의 하전 입자 빔 샷 리스트(904) 내의 샷들을 수정한다. 최적화된 샷 리스트(912) 내의 샷들은 오버랩할 수 있고, 상이한 할당된 조사량들을 가질 수 있다. MDP 단계(910)는 최종 마스크 모델(906)을 이용하여 원래의 및/또는 수정된 샷 리스트들로부터 레티클 패턴을 계산한다. PEC(proximity effect correction) 개선 단계(914)에서, 최적화된 샷 리스트(912) 내의 샷들의 샷 조사량들은 원거리 효과들을 처리하도록 조절되고, 원거리 효과는 후방 산란, 부하, 포깅, 레지스트 충전 및 다른 원거리 효과들을 포함할 수 있다. PEC 개선(914)의 출력은 마지막 샷 리스트(916)이다. 마지막 샷 리스트(916)는, 전자 빔 기록기 시스템과 같은 하전 입자 빔 기록기를 사용하는 마스크 기록 단계(918)에서 표면을 생성하는데 사용된다. 사용되는 하전 입자 빔 기록기의 타입에 의존하여, PEC 개선(914)은 하전 입자 빔 기록기에 의해 수행될 수 있다. 마스크 기록 단계(918)는 단일 노출 패스 또는 다수의 노출 패스를 포함할 수 있다. 전자 빔 기록기 시스템은 단계(920)에 도시된 바와 같이 표면 상에 패턴들을 포함하는 마스크 이미지를 형성하기 위해 전자들의 하나 이상의 빔을 표면으로 투사한다. 부가적인 프로세싱 단계들(예시되지 않음) 후에, 완료된 표면은 이어서, 레지스트 상에 잠재 이미지(924)를 생성하도록 실리콘 웨이퍼와 같은 레지스트-코팅 기판을 노출시키기 위해, 단계(922)에 도시된 광학 리소그라피 머신에서 사용될 수 있다. 이어서, 노출된 기판은 기판 상에 패턴(928)을 생성하기 위해 레지스트 베이킹 및 레지스트 현상과 같은 부가적인 레지스트 프로세싱 단계들(926)을 겪는다. 흐름(900)은, 예를 들면, 마스크 프로세스가 변경된 때 유용할 수 있는데, 왜냐하면 예비 샷 리스트(904)가 생성되어, 마스크 모델 변화를 야기하기 때문이고, 마스크 모델 변화는 개정된 마스크 프로세스에 대응하는 최종 마스크 모델(906)을 이용하여 예비 샷 리스트(904) 내의 샷들의 재최적화를 요구한다. 마스크 프로세스는 예를 들어, 마스크 프로세스 바이어스 변화일 수 있다.
본 명세서에서 설명되는 흐름들의 몇몇 실시예들은 MDP/샷 생성 동안 복잡한 CP(character projection) 캐릭터들을 이용할 수 있다. 특히, 순환 CP 캐릭터들이 이용될 수 있다.
본 개시에서 제시된 다양한 실시예들은 물리적 설계의 부분 상에 실현될 수 있다. 일 실시예에서, 레티클에 대한 완료된 원하는 패턴이 입력되고 샷들의 이전에-결정된 세트가 또한 입력된다. 레티클 패턴은 이전에-결정된 샷들의 세트를 이용하여 계산되고, 이어서 계산된 레티클 패턴은 레티클에 대한 원하는 패턴에 비교된다. 계산된 레티클 패턴과 원하는 레티클 패턴 간의 차이가 예를 들어, 2nm와 같은 미리-결정된 임계치를 초과하는 영역들에 대해, 샷들이 흐름(300) 또는 흐름(800)을 이용하여 재생성된다. 다른 실시예에서, 계산된 레티클 패턴과 원하는 레티클 패턴 간의 차이가 미리 결정된 임계치를 초과하는 영역들에 대해, 이들 영역들 내의 기존의 샷들이 흐름(900)을 통해 최적화된다.
본 명세서에서 설명되거나 참조되는 계산들은 다양한 방법들로 달성될 수 있다. 일반적으로, 계산들은 프로세스-중(in-process), 프로세스-전(pre-process) 또는 프로세스-후(post-process) 방법들에 의해 달성될 수 있다. 프로세스-중 계산은, 계산의 결과들이 요구되는 시간에 계산을 수행하는 것을 수반한다. 프로세스-전 계산은, 미리 계산하는 것 및 그 후 후속 프로세싱 단계 동안의 추후 리트리벌(retrieval)을 위해 결과들을 저장하는 것을 수반하고, 특히, 여러 회 반복될 수 있는 계산들에 대한 프로세싱 성능을 개선시킬 수 있다. 계산들은 또한 프로세싱 단계들로부터 지연될 수 있고, 그 다음, 추후에 프로세싱-후 단계에서 행해질 수 있다. 프로세싱-전 계산의 일례는, 샷 오버랩 부근에서 2개의 오버랩하는 샷들에 의해 형성된 패턴을 미리 계산하는 것이다. 프로세스-전 계산의 다른 예는, 주어진 입력 패턴 또는 입력 패턴 특징들의 세트와 연관된 하나 또는 그 초과의 샷들에 대한 조사량 패턴 정보의 사전 계산인 샷 그룹이다. 샷 그룹 및 연관된 입력 패턴은 미리 계산된 샷 그룹들의 라이브러리에 저장될 수 있어서, 샷 그룹을 포함하는 샷들의 세트는 입력 패턴의 추가적인 인스턴스들에 대해 패턴 재계산 없이 신속하게 생성될 수 있다. 몇몇 실시예들에서, 사전-계산은, 샷 그룹이 레지스트-코팅 표면 상에 생성할 조사량 패턴의 시뮬레이션을 포함할 수 있다. 다른 실시예들에서, 샷 그룹은, 예를 들어, 지능형 오류 제거 기술들(correct-by-construction techniques)을 사용함으로써 시뮬레이션 없이 결정될 수 있다. 일부 실시예들에서, 사전-계산된 샷 그룹들은 샷들의 리스트의 형태로 샷 그룹 라이브러리에 저장될 수 있다. 다른 실시예들에서, 사전 계산된 샷 그룹들은, 특정한 타입 또는 타입들의 입력 패턴들에 대한 샷들을 생성할 수 있는 컴퓨터 코드의 형태로 저장될 수 있다. 또 다른 실시예들에서, 복수의 미리 계산된 샷 그룹들이 테이블의 형태로 저장될 수 있고, 여기서, 테이블의 엔트리들은 패턴 폭과 같은 입력 패턴 특징들 또는 다양한 입력 패턴들에 대응하고, 여기서, 각각의 테이블 엔트리는 샷 그룹 내의 샷들의 리스트, 또는 샷들의 적절한 세트를 어떻게 생성할지에 대한 정보를 제공한다. 추가적으로, 상이한 샷 그룹들이 샷 그룹 라이브러리에 상이한 형태들로 저장될 수 있다. 몇몇 실시예들에서, 주어진 샷 그룹이 생성할 수 있는 조사량 패턴이 또한 샷 그룹 라이브러리에 저장될 수 있다. 일 실시예에서, 조사량 패턴은 글리프(glyph)로 지칭되는 2차원(X 및 Y) 조사량 맵으로서 저장될 수 있다.
본 개시에서 설명되는 프랙쳐링, 마스크 데이터 준비, 근접도 효과 보정 및 샷 그룹 생성 흐름들은, 적절한 컴퓨터 소프트웨어를 계산 디바이스들로서 갖는 범용 컴퓨터들을 이용하여 구현될 수 있다. 요구되는 대량의 계산들에 기인하여, 다수의 컴퓨터들 또는 프로세서 코어들이 또한 병렬로 이용될 수 있다. 일 실시예에서, 병렬 프로세싱을 지원하기 위해, 흐름 내의 하나 또는 그 초과의 계산-집약적 단계들에 대해, 계산들은 복수의 2차원 기하학적 영역들로 세분화될 수 있다. 다른 실시예에서, 범용 컴퓨터들 또는 프로세서 코어들을 이용하기보다는, 더 빠른 속도로 하나 또는 그 초과의 단계들의 계산들을 수행하기 위해, 단독으로 또는 다수로 이용되는 특수 목적 하드웨어 디바이스가 이용될 수 있다. 일 실시예에서, 특수 목적 하드웨어 디바이스는 그래픽 프로세싱 유닛(GPU)일 수 있다. 다른 실시예에서, 본 개시에서 설명되는 최적화 및 시뮬레이션 프로세스들은, 샷들의 총 수 또는 하전 입자 빔 기록 시간 전체, 또는 몇몇 다른 파라미터를 최소화하기 위해, 가능한 해결책들의 개정 및 재계산의 반복적 프로세스들을 포함할 수 있다. 또 다른 실시예에서, 어떠한 샷 변형들도 요구되지 않도록, 샷들의 초기 세트는 지능형 오류 제거 방법에서 결정될 수 있다.
명세서는 특정 실시예들에 대해 상세히 설명되었을지라도, 당해 기술에서 통상의 기술자들은 상술한 것을 이해하면, 이 실시예들에 대한 변경들, 변형들, 및 등가물들을 용이하게 인식할 수 있다는 점이 이해될 것이다. 프랙쳐링, 마스크 데이터 준비, 근접도 효과 보정 및 광학 근접도 보정을 위한 본 방법들에 대한 이러한 및 다른 수정들 및 변형들은 본 발명 대상의 사상 및 범위로부터 벗어나는 것 없이 당해 기술에서 통상의 기술자들에 의해 실시될 수 있으며, 이는 특히 첨부된 청구항들에 설명되어 있다. 더욱이, 당해 기술에서 통상의 기술자들은 상술한 설명이 단지 예에 의한 것이고, 제한될 의도가 없는 것을 이해할 것이다. 단계들은 본 발명의 범위로부터 벗어나는 것 없이 본 명세서의 단계들에 추가되거나, 본 명세서의 단계들로부터 제거되거나, 수정될 수 있다. 일반적으로, 제공되는 임의의 흐름도들은 기능을 달성하는 기본 동작들의 하나의 가능한 시퀀스를 표시하도록 단지 의도되고, 다수의 변형들이 가능하다. 따라서, 본 발명 대상은 첨부된 청구항들 및 그의 등가물들 범위 내에 있는 그러한 수정들 및 변형들을 커버하도록 의도된다.

Claims (75)

  1. 하전 입자 빔 리소그라피에서 이용하기 위한 MDP(mask data preparation)를 위한 방법으로서,
    기판 모델을 입력하는 단계;
    레티클 상에 패턴을 형성할 수 있는 하전 입자 빔 샷들의 초기 세트를 결정하는 단계;
    상기 샷들의 초기 세트를 사용하여 계산된 레티클 패턴을 계산하는 단계;
    상기 계산된 레티클 패턴으로 광학 리소그라피 프로세스를 이용하여 기판 상에 형성된 패턴을 계산하는 단계;
    상기 기판 상의 계산된 패턴에 기반하여 상기 샷들의 초기 세트를 최적화하는 단계
    를 포함하고,
    상기 기판 상에 형성된 패턴을 계산하는 단계는 리소그라피 시뮬레이션을 포함하고 상기 기판 모델을 사용하고, 상기 기판 모델은 하전 입자 빔 효과들 및 레티클 프로세싱 효과들을 배제하고(exclude), 상기 결정하는 단계는 컴퓨팅 하드웨어 디바이스를 이용하여 수행되며, 상기 샷들의 최적화된 세트는 레티클 상에 패턴을 형성하기 위해 사용되는 것인,
    하전 입자 빔 리소그라피에서 이용하기 위한 MDP를 위한 방법.
  2. 제 1 항에 있어서,
    상기 샷들의 최적화된 세트를 갖고 상기 레티클 상에 패턴을 형성하는 단계를 더 포함하는,
    하전 입자 빔 리소그라피에서 이용하기 위한 MDP를 위한 방법.
  3. 제 1 항에 있어서,
    상기 레티클 상의 계산된 패턴을 계산하는 단계는,
    하전 입자 빔 시뮬레이션을 포함하는,
    하전 입자 빔 리소그라피에서 이용하기 위한 MDP를 위한 방법.
  4. 제 1 항에 있어서,
    마스크 모델을 입력하는 단계
    를 더 포함하고,
    상기 레티클 상의 계산된 패턴을 계산하는 단계는 상기 마스크 모델을 이용하는,
    하전 입자 빔 리소그라피에서 이용하기 위한 MDP를 위한 방법.
  5. 삭제
  6. 삭제
  7. 제 1 항에 있어서,
    상기 기판 모델은,
    광학 리소그라피 효과들을 포함하는,
    하전 입자 빔 리소그라피에서 이용하기 위한 MDP를 위한 방법.
  8. 제 1 항에 있어서,
    상기 기판 모델은 기판 레지스트 베이크 및 기판 레지스트 현상으로 구성된 그룹으로부터 선택된 기판 프로세싱 효과를 포함하는,
    하전 입자 빔 리소그라피에서 이용하기 위한 MDP를 위한 방법.
  9. 제 1 항에 있어서,
    원하는 기판 패턴을 입력하는 단계; 및
    상기 기판 상의 계산된 패턴을 상기 원하는 기판 패턴에 비교하는 단계
    를 더 포함하는,
    하전 입자 빔 리소그라피에서 이용하기 위한 MDP를 위한 방법.
  10. 제 1 항에 있어서,
    상기 최적화하는 단계는 상기 기판 상에서 상기 계산된 패턴을 최적화하는 단계를 포함하는,
    하전 입자 빔 리소그라피에서 이용하기 위한 MDP를 위한 방법.
  11. 제 1 항에 있어서,
    상기 기판 상의 패턴에 대한 공중 세기 정보(aerial intensity information)를 출력하는 단계
    를 더 포함하는,
    하전 입자 빔 리소그라피에서 이용하기 위한 MDP를 위한 방법.
  12. 제 1 항에 있어서,
    상기 레티클 상의 패턴은 상기 레티클에 대한 완전한 패턴을 포함하는,
    하전 입자 빔 리소그라피에서 이용하기 위한 MDP를 위한 방법.
  13. 제 1 항에 있어서,
    상기 레티클 상의 패턴은 상기 레티클에 대한 완전한 패턴의 부분을 포함하는,
    하전 입자 빔 리소그라피에서 이용하기 위한 MDP를 위한 방법.
  14. 제 13 항에 있어서,
    상기 레티클에 대한 완전한 원하는 패턴을 입력하는 단계; 및
    상기 하전 입자 빔 샷들의 세트가 결정되는, 상기 레티클에 대한 완전한 원하는 패턴의 상기 부분을 식별하는 단계
    를 더 포함하는,
    하전 입자 빔 리소그라피에서 이용하기 위한 MDP를 위한 방법.
  15. 제 14 항에 있어서,
    하전 입자 빔 샷들의 제1 세트를 입력하는 단계
    를 더 포함하고,
    상기 레티클에 대한 완전한 원하는 패턴의 상기 부분을 식별하는 단계는,
    상기 하전 입자 빔 샷들의 제1 세트가 완전한 원하는 레티클 패턴의 미리-결정된 허용오차 내에 있는 패턴을 상기 레티클 상에서 생성할 수 없는, 상기 레티클에 대한 상기 완전한 원하는 패턴의 이들 영역들을 결정하는 단계를 포함하는,
    하전 입자 빔 리소그라피에서 이용하기 위한 MDP를 위한 방법.
  16. 제 1 항에 있어서,
    상기 최적화하는 단계는,
    상기 기판 상의 패턴의 CD(critical dimension), 상기 기판 상의 패턴의 CDU(critical dimension uniformity), 상기 기판 상의 패턴의 DOF(depth of focus), 상기 기판 상의 패턴의 EL(exposure latitude), 상기 기판의 패턴의 PV(process variation) 대역, 및 상기 기판 상의 패턴의 MEEF(mask error enhancement factor)로 구성된 그룹으로부터 선택된 파라미터를 최적화하는 단계를 포함하는,
    하전 입자 빔 리소그라피에서 이용하기 위한 MDP를 위한 방법.
  17. 제 1 항에 있어서,
    상기 레티클 상의 패턴은 집적 회로 설계의 부분을 포함하는,
    하전 입자 빔 리소그라피에서 이용하기 위한 MDP를 위한 방법.
  18. 하전 입자 빔 리소그라피에 이용하기 위한 OPC(optical proximity correction) 또는 MDP(mask data preparation)를 위한 방법으로서,
    하전 입자 빔 샷들의 세트를 입력하는 단계;
    상기 하전 입자 빔 샷들의 입력 세트로 레티클 상에 형성될 레티클 패턴을 계산하는 단계 ― 상기 레티클 패턴을 계산하는 단계는 마스크 모델을 사용하는 단계를 포함함 ―;
    상기 레티클에 대한 계산된 패턴으로 광학 리소그라피 프로세스를 이용하여 기판 상에 형성될 기판 패턴을 계산하는 단계; 및
    상기 기판 상의 계산된 패턴에 기반하여 상기 샷들의 입력 세트를 최적화하는 단계
    를 포함하고,
    상기 샷들의 최적화된 세트는 레티클 상에 패턴을 형성하기 위해 사용되는 것이고, 상기 기판 패턴을 계산하는 단계는 리소그라피 시뮬레이션을 포함하고 상기 기판 패턴을 계산하는 단계는 기판 모델을 사용하는 단계를 포함하고, 상기 기판 모델은 상기 마스크 모델에 포함된 모든 효과들을 배제하고, 상기 레티클 패턴을 계산하는 단계 및 상기 기판 패턴을 계산하는 단계는 컴퓨팅 하드웨어 디바이스를 이용하여 수행되는,
    OPC 또는 MDP를 위한 방법.
  19. 제 18 항에 있어서,
    상기 레티클 패턴을 계산하는 단계는,
    하전 입자 빔 시뮬레이션을 포함하는,
    OPC 또는 MDP를 위한 방법.
  20. 삭제
  21. 제 18 항에 있어서,
    상기 마스크 모델은,
    전방 산란(forward scattering), 후방(backward) 산란, 쿨롱 효과(Coulomb effect), 포깅(fogging), 부하(loading) 및 레티클 레지스트 충전(reticle resist charging)으로 구성된 그룹으로부터 선택된 적어도 하나의 효과를 포함하는,
    OPC 또는 MDP를 위한 방법.
  22. 제 18 항에 있어서,
    상기 마스크 모델은,
    가우시안(Gaussian)을 포함하는,
    OPC 또는 MDP를 위한 방법.
  23. 삭제
  24. 삭제
  25. 제 18 항에 있어서,
    상기 기판 모델은 광학 리소그라피, 기판 레지스트 베이크, 기판 레지스트 현상, 및 기판 레지스트 에칭으로 구성된 그룹으로부터 선택된 적어도 하나의 효과를 포함하는,
    OPC 또는 MDP를 위한 방법.
  26. 삭제
  27. 삭제
  28. 삭제
  29. 삭제
  30. 삭제
  31. 삭제
  32. 삭제
  33. 삭제
  34. 삭제
  35. 삭제
  36. 삭제
  37. 삭제
  38. 삭제
  39. 삭제
  40. 삭제
  41. 삭제
  42. 삭제
  43. 삭제
  44. 삭제
  45. 삭제
  46. 삭제
  47. 삭제
  48. 삭제
  49. 삭제
  50. 삭제
  51. 삭제
  52. 삭제
  53. 삭제
  54. 삭제
  55. 삭제
  56. 삭제
  57. 삭제
  58. 삭제
  59. 삭제
  60. 삭제
  61. 삭제
  62. 삭제
  63. 삭제
  64. 삭제
  65. 삭제
  66. 삭제
  67. 삭제
  68. 삭제
  69. 삭제
  70. 삭제
  71. 삭제
  72. 삭제
  73. 삭제
  74. 삭제
  75. 삭제
KR1020147032338A 2012-04-18 2013-04-15 하전 입자 빔 리소그라피를 이용하여 패턴들을 형성하기 위한 방법 및 시스템 KR102154105B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201261625789P 2012-04-18 2012-04-18
US61/625,789 2012-04-18
PCT/US2013/036669 WO2013158573A1 (en) 2012-04-18 2013-04-15 Method and system for forming patterns using charged particle beam lithograph

Publications (2)

Publication Number Publication Date
KR20150001840A KR20150001840A (ko) 2015-01-06
KR102154105B1 true KR102154105B1 (ko) 2020-09-09

Family

ID=49381343

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020147032338A KR102154105B1 (ko) 2012-04-18 2013-04-15 하전 입자 빔 리소그라피를 이용하여 패턴들을 형성하기 위한 방법 및 시스템

Country Status (5)

Country Link
US (4) US20130283217A1 (ko)
JP (1) JP6234998B2 (ko)
KR (1) KR102154105B1 (ko)
TW (1) TWI605306B (ko)
WO (1) WO2013158573A1 (ko)

Families Citing this family (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120219886A1 (en) 2011-02-28 2012-08-30 D2S, Inc. Method and system for forming patterns using charged particle beam lithography with variable pattern dosage
US7901850B2 (en) 2008-09-01 2011-03-08 D2S, Inc. Method and system for design of a reticle to be manufactured using variable shaped beam lithography
US8057970B2 (en) 2008-09-01 2011-11-15 D2S, Inc. Method and system for forming circular patterns on a surface
US8039176B2 (en) 2009-08-26 2011-10-18 D2S, Inc. Method for fracturing and forming a pattern using curvilinear characters with charged particle beam lithography
US8473875B2 (en) 2010-10-13 2013-06-25 D2S, Inc. Method and system for forming high accuracy patterns using charged particle beam lithography
US9341936B2 (en) 2008-09-01 2016-05-17 D2S, Inc. Method and system for forming a pattern on a reticle using charged particle beam lithography
US9323140B2 (en) 2008-09-01 2016-04-26 D2S, Inc. Method and system for forming a pattern on a reticle using charged particle beam lithography
US9448473B2 (en) 2009-08-26 2016-09-20 D2S, Inc. Method for fracturing and forming a pattern using shaped beam charged particle beam lithography
US9164372B2 (en) 2009-08-26 2015-10-20 D2S, Inc. Method and system for forming non-manhattan patterns using variable shaped beam lithography
US9612530B2 (en) 2011-02-28 2017-04-04 D2S, Inc. Method and system for design of enhanced edge slope patterns for charged particle beam lithography
US9057956B2 (en) 2011-02-28 2015-06-16 D2S, Inc. Method and system for design of enhanced edge slope patterns for charged particle beam lithography
WO2012148606A2 (en) 2011-04-26 2012-11-01 D2S, Inc. Method and system for forming non-manhattan patterns using variable shaped beam lithography
US9034542B2 (en) 2011-06-25 2015-05-19 D2S, Inc. Method and system for forming patterns with charged particle beam lithography
US9343267B2 (en) 2012-04-18 2016-05-17 D2S, Inc. Method and system for dimensional uniformity using charged particle beam lithography
US20140129997A1 (en) 2012-11-08 2014-05-08 D2S, Inc. Method and system for dimensional uniformity using charged particle beam lithography
US9038003B2 (en) 2012-04-18 2015-05-19 D2S, Inc. Method and system for critical dimension uniformity using charged particle beam lithography
WO2013158573A1 (en) 2012-04-18 2013-10-24 D2S, Inc. Method and system for forming patterns using charged particle beam lithograph
KR102029645B1 (ko) * 2013-01-14 2019-11-18 삼성전자 주식회사 맞춤형 마스크의 제조 방법 및 맞춤형 마스크를 이용한 반도체 장치의 제조 방법
US9170501B2 (en) * 2013-07-08 2015-10-27 GlobalFoundries, Inc. Methods for fabricating integrated circuits including generating photomasks for directed self-assembly
US9009634B2 (en) 2013-07-08 2015-04-14 GlobalFoundries, Inc. Methods for fabricating integrated circuits including generating photomasks for directed self-assembly
US9023730B1 (en) 2013-11-05 2015-05-05 GlobalFoundries, Inc. Methods for fabricating integrated circuits including generating e-beam patterns for directed self-assembly
TWI661265B (zh) * 2014-03-10 2019-06-01 美商D2S公司 使用多重射束帶電粒子束微影術於表面上形成圖案之方法
US11264206B2 (en) 2014-03-10 2022-03-01 D2S, Inc. Methods and systems for forming a pattern on a surface using multi-beam charged particle beam lithography
US20170097630A1 (en) * 2014-03-24 2017-04-06 President And Fellows Of Harvard College Shadow sphere lithography
US10025175B2 (en) 2014-09-12 2018-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system to prepare, manufacture and inspect mask patterns for a semiconductor device
US10395361B2 (en) 2015-08-10 2019-08-27 Kla-Tencor Corporation Apparatus and methods for inspecting reticles
CN107851315B (zh) * 2015-08-10 2020-03-17 科磊股份有限公司 用于预测晶片级缺陷可印性的设备及方法
US9747408B2 (en) * 2015-08-21 2017-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Generating final mask pattern by performing inverse beam technology process
US9965901B2 (en) * 2015-11-19 2018-05-08 KLA—Tencor Corp. Generating simulated images from design information
US10466586B2 (en) * 2016-11-29 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method of modeling a mask having patterns with arbitrary angles
KR101866857B1 (ko) * 2016-12-28 2018-06-14 한국과학기술원 웨이퍼 제조 공정 레벨의 포토리소그래피 클러스터 장치 시뮬레이션을 제공하는 시뮬레이션 장치 및 이를 이용한 시뮬레이션 방법
US10495967B2 (en) 2017-09-28 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of mask simulation model for OPC and mask making
US10942443B2 (en) 2017-11-15 2021-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method of mask data synthesis and mask making
JP7110044B2 (ja) 2018-09-14 2022-08-01 キオクシア株式会社 修正パターン生成装置、パターン欠陥修正システム、修正パターン生成方法、及び半導体装置の製造方法
US11764111B2 (en) * 2019-10-24 2023-09-19 Texas Instruments Incorporated Reducing cross-wafer variability for minimum width resistors
EP4055444A1 (en) * 2019-11-04 2022-09-14 Synopsys, Inc. Using mask fabrication models in correction of lithographic masks
US20220128899A1 (en) * 2020-10-22 2022-04-28 D2S, Inc. Methods and systems to determine shapes for semiconductor or flat panel display fabrication
KR20220090668A (ko) * 2020-12-22 2022-06-30 삼성디스플레이 주식회사 임계선폭 오차 관리방법 및 이를 이용한 포토마스크 제조방법
US11783110B2 (en) * 2021-07-30 2023-10-10 D2S, Inc. Method for reticle enhancement technology of a design pattern to be manufactured on a substrate
US11693306B2 (en) 2021-07-30 2023-07-04 D2S, Inc. Method for reticle enhancement technology of a design pattern to be manufactured on a substrate
KR20240038001A (ko) * 2021-07-30 2024-03-22 디2에스, 인코포레이티드 기판 상에 제작될 디자인 패턴의 레티클 증강 기술을 위한 방법

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004170410A (ja) 2002-11-21 2004-06-17 Fei Co 3次元構造の製作方法
JP2009004699A (ja) * 2007-06-25 2009-01-08 Nec Electronics Corp 半導体装置の製造方法及び半導体製造装置

Family Cites Families (186)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5425675A (en) 1977-07-28 1979-02-26 Nec Corp Electron beam exposure unit
JPS58105910U (ja) 1982-01-11 1983-07-19 オイレス工業株式会社 シ−ト屋根の開閉装置
JPS608844A (ja) 1983-06-29 1985-01-17 Pioneer Electronic Corp 電子ビームによるレジスト加工方法
JPS61105839A (ja) 1984-10-29 1986-05-23 Toshiba Corp 電子ビ−ム転写用マスク及びその製造方法
JPS61105839U (ko) 1984-12-18 1986-07-05
US4634871A (en) 1985-01-14 1987-01-06 Hughes Aircraft Company Method and apparatus for spot shaping and blanking a focused beam
US4698509A (en) 1985-02-14 1987-10-06 Varian Associates, Inc. High speed pattern generator for electron beam lithography
JPS637631A (ja) 1986-06-27 1988-01-13 Omron Tateisi Electronics Co 電子ビ−ム描画方法
GB2197751A (en) 1986-11-24 1988-05-25 Philips Electronic Associated Variable shaped spot electron beam pattern generator
US4818885A (en) 1987-06-30 1989-04-04 International Business Machines Corporation Electron beam writing method and system using large range deflection in combination with a continuously moving table
JPH0536595Y2 (ko) 1987-10-30 1993-09-16
US5173582A (en) 1988-10-31 1992-12-22 Fujitsu Limited Charged particle beam lithography system and method
JPH0620931Y2 (ja) 1989-03-28 1994-06-01 栃木富士産業株式会社 滑り制限差動装置
JPH02280315A (ja) 1989-04-20 1990-11-16 Mitsubishi Electric Corp 電子ビーム直接描画装置
JPH03205815A (ja) 1990-01-08 1991-09-09 Hitachi Ltd 可変整形絞り
JPH04137520A (ja) 1990-09-28 1992-05-12 Hitachi Ltd 電子線描画装置および描画方法
JPH04196516A (ja) 1990-11-28 1992-07-16 Seiko Epson Corp Eb露光方法および露光装置
IL97022A0 (en) 1991-01-24 1992-03-29 Ibm Israel Partitioning method for e-beam lithography
US5103101A (en) 1991-03-04 1992-04-07 Etec Systems, Inc. Multiphase printing for E-beam lithography
JPH0536595A (ja) 1991-08-02 1993-02-12 Fujitsu Ltd 電子線露光方法
JPH05267133A (ja) 1992-03-17 1993-10-15 Hitachi Ltd 斜め図形描画法
JPH05335221A (ja) 1992-05-28 1993-12-17 Fujitsu Ltd 荷電粒子線露光法および露光装置
JPH0620931A (ja) 1992-07-03 1994-01-28 Hitachi Ltd 電子ビーム露光方法
JP3288794B2 (ja) 1992-08-31 2002-06-04 株式会社東芝 荷電ビーム補正方法及びマーク検出方法
JPH0864522A (ja) 1994-06-16 1996-03-08 Nikon Corp 荷電粒子線転写方法
JP3203963B2 (ja) 1994-07-15 2001-09-04 株式会社日立製作所 電子線描画装置及び電子線描画方法
JP3340248B2 (ja) 1994-08-12 2002-11-05 沖電気工業株式会社 電子ビーム露光方法
JPH08195339A (ja) 1995-01-18 1996-07-30 Hitachi Ltd 電子ビーム描画方法
JPH08222504A (ja) 1995-02-14 1996-08-30 Hitachi Ltd 荷電粒子ビーム露光装置
JP3331822B2 (ja) 1995-07-17 2002-10-07 ソニー株式会社 マスクパターン補正方法とそれを用いたマスク、露光方法および半導体装置
JP3334441B2 (ja) 1995-08-01 2002-10-15 ソニー株式会社 フォトマスク描画用パターンデータ補正方法と補正装置
JP3940824B2 (ja) 1995-08-14 2007-07-04 株式会社ニコン 荷電粒子線によるパターン転写方法および転写装置
JP3038141B2 (ja) 1995-09-19 2000-05-08 ホーヤ株式会社 レジストパターン形成条件決定方法及びレジストパターン形成方法
JP2956577B2 (ja) 1996-03-28 1999-10-04 日本電気株式会社 電子線露光方法
US5825039A (en) 1996-11-27 1998-10-20 International Business Machines Corporation Digitally stepped deflection raster system and method of use thereof
JP3085454B2 (ja) 1997-03-13 2000-09-11 日本電気株式会社 荷電粒子線露光方法
JPH10294255A (ja) 1997-04-17 1998-11-04 Canon Inc 電子ビーム照明装置、および該電子ビーム照明装置を備えた露光装置
JP3350416B2 (ja) 1997-10-01 2002-11-25 株式会社東芝 パターン形成方法
JPH11233401A (ja) 1998-02-09 1999-08-27 Hitachi Ltd 電子線描画方法及び電子線描画装置
JP3993334B2 (ja) 1998-04-27 2007-10-17 株式会社東芝 荷電ビーム描画装置
WO1999056308A1 (fr) 1998-04-28 1999-11-04 Nikon Corporation Systeme d'exposition et procede de production d'un microdispositif
JP3564298B2 (ja) 1998-06-18 2004-09-08 株式会社東芝 計算機を用いたパターン評価方法およびパターン生成方法
JP2000066366A (ja) 1998-08-19 2000-03-03 Nec Corp フォトマスク及びその製造方法
JP3076570B2 (ja) 1998-08-24 2000-08-14 松下電子工業株式会社 荷電粒子描画方法及び荷電粒子描画装置
US6218671B1 (en) 1998-08-31 2001-04-17 Nikon Corporation On-line dynamic corrections adjustment method
JP2000091191A (ja) 1998-09-09 2000-03-31 Nikon Corp 電子線露光用のマスクと露光装置及び電子線露光方法
JP2000269123A (ja) 1999-03-19 2000-09-29 Toshiba Corp 露光パターンデータの生成方法と荷電ビーム露光装置
US6610989B1 (en) 1999-05-31 2003-08-26 Fujitsu Limited Proximity effect correction method for charged particle beam exposure
JP2001013671A (ja) 1999-06-30 2001-01-19 Toshiba Corp パターン形成方法
US6262427B1 (en) 1999-07-15 2001-07-17 Nikon Corporation Variable transmission reticle for charged particle beam lithography tool
JP4521076B2 (ja) * 1999-07-16 2010-08-11 株式会社東芝 パターン描画方法
JP2001093809A (ja) 1999-09-22 2001-04-06 Toshiba Corp パターン描画方法及び荷電ビーム描画装置
JP2001144008A (ja) 1999-11-17 2001-05-25 Nec Corp 電子線露光方法、並びにこれに用いるマスク及び電子線露光装置
US6320187B1 (en) 1999-12-07 2001-11-20 Nikon Corporation Magnification and rotation calibration patterns for particle beam projection system
KR100327343B1 (ko) 2000-01-12 2002-03-06 윤종용 전자빔 리소그래피시 재산란된 전자빔에 의한 선폭변화를보정하는 방법 및 이를 기록한 기록매체
JP2001305720A (ja) 2000-02-18 2001-11-02 Nikon Corp 被転写媒体の製造方法、被転写パターン形成プログラムを記憶した記憶媒体、及び半導体デバイスの製造方法
US6815693B2 (en) 2000-02-18 2004-11-09 Nikon Corporation Charged-particle-beam microlithography apparatus and methods including proximity-effect correction
JP2001313253A (ja) 2000-02-25 2001-11-09 Hitachi Ltd 電子線描画装置及び電子線描画方法
JP4196516B2 (ja) 2000-03-08 2008-12-17 株式会社島津製作所 液体クロマトグラフ
JP4522547B2 (ja) 2000-06-21 2010-08-11 大日本印刷株式会社 微細加工のシミュレーション方法
US6433348B1 (en) 2000-07-25 2002-08-13 Applied Materials, Inc. Lithography using multiple pass raster-shaped beam
JP2002050559A (ja) 2000-08-01 2002-02-15 Canon Inc 露光装置及びそれを用いたデバイスの製造方法
JP2002075830A (ja) 2000-08-29 2002-03-15 Nikon Corp 荷電粒子線露光方法、レチクル及びデバイス製造方法
US6372391B1 (en) 2000-09-25 2002-04-16 The University Of Houston Template mask lithography utilizing structured beam
JP3831188B2 (ja) 2000-09-27 2006-10-11 株式会社東芝 露光処理装置及び露光処理方法
US6557162B1 (en) 2000-09-29 2003-04-29 Numerical Technologies, Inc. Method for high yield reticle formation
JP2002151387A (ja) 2000-11-10 2002-05-24 Jeol Ltd 電子ビーム描画方法
JP2002162566A (ja) 2000-11-27 2002-06-07 Nikon Corp 光学系の設計方法,光学系および投影露光装置
KR100594225B1 (ko) 2000-12-27 2006-07-03 삼성전자주식회사 전자빔 노광 방법 및 이를 이용한 패턴 형성 방법
JP2002217088A (ja) 2001-01-17 2002-08-02 Nikon Corp 荷電粒子線露光装置、荷電粒子線露光方法及び半導体デバイスの製造方法
JP2002217092A (ja) 2001-01-22 2002-08-02 Nec Corp レジストパターンの形成方法および半導体装置の製造方法
EP1249734B1 (en) 2001-04-11 2012-04-18 Fujitsu Semiconductor Limited Rectangle/lattice data conversion method for charged particle beam exposure mask pattern and charged particle beam exposure method
JP2002329659A (ja) 2001-05-02 2002-11-15 Nikon Corp 荷電粒子線露光方法、荷電粒子線露光装置及びデバイス製造方法
WO2002101463A1 (en) 2001-06-08 2002-12-19 The Penn State Research Foundation Patterning compositions using e-beam lithography and structures and devices made thereby
JP2003068625A (ja) 2001-08-29 2003-03-07 Nikon Corp レジストパターン形状のシミュレーション方法
US20030043358A1 (en) 2001-08-31 2003-03-06 Nikon Corporation Methods for determining focus and astigmatism in charged-particle-beam microlithography
US6767674B2 (en) 2001-10-26 2004-07-27 Infineon Technologies Ag Method for obtaining elliptical and rounded shapes using beam shaping
JP3686367B2 (ja) 2001-11-15 2005-08-24 株式会社ルネサステクノロジ パターン形成方法および半導体装置の製造方法
JP4308467B2 (ja) 2001-12-27 2009-08-05 新光電気工業株式会社 露光方法及び露光装置
US6721939B2 (en) 2002-02-19 2004-04-13 Taiwan Semiconductor Manufacturing Co., Ltd Electron beam shot linearity monitoring
JP4190796B2 (ja) 2002-04-24 2008-12-03 Necエレクトロニクス株式会社 露光原版の作成方法
JP2003347192A (ja) 2002-05-24 2003-12-05 Toshiba Corp エネルギービーム露光方法および露光装置
JP4327497B2 (ja) 2002-06-26 2009-09-09 株式会社アドバンテスト 電子ビーム露光装置、電子ビーム露光方法、半導体素子製造方法、マスク、及びマスク製造方法
JP2004040010A (ja) * 2002-07-08 2004-02-05 Renesas Technology Corp パターン描画方法
JP4252262B2 (ja) 2002-07-11 2009-04-08 株式会社オクテック 露光用転写マスクの製造方法
JP2004063546A (ja) 2002-07-25 2004-02-26 Nikon Corp 電子ビーム露光方法
JP2004134447A (ja) 2002-10-08 2004-04-30 Sony Corp 露光方法、マスクおよび半導体装置の製造方法
CN101414535A (zh) 2002-10-30 2009-04-22 迈普尔平版印刷Ip有限公司 电子束曝光***
US6998217B2 (en) 2003-01-06 2006-02-14 Applied Materials, Inc. Critical dimension edge placement and slope enhancement with central pixel dose addition and modulated inner pixels
SG125109A1 (en) 2003-01-14 2006-09-29 Asml Masktools Bv Method and apparatus for providing optical proximity features to a reticle pattern for deep sub-wavelength optical lithography
SG139530A1 (en) 2003-01-14 2008-02-29 Asml Masktools Bv Method of optical proximity correction design for contact hole mask
US7245356B2 (en) * 2003-02-11 2007-07-17 Asml Netherlands B.V. Lithographic apparatus and method for optimizing illumination using a photolithographic simulation
JP2004273526A (ja) 2003-03-05 2004-09-30 Nikon Corp レチクル作製方法、レチクル及び荷電粒子線露光方法
JP2004304031A (ja) 2003-03-31 2004-10-28 Toshiba Corp マスクスキャン描画方法
US7000207B2 (en) 2003-04-10 2006-02-14 Sioptical, Inc. Method of using a Manhattan layout to realize non-Manhattan shaped optical structures
JP4091470B2 (ja) 2003-05-06 2008-05-28 株式会社東芝 電子ビーム描画装置および電子ビーム描画方法
JP4046012B2 (ja) 2003-05-29 2008-02-13 ソニー株式会社 マスク歪データの生成方法、露光方法および半導体装置の製造方法
US7186486B2 (en) 2003-08-04 2007-03-06 Micronic Laser Systems Ab Method to pattern a substrate
JP2005079111A (ja) 2003-08-29 2005-03-24 Semiconductor Leading Edge Technologies Inc 電子線描画データ作成方法、作成装置及び作成プログラム並びに電子線描画装置
US6873938B1 (en) 2003-09-17 2005-03-29 Asml Netherlands B.V. Adaptive lithographic critical dimension enhancement
US7055127B2 (en) 2003-10-27 2006-05-30 Takumi Technology Corp. Mask data preparation
US7003758B2 (en) * 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
EP1530083A3 (en) 2003-11-05 2006-03-01 ASML MaskTools B.V. OPC based on decomposition into eigen-functions
JP4247104B2 (ja) 2003-12-18 2009-04-02 株式会社東芝 パターン検証方法、パターン検証システム
US7592103B2 (en) 2004-03-31 2009-09-22 Hoya Corporation Electron beam writing method and lithography mask manufacturing method
JP4570400B2 (ja) 2004-06-03 2010-10-27 富士通セミコンダクター株式会社 露光データ作成方法及び露光データ作成装置
EP1612835A1 (en) 2004-06-29 2006-01-04 Leica Microsystems Lithography GmbH Method for Reducing the Fogging Effect
JP4603305B2 (ja) 2004-07-21 2010-12-22 ルネサスエレクトロニクス株式会社 露光方法、パターン寸法調整方法及び焦点ぼかし量取得方法
JP4324049B2 (ja) * 2004-07-23 2009-09-02 富士通マイクロエレクトロニクス株式会社 マスクパターンの補正装置及び方法、並びに露光補正装置及び方法
US7536664B2 (en) 2004-08-12 2009-05-19 International Business Machines Corporation Physical design system and method
JP2006100336A (ja) 2004-09-28 2006-04-13 Advantest Corp 電子ビーム露光用マスク、電子ビーム露光方法及び電子ビーム露光装置
JP4398342B2 (ja) 2004-10-06 2010-01-13 株式会社東芝 荷電ビーム描画装置及び描画方法
US7908572B2 (en) 2004-10-15 2011-03-15 Takumi Technology Corporation Creating and applying variable bias rules in rule-based optical proximity correction for reduced complexity
JP2006294794A (ja) 2005-04-08 2006-10-26 Toshiba Corp 電子ビーム露光装置および電子ビーム露光方法
US20060292501A1 (en) 2005-06-24 2006-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography process with an enhanced depth-on-focus
JP4808447B2 (ja) 2005-08-01 2011-11-02 株式会社リコー 電子ビーム描画方法及び電子ビーム描画装置
US20080248408A1 (en) 2005-09-07 2008-10-09 Macdonald Susan S Photomask and Method for Forming a Non-Orthogonal Feature on the Same
JP2007108508A (ja) * 2005-10-14 2007-04-26 Toshiba Corp マスクパターンの作成方法、マスクの製造方法およびプログラム
JP2007115999A (ja) 2005-10-21 2007-05-10 Toshiba Corp キャラクタプロジェクション(cp)方式の荷電粒子ビーム露光方法、キャラクタプロジェクション方式の荷電粒子ビーム露光装置及びプログラム
JP4476975B2 (ja) * 2005-10-25 2010-06-09 株式会社ニューフレアテクノロジー 荷電粒子ビーム照射量演算方法、荷電粒子ビーム描画方法、プログラム及び荷電粒子ビーム描画装置
US7788628B1 (en) * 2006-01-11 2010-08-31 Olambda, Inc. Computational efficiency in photolithographic process simulation
KR100675301B1 (ko) 2006-01-17 2007-01-29 삼성전자주식회사 전자빔을 이용한 패턴 형성 방법들 및 전자빔 묘화에사용되는 셀 마스크들
JP5063071B2 (ja) 2006-02-14 2012-10-31 株式会社ニューフレアテクノロジー パタン作成方法及び荷電粒子ビーム描画装置
JP4984810B2 (ja) 2006-02-16 2012-07-25 株式会社ニコン 露光方法、露光装置及びフォトマスク
JP4976071B2 (ja) 2006-02-21 2012-07-18 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画方法及び荷電粒子ビーム描画装置
JP4814651B2 (ja) 2006-02-22 2011-11-16 富士通セミコンダクター株式会社 荷電粒子ビーム露光方法及びそれに用いられるプログラム
US7781748B2 (en) 2006-04-03 2010-08-24 Ims Nanofabrication Ag Particle-beam exposure apparatus with overall-modulation of a patterned beam
JP2007305880A (ja) 2006-05-12 2007-11-22 Toshiba Corp キャラクタパターン抽出方法、荷電粒子ビーム描画方法、及びキャラクタパターン抽出プログラム
US20070280526A1 (en) 2006-05-30 2007-12-06 Irfan Malik Determining Information about Defects or Binning Defects Detected on a Wafer after an Immersion Lithography Process is Performed on the Wafer
JP4866683B2 (ja) 2006-08-25 2012-02-01 富士通セミコンダクター株式会社 半導体デバイスの製造方法、データ作成装置、データ作成方法、およびプログラム
JP4843425B2 (ja) 2006-09-06 2011-12-21 エルピーダメモリ株式会社 可変成形型電子ビーム描画装置
US7856612B1 (en) * 2006-09-28 2010-12-21 Gauda, Inc. Lithography mask design through mask functional optimization and spatial frequency analysis
JP4378648B2 (ja) * 2006-10-06 2009-12-09 エルピーダメモリ株式会社 照射パターンデータ作成方法、マスク製造方法、及び描画システム
US7902528B2 (en) 2006-11-21 2011-03-08 Cadence Design Systems, Inc. Method and system for proximity effect and dose correction for a particle beam writing device
US8426832B2 (en) 2006-11-21 2013-04-23 D2S, Inc. Cell projection charged particle beam lithography
US7772575B2 (en) 2006-11-21 2010-08-10 D2S, Inc. Stencil design and method for cell projection particle beam lithography
JP2008175959A (ja) 2007-01-17 2008-07-31 Toshiba Corp フォトマスク製造方法、及び半導体装置の製造方法
US7824828B2 (en) 2007-02-22 2010-11-02 Cadence Design Systems, Inc. Method and system for improvement of dose correction for particle beam writers
JP5133087B2 (ja) 2007-02-23 2013-01-30 株式会社ニューフレアテクノロジー 半導体装置の製造方法
GB2451480B (en) 2007-07-31 2011-11-02 Vistec Lithography Ltd Pattern writing on a rotaing substrate
US7703069B1 (en) * 2007-08-14 2010-04-20 Brion Technologies, Inc. Three-dimensional mask model for photolithography simulation
JP5090887B2 (ja) 2007-12-18 2012-12-05 日本電子株式会社 電子ビーム描画装置の描画方法及び電子ビーム描画装置
WO2010005957A1 (en) 2008-07-07 2010-01-14 Brion Technologies, Inc. Illumination optimization
US20120219886A1 (en) 2011-02-28 2012-08-30 D2S, Inc. Method and system for forming patterns using charged particle beam lithography with variable pattern dosage
US7759027B2 (en) 2008-09-01 2010-07-20 D2S, Inc. Method and system for design of a reticle to be manufactured using character projection lithography
US7901850B2 (en) * 2008-09-01 2011-03-08 D2S, Inc. Method and system for design of a reticle to be manufactured using variable shaped beam lithography
US7901845B2 (en) 2008-09-01 2011-03-08 D2S, Inc. Method for optical proximity correction of a reticle to be manufactured using character projection lithography
US8062813B2 (en) 2008-09-01 2011-11-22 D2S, Inc. Method for design and manufacture of a reticle using a two-dimensional dosage map and charged particle beam lithography
US8017288B2 (en) 2008-09-01 2011-09-13 D2S, Inc. Method for fracturing circular patterns and for manufacturing a semiconductor device
US7981575B2 (en) 2008-09-01 2011-07-19 DS2, Inc. Method for optical proximity correction of a reticle to be manufactured using variable shaped beam lithography
US7799489B2 (en) 2008-09-01 2010-09-21 D2S, Inc. Method for design and manufacture of a reticle using variable shaped beam lithography
US7754401B2 (en) 2008-09-01 2010-07-13 D2S, Inc. Method for manufacturing a surface and integrated circuit using variable shaped beam lithography
US8017286B2 (en) * 2008-09-01 2011-09-13 D2S, Inc. Method for design and manufacture of a reticle using a two-dimensional dosage map and charged particle beam lithography
EP2321840B1 (en) 2008-09-01 2017-05-03 D2S, Inc. Method for optical proximity correction, design and manufacturing of a reticle using variable shaped beam lithography
EP2321701A2 (en) 2008-09-01 2011-05-18 D2S, Inc. Method for optical proximity correction, design and manufacturing of a reticle using character projection lithography
US8057970B2 (en) 2008-09-01 2011-11-15 D2S, Inc. Method and system for forming circular patterns on a surface
US8039176B2 (en) 2009-08-26 2011-10-18 D2S, Inc. Method for fracturing and forming a pattern using curvilinear characters with charged particle beam lithography
US20130070222A1 (en) * 2011-09-19 2013-03-21 D2S, Inc. Method and System for Optimization of an Image on a Substrate to be Manufactured Using Optical Lithography
US20140353526A1 (en) 2008-09-01 2014-12-04 D2S, Inc. Method and system for forming high accuracy patterns using charged particle beam lithography
US8473875B2 (en) 2010-10-13 2013-06-25 D2S, Inc. Method and system for forming high accuracy patterns using charged particle beam lithography
US9341936B2 (en) 2008-09-01 2016-05-17 D2S, Inc. Method and system for forming a pattern on a reticle using charged particle beam lithography
TWI506672B (zh) 2008-09-01 2015-11-01 D2S Inc 用於在表面碎化及形成圓形圖案與用於製造半導體裝置之方法
DE102008062450B4 (de) 2008-12-13 2012-05-03 Vistec Electron Beam Gmbh Anordnung zur Beleuchtung eines Substrats mit mehreren individuell geformten Partikelstrahlen zur hochauflösenden Lithographie von Strukturmustern
WO2010117626A2 (en) * 2009-03-31 2010-10-14 Christophe Pierrat Lithography modelling and applications
US8312406B2 (en) 2009-06-22 2012-11-13 Cadence Design Systems, Inc. Method and system performing RC extraction
JP5570774B2 (ja) 2009-08-04 2014-08-13 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置および方法
JP2011040716A (ja) 2009-08-06 2011-02-24 Nikon Corp 露光装置、露光方法、およびデバイス製造方法
US8671366B2 (en) 2009-08-21 2014-03-11 Hitachi High-Technologies Corporation Estimating shape based on comparison between actual waveform and library in lithography process
WO2011022703A1 (en) 2009-08-21 2011-02-24 D2S, Inc. Method and system for manufacturing a surface using character projection lithography with variable magnification
TWI496182B (zh) 2009-08-26 2015-08-11 D2S Inc 以可變束模糊技術使用帶電粒子束微影術製造表面之方法及系統
JP2013508973A (ja) 2009-10-21 2013-03-07 ディー・ツー・エス・インコーポレイテッド 荷電粒子ビームリソグラフィを用いて表面上にパターンを形成するための方法およびシステム
CN102687232A (zh) 2009-10-26 2012-09-19 迈普尔平版印刷Ip有限公司 调节装置及使用其的带电粒子多射束光刻***
US8221939B2 (en) 2009-12-26 2012-07-17 D2S, Inc. Method and system for fracturing a pattern using charged particle beam lithography with multiple exposure passes having different dosages
US8137871B2 (en) * 2009-12-26 2012-03-20 D2S, Inc. Method and system for fracturing a pattern using charged particle beam lithography with multiple exposure passes which expose different surface area
JP5289343B2 (ja) 2010-01-15 2013-09-11 株式会社東芝 露光量決定方法、半導体装置の製造方法、露光量決定プログラムおよび露光量決定装置
JP2011197520A (ja) 2010-03-23 2011-10-06 Toppan Printing Co Ltd フォトマスク製造方法
KR101244525B1 (ko) * 2010-04-20 2013-03-18 가부시키가이샤 뉴플레어 테크놀로지 하전 입자빔 묘화 장치 및 하전 입자빔 묘화 방법
EP2418672B1 (en) 2010-08-11 2013-03-20 ICT Integrated Circuit Testing Gesellschaft für Halbleiterprüftechnik mbH Multi-axis lens, beam system making use of the compound lens, and method of manufacturing the compound lens
US8703389B2 (en) 2011-06-25 2014-04-22 D2S, Inc. Method and system for forming patterns with charged particle beam lithography
US8193005B1 (en) 2010-12-13 2012-06-05 International Business Machines Corporation MEMS process method for high aspect ratio structures
US20120217421A1 (en) * 2011-02-28 2012-08-30 D2S, Inc. Method and system for forming patterns using charged particle beam lithography with overlapping shots
JP2012195095A (ja) 2011-03-15 2012-10-11 Canon Inc 荷電粒子線レンズの製造方法
WO2013158573A1 (en) 2012-04-18 2013-10-24 D2S, Inc. Method and system for forming patterns using charged particle beam lithograph
US20140129997A1 (en) 2012-11-08 2014-05-08 D2S, Inc. Method and system for dimensional uniformity using charged particle beam lithography
US9343267B2 (en) 2012-04-18 2016-05-17 D2S, Inc. Method and system for dimensional uniformity using charged particle beam lithography
US9038003B2 (en) * 2012-04-18 2015-05-19 D2S, Inc. Method and system for critical dimension uniformity using charged particle beam lithography

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004170410A (ja) 2002-11-21 2004-06-17 Fei Co 3次元構造の製作方法
JP2009004699A (ja) * 2007-06-25 2009-01-08 Nec Electronics Corp 半導体装置の製造方法及び半導体製造装置

Also Published As

Publication number Publication date
US20130283218A1 (en) 2013-10-24
US10031413B2 (en) 2018-07-24
TWI605306B (zh) 2017-11-11
US20160334700A1 (en) 2016-11-17
US20130283219A1 (en) 2013-10-24
WO2013158573A1 (en) 2013-10-24
US8719739B2 (en) 2014-05-06
TW201346436A (zh) 2013-11-16
KR20150001840A (ko) 2015-01-06
JP2015520408A (ja) 2015-07-16
US20130283217A1 (en) 2013-10-24
JP6234998B2 (ja) 2017-11-22
US9400857B2 (en) 2016-07-26

Similar Documents

Publication Publication Date Title
KR102154105B1 (ko) 하전 입자 빔 리소그라피를 이용하여 패턴들을 형성하기 위한 방법 및 시스템
JP6189933B2 (ja) 荷電粒子ビームリソグラフィを用いる限界寸法均一性のための方法およびシステム
US10431422B2 (en) Method and system for dimensional uniformity using charged particle beam lithography
KR101979799B1 (ko) 하전된 입자 빔 리소그래피로 패턴들을 형성하기 위한 방법 및 시스템
US20130070222A1 (en) Method and System for Optimization of an Image on a Substrate to be Manufactured Using Optical Lithography
KR102005083B1 (ko) 하전 입자 빔 리소그래피를 사용하여 패턴들을 형성하는 방법 및 시스템
KR102258587B1 (ko) 하전 입자 빔 리소그래피를 이용한 치수 균일도를 위한 방법 및 시스템
US9091946B2 (en) Method and system for forming non-manhattan patterns using variable shaped beam lithography
US20150104737A1 (en) Method and System for Forming Non-Manhattan Patterns Using Variable Shaped Beam Lithography

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant