KR101160102B1 - 가스 화학물 및 탄화 수소 첨가의 주기적 조절을 이용하는 플라즈마 스트리핑 방법 - Google Patents

가스 화학물 및 탄화 수소 첨가의 주기적 조절을 이용하는 플라즈마 스트리핑 방법 Download PDF

Info

Publication number
KR101160102B1
KR101160102B1 KR1020067027757A KR20067027757A KR101160102B1 KR 101160102 B1 KR101160102 B1 KR 101160102B1 KR 1020067027757 A KR1020067027757 A KR 1020067027757A KR 20067027757 A KR20067027757 A KR 20067027757A KR 101160102 B1 KR101160102 B1 KR 101160102B1
Authority
KR
South Korea
Prior art keywords
gas
phase
protective layer
stripping
etching
Prior art date
Application number
KR1020067027757A
Other languages
English (en)
Other versions
KR20070032967A (ko
Inventor
석민 윤
지 주
피터 치리글리아노
상헌 이
토마스 에스 최
피터 로웬하트
마크 에이치 윌콕슨
레자 사드자디
에릭 에이 허드슨
제임스 브이 티에츠
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20070032967A publication Critical patent/KR20070032967A/ko
Application granted granted Critical
Publication of KR101160102B1 publication Critical patent/KR101160102B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

기판 위의 포토레지스트 에칭 마스크를 통해 낮은-k 유전층의 피쳐를 에칭하는 방법이 제공된다. 단일 포토레지스트 마스크를 스트리핑하기 위해 가스-조절된 순환적 스트리핑 프로세스가 3 회 이상 수행된다. 가스-조절된 순환적 스트리핑 프로세스의 각 싸이클은 보호층 형성 페이즈 및 스트리핑 페이즈를 포함한다. 보호층 형성 페이즈는 적층 가스 화학물을 갖는 제 1 가스 화학물을 이용하며, 여기서, 보호층 형성 페이즈는 각 싸이클 동안 약 0.005 내지 10 초로 수행된다. 포토레지스트 마스크를 스트리핑하기 위한 스트리핑 페이즈는 스트리핑 가스 화학물을 이용하는 제 2 가스 화학물을 이용하고, 여기서, 제 1 가스 화학물은 제 2 가스 화학물과 상이하며, 여기서, 에칭 페이즈는 각 싸이클 동안 약 0.005 내지 10 초로 수행된다.
반도체 프로세싱 챔버, 순환적 조절

Description

가스 화학물 및 탄화 수소 첨가의 주기적 조절을 이용하는 플라즈마 스트리핑 방법{METHOD FOR PLASMA STRIPPING USING PERIODIC MODULATION OF GAS CHEMISTRY AND HYDROCARBON ADDITION}
관련 출원
본 출원은 Hudson 등에 의한 2003년 4월 9일 출원된 발명의 명칭을 "METHOD FOR PLASMA ETCHING USING PERIODIC MODULATION OF GAS CHEMISTRY"로 하는 미국 특허 출원 제 10/411,520 호의 부분 계속 출원 (continuation-in-part) 이다.
발명의 배경
1. 기술분야
본 발명은 포토레지스트 마스크에 의해 정의되는 구조물을 통해 에칭하고 그 후 마스크를 스트리핑함으로써 반도체 웨이퍼 상에 구조물을 획득하는 방법에 관련된 것이다.
2. 배경기술
반도체 플라즈마 에칭 애플리케이션에서, 플라즈마 에쳐는 통상적으로 마스크 패턴을 웨이퍼 상에서의 요구되는 박막 및/또는 필름스택 (전도체 또는 유전 절연체) 의 회로 및 라인 패턴으로 변환하는데 이용된다. 이것은 마스크 패턴의 개방된 영역에서 포토레지스트 물질 아래의 필름 (및 필름스택) 을 에칭하는 것에 의해 달성된다. 이 에칭 반응은 반응로 또는 프로세스 챔버로도 지칭되는 진공 인클로저에 포함된 반응 혼합물의 전자 방전을 여기시킴으로써 생성되는 전기적 대전 입자 (이온) 및 화학적 활성 종 에 의해 개시될 수도 있다. 또한, 이온은 가스 혼합물과 웨이퍼 물질 사이에서 생성된 전기장을 통해 웨이퍼 물질을 향해 가속될 수도 있으며, 이는 이방성 에칭으로 지칭되는 방식으로 이온 궤적의 방향을 따라 에칭 물질의 지향성 제거를 발생시킨다. 에칭 시퀀스의 마지막에, 마스크 물질은 스트리핑되어 제거되며, 그 위치에 원래 의도된 마스크 패턴의 측면 패턴의 복제를 남긴다. 에칭 프로세스 동안, 마스크 물질은 통상적으로 패턴 전사를 위한 교환시에 부식 및/또는 손상된다. 결과적으로, 손상 및 부식의 일부는 또한 아래에 있는 층으로 전사되어 스트라이에이션, CD 확대, 파세팅 등과 같은 바람직하지 못한 패턴 왜곡을 남길 수도 있다.
또한, 낮은-k 의 유전 물질 (k<3.0) 의 경우, 포토레지스트를 스트리핑하는 동안, 낮은-k 유전 물질에 손상이 발생할 수도 있으며, 이는 k 값의 증가시킬 수도 있다. 그러한 스트리핑 프로세스에서, 스트리핑 프로세스 동안 그러한 손상을 제한하는 것이 바람직하다.
발명의 요약
전술한 것을 달성하기 위해 그리고 본 발명의 목적에 따라, 기판 위의 포토레지스트 에칭 마스크를 통해 낮은-k 유전층에서 피쳐를 에칭하는 방법이 제공된다. 낮은 k 유전층은 기판 위에 배치된다. 패터닝된 포토레지스트 마스크는 낮은 k 유전층 위에 배치된다. 하나 이상의 피쳐가 낮은 k 유전층 내로 에칭된다. 단일 포토레지스트 마스크를 스트리핑하기 위해 가스-조절된 순환적 스트리핑 프로세스가 3 회보다 많은 싸이클 동안 수행된다. 가스-조절된 순환적 스트리핑 프로세스의 각 싸이클은 보호층 형성 페이즈 및 스트리핑 페이즈를 포함한다. 적층 가스 화학물을 갖는 제 1 가스 화학물을 이용하는 보호층 형성 페이즈는 제 1 가스 화학물을 제공하는 단계 및 제 1 가스 화학물로부터 플라즈마를 형성하는 단계를 포함하며, 여기서 보호층 형성 페이즈는 각 싸이클 동안 약 0.005 내지 10 초 동안 수행되고, 보호층은 하나 이상의 피쳐의 측벽 상에 형성되고 보호층의 두께는 증가한다. 스트리핑 가스 화학물을 이용하여 제 2 가스 화학물을 이용하는 포토레지스트 마스크를 스트리핑하기 위한 스트리핑 페이즈를 수행하는 단계는, 제 2 가스 화학물을 제공하는 단계 및 제 2 가스 화학물로부터 플라즈마를 형성하는 단계를 포함하며, 여기서 에칭 페이즈는 각 싸이클 동안 약 0.005 내지 10 초 동안 수행되고, 제 1 가스 화학물은 제 2 가스 화학물과 상이하고, 보호층의 두께는 감소되며 포토레지스트의 일부가 스트리핑된다.
본 발명의 다른 실시형태에서, 기판 위에 단일층의 적어도 일부를 제거하는 방법이 제공된다. 가스-조절된 순환적 프로세스는 3 회보다 많이 수행된다. 가스-조절된 순환적 프로세스는 적층 가스 화학물을 갖는 제 1 가스 화학물을 이용하여 보호층 형성 페이즈를 수행하는 단계 및, 제거 가스 화학물을 이용하는 제 2 가스 화학물을 이용하여 단일층의 적어도 일부를 제거하기 위해 제거 페이즈를 수행하는 단계를 포함하며, 여기서, 보호층 형성 페이즈는 각 싸이클 동안 약 0.005 내지 10 초 동안 수행되며, 제 1 가스 화학물은 제 2 가스 화학물과 상이하고, 제거 페이즈는 각 싸이클 동안 약 0.005 내지 10 초 동안 수행된다. 보호층 형성 단계는, 제 1 가스 화학물을 제공하는 단계 및 제 1 가스 화학물로부터 플라즈마를 형성하는 단계를 포함하며, 이것은 보호층이 형성되게 하고 보호층의 두께를 증가시킨다. 제거 페이즈는 제 2 가스 화학물을 제공하는 단계 및 제 2 가스 화학물로부터 플라즈마를 형성하여 단일층의 적어도 일부의 제거를 유발하는 단계를 포함한다.
본 발명의 이들 및 다른 특징들은 첨부 도면을 참조하여 후술하는 본 발명의 상세한 설명에서 보다 구체적으로 설명한다.
도면의 간단한 설명
본 발명은 제한을 위해서가 아니라 예시를 위해서 첨부 도면에 나타나 있으며, 유사한 인용부호는 도면들에서 유사한 구성요소를 나타낸다.
도 1 은 본 발명의 실시형태에서 이용되는 프로세스의 흐름도이다.
도 2a 내지 2c 는 도 1 의 프로세스에 따라 에칭된 낮은-k 의 유전층의 개략적인 측면도이다.
도 3 은 가스조절된 (gas-modulated) 순환적 에칭 프로세스의 구체적인 흐름도이다.
도 4 는 가스조절된 순환적 스트리핑 프로세스의 구체적인 흐름도이다.
도 5 는 본 발명을 실시하는데 이용될 수도 있는 시스템의 개략도이다.
도 6a 내지 6b 는 본 발명의 실시형태들에 이용되는 제어기를 구현하는데 적합한 컴퓨터 시스템이다.
도 7a 내지 7e 는 서브모노층들을 이용하는 패스트 싸이클 (fast cycling) 의 발명적인 체제 (inventive regime) 의 표면에서 물질의 빌드업을 개략적으로 나타낸다.
도 8a 내지 8d 는 슬로우어 싸이클 (slower cycling) 의 체제의 표면에서 물질의 빌드업을 개략적으로 나타낸다.
바람직한 실시형태의 상세한 설명
이하, 첨부 도면에 나타낸 바와 같이 몇 가지 바람직한 실시형태를 참조하여 본 발명을 상세하게 설명한다. 이하의 설명에서, 본 발명의 철저한 이해를 제공하기 위해 다수의 특정 구체사항을 설명한다. 그러나, 본 발명은 이들 특정 구체사항의 일부 또는 전부가 없이도 실시될 수도 있음이 당업자에게 명백할 것이다. 다른 예에서, 본 발명을 불필요하게 불분명하게 하지 않도록 널리 공지된 단계들 및/또는 구조물은 구체적으로 설명하지 않는다.
설명을 용이하게 하기 위해, 도 1 은 본 발명의 실시형태에서 이용되는 낮은-k 유전층의 에칭 프로세스의 흐름도이다. 바람직하게는, 낮은-k 유전층은 오가노실리케이트 유리 (OSG) 이다. 도 2a 내지 2c 는 도 1 의 프로세스에 따른 낮은-k 유전층의 개략적인 측면도이다. 도 2a 에 나타낸 바와 같이, 낮은-k 유전층 (204) 은 기판 (208) 위에 적층될 수도 있다 (단계 104). 기판 (208) 은 실리콘 웨이퍼 또는 다른 타입의 물질일 수도 있고 또는 웨이퍼 위의 층의 일부일 수도 있다. 캡층 (212) 은 낮은-k 유전층 (204) 위에 형성된다 (단계 108). 캡 층 (212) 은 실리콘 옥사이드일 수도 있다. 일반적으로, 캡층은 유전 물질의 보호층이다. 캡층 (212) 은 화학적 기계적 연마 (CMP) 및 기타 프로세스 동안 낮은-k 유전층 (204) 을 보호한다. 캡층은 최종 제품의 일부이기 때문에, 캡층 (212) 은 낮은-k 유전체일 수도 있다. 바람직하게는, 캡층은 실리콘 옥사이드 기반 물질이다. 바람직하게는, 캡층은 약 200 Å 내지 약 1000 Å 의 두께를 갖는다. 다른 실시형태에서, 2 이상의 캡층이 존재할 수도 있고, 없을 수도 있다. 비반사성 코팅 (ARC; 214) 은 캡층 (212) 위에 적층된다 (단계 112). 비반사성 코팅 (ARC; 214) 은 유기 바닥 비반사성 코팅 (BARC) 또는 무기 유전체 비반사성 코팅 (DARC) 일 수도 있다. ARC 는 약 100 Å 내지 약 1000 Å 사이의 두께를 갖는다. 패터닝된 레지스트 마스크 (216) 가 ARC (214) 위에 제공된다 (단계 116). 패터닝된 레지스트 마스크 (216) 는 애퍼처 (aperture; 220) 을 갖는다. 패터닝된 레지스트 마스크는, 포토레지스트층을 배치하고 광 패턴에 노출시킨 다음 에칭함으로써 형성될 수도 있다. 패터닝된 레지스트 마스크를 형성하는 다른 방법이 이용될 수도 있다. 기판 (208) 은 콘택트 (209) 및 배리어층 (210) 을 가질 수도 있다.
기판 (208) 은 낮은-k 유전층 (204) 이 에칭되는 에칭 챔버에 배치될 수도 있다 (단계 120). 낮은-k 유전층 (204) 을 에칭하는데 플라즈마 건식 에칭이 이용될 수도 있으며, 도 2b 에 나타낸 바와 같이, 이는 패터닝된 레지스트 마스크 (216) 의 애퍼처 (220) 아래에 개구 (224) 를 형성한다. 패터닝된 레지스트 마스크 (216) 의 일부는 낮은-k 유전층 에칭 동안 제거된다. 그러한 낮은-k 유전체 에칭은, 불소 기반 에천트를 이용하는 것처럼 화학적 에칭을 이용할 수도 있다. 다양한 에칭 프로세스가 이용될 수도 있으며, 그러한 에칭의 특정 예들을 이하 설명한다.
낮은-k 유전층을 손상시키지 않고 포토레지스트 마스크 (216) 및 ARC 층 (214) 을 제거하기 위해 가스조절된 순환적 스트리핑 프로세스가 제공된다 (단계 124). ARC 층이 DARC 인 경우, 그것은 제거될 필요가 없다. 이 예에 나타낸 포토레지스트 마스크 (216) 는 단일 포토레지스트 층으로부터 형성된 단일 포토레지스트 마스크이다.
본 발명의 일부 실시형태에서, 배리어층 (210) 은 포토레지스트 (216) 가 스트리핑되기 전 또는 후에 개방될 수도 있다.
에칭 프로세스
본 발명의 일 실시형태에서, 낮은-k 유전체를 에칭하는 단계 동안, 피쳐의 측벽 상에 보호층이 형성될 수도 있다. 약 10 ㎚ 이상의 두께의 측벽 패시베이션 층과 같은 보호층의 형성 및 그 후의 에칭은, 보호층을 패시베이션 층으로 이용하는 동안, 스트라이에이션 및 파세팅을 야기할 수도 있다. 이론에 의해 제한됨이 없이, 그러한 두께의 층들은 스트라이에이션에 대한 요구되는 보호를 제공하기에 충분히 등각적 (conformal) 이지 않다. 본 발명에 의해 제공되는 얇은 보호층은 스트라이에이션을 충분히 감소시킨다. 또한, 그렇게 얇은 보호층은 파세팅을 감소시킬 수도 있다. 또한, 이것은 CD 확대를 감소시키고, CD 제어 또는 CD 바이어스의 제어를 제공하며, 여기서 CD 바이어스는 에칭 동안 CD 변화로 정의된다.
이 실시형태에서, 인시츄 (in-situ) 로 가스조절된 순환적 에칭 프로세스가 보호층 형성 페이즈 및 에칭 페이즈 사이에서 교번되는 (alternate) 방법이 제공되어 단순성 및 비용-효율성을 과도하게 희생시키지 않고 전체적인 에칭 성능을 향상시킨다. 조절은 구체적으로 혼합물의 순환적 변동 및/또는 프로세스 피드 가스들의 플로우 비율을 포함하며, 또한, RF 전력, 가스 압력, 및 온도의 동기화된 변동을 포함할 수도 있다. 순환적 프로세스는 전체 싸이클 시간 및 보호층 형성 페이즈를 위한 시간과 에칭 페이즈를 위한 시간 사이의 비율인 싸이클 시간 비율에 의해 특징된다.
모든 목적을 위한 참조로 포함되는 2002년 11월 14일 출원되고 발명의 명칭을 "METHOD FOR PLASMA ETCHING PERFORMANCE ENHANCEMENT"로 하는 Huang 등에 의한 미국 특허 출원 제 10/295,601 호는, 에칭 진행 동안 에칭 피쳐의 수직 측벽 및/또는 마스크를 수리 및/또는 향상시키기 위해 인시츄 플라즈마 프로세스가 이용될 수도 있음을 개시하고 있다. 그러한 프로세스에서, 플라즈마 화학적 프로세스 단계는, 웨이퍼가 요구되는 지속기간 동안 에칭 플라즈마에 노출되기 전 및/또는 후에 짧은 지속기간 동안 개시된다.
이 실시형태에서, 이 접근법은, 마스크 및 측벽을 보호하는 역할을 하는 프로세스 단계가, 양립가능한 에칭 페이즈 대신 가스조절된 순환적 프로세스 중 하나로서 도입된다.
보호층 형성 프로세스는, 에칭 부식, 파세팅, 및 스트라이에이션을 방지하기 위해, 에칭되는 필름의 측벽 및/또는 마스크의 표면 상에 물질의 박막이 형성되는 방식으로 선택될 수도 있다. 이 얇은 코팅은, 마스크 물질보다 더 에칭 저항적이지만 최종 제거의 용이함을 위해 이후의 스트리핑 프로세스와 양립가능한 물질로 이루어질 수도 있다. 예를 들어, 보호 마스크 피쳐가 차후의 에칭 프로세스에 의해 쉽게 부식되지 않도록, 다른 물질을 매우 조금 포함하거나 전혀 포함하지 않는 카본-리치 박막이 이용될 수도 있다. 즉, 그것은 마스크가 유사 (pseudo) 하드마스크처럼 기능하도록 (behave) 마스크 패턴의 표면 조성을 변경할 수도 있으며, 비결정질 탄소 하드마스크의 소정의 양호한 에칭 특성을 갖는다. 이와 다르게는, 층 형성 프로세스는 또한, 마스크 패턴 상에 얇은 코팅의 형성이 이전의 에칭 프로세스에 의해 손상/부식된 마스크 패턴을 크게 보상 및/또는 수리하는 방식으로 이용될 수도 있다. 후속 에칭 반응에 대한 코팅의 상대적인 불활성 (inertness) 은 에칭 단계에서 획득된 정교한 균형을 변경하지 않을 만큼 유용하다. 이와 다르게는, 얇은 코팅은, 측벽의 부드러운 등각 피복을 제공하는 프로세스 조건을 이용하여 생산될 수도 있으며, 거칠고 그리고/또는 주름진 측벽 중합체 코팅에 기인하는 스트라이에이션의 개시를 방지한다.
에칭 가스 혼합물은, 에칭 화학물의 패시베이션 가스와 관련된 이점을 잃지 않도록 패시베이션 종 및 에천트 종을 포함할 수도 있다. 패시베이션 성분에 대한 에칭의 비율은, 복수의 다른 프로세싱 조건과 함께, 정교하게 균형잡혀 포토레지스트 선택성, 에칭 이방성 및 에칭 레이트 등과 같은 최적의 프로세싱 결과를 달성한다. 전기적 방전 전력은 높게 유지되고 대전된 입자의 에너지 또한 높게 유지되어 높은 에칭 레이트 및 작은 치수의 구조물에서 양호한 에칭 이방성을 획득할 수도 있다. 보호층 형성 및 에칭 싸이클은 에칭 작업의 완료까지 많은 회수가 반복된다.
이해를 촉진하기 위해, 도 3 은 본 발명의 실시형태에서 낮은-k 유전층을 에칭하는 단계 (단계 120) 의 보다 구체적인 흐름도이다. 가스조절된 순환적 에칭 프로세스 동안, 프로세스 챔버는 2 개 이상의 페이즈 사이에서 조절한다. 일 페이즈는 보호층을 형성하도록 최적화된 단계이다 (단계 316). 다른 페이즈는 에칭에 최적화된 단계이다 (단계 320). 이들 페이즈 사이의 교번은 가스 플로우 레이트 및 가능하게는 RF 전력, 표면 온도, 및 가스 압력의 동기화된 조절에 의해 달성된다. 바람직한 실시형태에서, 전체 싸이클 시간은 21 초 이하이다. 보다 구체적으로는, 전체 싸이클 시간은 0.01 내지 10 초로 수행된다. 가장 바람직하게는, 전체 싸이클 시간은 0.5 내지 5 초로 수행된다. 바람직하게는, 싸이클 시간 비 (보호:에칭) 는 0.01 내지 20 이다. 보다 바람직하게는, 싸이클 시간 비 (보호:에칭) 는 0.05 내지 5 이다. 가장 바람직하게는, 싸이클 시간 비 (보호:에칭) 는 0.2 내지 1 이다. 바람직하게는, 가스-조절은 약 3 내지 50,000 싸이클 동안 수행된다. 보다 바람직하게는, 가스조절은 약 20 내지 1000 싸이클 동안 수행된다. 가장 바람직하게는 가스조절은 적어도 약 100 싸이클이 수행된다.
보호층을 형성하는데 최적화된 페이즈 동안 (단계 316), 보호층은 에칭된 피쳐의 측벽상에 그리고 가능하게는 에칭 마스크의 최상부 상에 적층된다. 적층은 비대칭적일 수도 있어서 적층의 양이 측벽 상에서 보다 마스킹 물질 상에서 우선적으로 더 많이 형성될 수도 있다. 이것은 적층 소스와 해당 위치의 직선경로 (line of sight) 및 선택된 적층 프로세스의 선택적 특성에 의해 보조될 수도 있다. 즉, 물질의 화학적 반응성의 차이에 기인하여 마스킹 물질 상에서 우선적으로 코팅이 형성되는 방식이 되도록 적층 화학물이 선택될 수도 있다. 바람직한 실시형태에서, 적층은 플라즈마 인핸스드 화학적 기상 층착 (CVD) 프로세스를 이용하여 에칭 챔버내에서 인시츄로 행해지며, 이것은 포토레지스트의 측벽 상에 얇은 보호층을 적층한다. 적층 프로세스는 그러한 적층의 선택성을 가능하게 하기 위해 일부 이온에 충격 에너지를 인가할 수도 있다. 그러한 프로세스에서, 측벽의 두께는 마스크의 최상부의 층의 두께의 약 2/3 일 수도 있다.
다른 실시형태에서, 프로세싱 조건은, 보호층의 두께 및 공간적 분포를 변경하기 위해, 에칭되고 있는 물질을 통해 에칭 프론트가 진행하도록 프로세싱 조건이 변경될 수도 있다. 예를 들어, 후속 에칭에 의해 측벽이 더 왜곡되는 것으로부터 보호하기 위해, 에칭이 더 깊이 진행될수록 에칭되고 있는 필름의 측벽 상에 더 두꺼운 코팅을 형성하는 것이 바람직할 수도 있다. 에칭이 진행함에 따른 순환적 프로세싱 조건의 변화가 이것을 제공할 수도 있다. 층 형성 및 에칭은 싸이클의 별개의 페이즈이기 대문에, 층 형성 페이즈에 대한 프로세스 조건은 에칭 페이즈를 간섭하지 않고 이 결과를 위해 최적화될 수도 있다. 이와 다르게는, 별개의 페이즈에 대한 프로세스 파라미터에 대해 어떠한 변화도 없이, 이 변경을 제공하기 위해 에칭이 진행함에 따라 전체 싸이클 시간 및/또는 싸이클 시간비가 조정될 수도 있다. 다른 바람직한 실시형태에서, 보호층은 측벽에만 적층될 수도 있다.
보호층 형성 페이즈 동안, 적층 가스의 불소 대 탄소 비는 2:1 보다 크지 않다. 플라즈마 인핸스드 CVD 에 이용될 수도 있는 적층 화학물의 예는, CH3F, CH2F2, C2H5F, C3H7F, C2H3F, CH4, C2H4, C2H6, C2H2, C3H8, 및 SiH4, Si(CH3)4, Si(C2H5)4 일 수도 있지만, 이에 제한되는 것은 아니다. 이들 화학물은 할로겐을 함유하지 않거나 할로겐 대 탄소비가 2:1 보다 크지 않는 것이 바람직하다. 이론에 의해 제한되지 않고, 탄소 기반 화학물은 얇은 에칭 저항성 비결정 탄소층을 형성한다. 포토레지스트 위에 비결정 실리콘층 (또는 다결정 실리콘층) 을 형성하는데 실란 SiH4 이 이용될 것이다. 또한, 일부 F 및 H 성분의 존재로 보호층이 변형되었을 수도 있다. 적합한 이온 충격 하에서, 포토레지스트 마스크 물질 상에는 적층이 일어나고 SiO2 층에는 적층이 일어나지 않는 것처럼 한 층에는 적층이 우선적으로 발생하고 다른 물질에는 그렇지 않도록, 상이한 물질 표면에 선택적 활성을 산출하는데, F 와 같은 다른 원소의 존재가 이용될 수도 있다. 스퍼터링과 같은 다른 방법이 보호층을 형성하는데 이용될 수도 있다.
표 1 은 본 발명의 일 실시형태에서 순환적 프로세스의 보호층 형성 페이즈에 이용될 수도 있는 파라미터의 일부의 표이다.
바람직한 범위 보다 바람직한 범위 가장 바람직한 범위
바이어스 전압 >50 V >100 V >300 V
바이어스 에너지 >50 eV >100 eV >300 eV
이 실시형태에서, RF 전력 생성기에 의해 공급되는 무선 주파수 (RF) 전압을 공급함으로써 웨이퍼 물질을 홀딩하는 (그리하여 웨이퍼에 바이어스를 인가하는) 전기적 음극성 (negativity) 이 기판 상에 형성될 수 있다. 이것은 양극으로 대전된 입자를 RF 전압의 크기에 의해 제어되는 전기적 음극성에 의해 결정되는 에너지로 전기적으로 바이어스된 기판을 향해 당기는 효과를 갖는다. 따라서, 기판 홀더에 인가되는 RF 전력 (및 따라서 RF 전압) 을 제어함으로써 이온 충격 에너지를 인가 및 변형할 수 있다.
보호층 형성 페이즈 (단계 316) 는, 상이한 물질의 상이한 에칭 애플리케이션에 요구되는 적층 가스의 상이한 조합을 포함할 수도 있는 순환적 에칭 프로세스 (단계 312) 의 독립적인 페이즈이며, 여기서, 적층은 마스킹 피쳐를 포함하는 에칭 피쳐 주위에 보호 코팅을 제공할 수도 있다. 바람직하게는, 이 페이즈에 할당되는 싸이클의 시간은 약 0.005 내지 7 초이다. 보다 바람직하게는, 이 페이즈에 할당되는 싸이클의 시간은 약 0.05 내지 5 초이다. 가장 바람직하게는, 이 페이즈에 할당되는 싸이클의 시간은 약 0.25 내지 2.5 초이다. 바람직하게는, 100 Å 미만의 두께를 갖는 층이 단일 보호층 형성 페이즈의 지속기간 동안 최상부 및/또는 측벽 상에 형성된다. 보다 바람직하게는, 약 0.1 내지 50 Å 사이의 층이 단일 보호층 형성 페이즈의 지속기간 동안 최상부 및/또는 측벽 상에 형성된다. 가장 바람직하게는, 약 1 내지 10 Å 사이의 층이 단일 보호층 형성 페이즈의 지속기간 동안 최상부 및/또는 측벽 상에 형성된다. 약 10 Å 이하의 층 두께의 경우, 피복은 모노층의 일부로 보다 정확하게 설명될 수도 있다. 일 실시형태에서, 보호층은 단일 보호층 형성 페이즈의 지속기간 동안 단일 모노층을 형성한다. 다른 실시형태에서, 보호층은, 단일 보호층 형성 페이즈의 지속기간 동안, 단일 원자 또는 분자층으로 표면을 완전하게 피복하지 않고 대신 표면 피복의 특정 퍼센티지 (즉, 75 %) 를 제공하는 층인 서브-모노층을 형성한다.
에칭 페이즈 (320) 는, 에칭 프론트를 진행시켜 하나 이상의 에칭 피쳐를 생성하도록 수행되는 순환적 에칭 프로세스 (312) 의 독립적인 페이즈이다 (단계 320). 에칭 애플리케이션은, 고종횡비 콘택트 (HARC), 다마신 에칭 (damascene etch), 유전 트랜치 에칭 (쉘로우 (shallow) 또는 딥 (deep)), 자체 정렬 콘택트 에칭, 게이트 마스크 개방 에칭, 비어 유전체 에칭, 듀얼-다마신 비어 에칭 (dual-damascene via etch), 듀얼-다마신 트랜치 에칭, 전도체 게이트 에칭, 전도체의 딥 트랜치 에칭, 전도체의 쉘로우 트랜치 절연 에칭, 및 하드마스크 개구 (opening) 를 포함하는 유전체 콘택트 에칭을 포함할 수도 있지만, 제한되는 것은 아니다.
바람직하게는, 에칭 페이즈는 높은 이온 에너지를 이용하여 방향성 에칭을 제공한다. 에칭 페이즈는 단일 에칭 페이즈의 지속기간 동안 보호층의 일부 또는 전부를 제거할 수도 있다. 표면 일부의 보호층 전부는 단일 에칭 페이즈의 지속기간 동안 제거될 수도 있다. 이 예에서, 포토레지스트 상에 그리고 피쳐의 바닥에 측벽을 형성하는 보호층은 제거된다. 보호층의 다른 부분은 단지 부분적으로 제거될 수도 있다. 이 예에서, 포토레지스트의 최상부 표면 상의 보호층의 단지 일부만이 제거되었다. 다른 실시형태에서, 보호층의 다른 부분은 부분적으로 에칭되거나 완전히 에칭될 수도 있다. 에칭 페이즈는 에칭될 층 일부를 제거하고, 에칭 프론트를 진행시킨다.
순환적 프로세스의 에칭 페이즈는 높은 이온 에너지를 이용하여 방향성 에칭을 제공하기 때문에, 중합체 형성 가스는 에칭 페이즈 동안 제공될 수도 있다. 중합체 형성 가스는, 예를 들어, C4F6, C4F8, CH3F, CH2F2, CH4, C3F6, C3F8, 및 CHF3 와 같은, 하이드로카본, 플루오르카본, 및 하이드로플루오르카본일 수도 있다. 이 중합체 형성 가스는 에칭 페이즈 동안 연속적으로 적층되고 에칭되는 중합체층을 형성할 것이다.
표 2 는 본 발명의 바람직한 실시형태에서 순환적 프로세스의 에칭 페이즈에 이용될 수도 있는 파라미터의 일부의 표이다.
바람직한 범위 보다 바람직한 범위 가장 바람직한 범위
바이어스 전압 >200 V >300 V >400 V
바이어스 에너지 >200 eV >300 eV >400 eV
바람직하게는, 이 페이즈에 할당되는 싸이클의 시간은 약 0.005 내지 14 초이다. 보다 바람직하게는, 이 페이즈에 할당되는 싸이클의 시간은 약 0.05 내지 7 초이다. 가장 바람직하게는, 이 페이즈에 할당되는 싸이클의 시간은 약 0.25 내지 2.5 초이다. 바람직하게는, 에칭의 깊이는 단일 에칭 페이즈의 지속기간 동안 500 Å 미만만큼 증가한다. 보다 바람직하게는, 에칭의 깊이는 단일 에칭 페이즈의 지속기간 동안 약 5 내지 250 Å 만큼 증가한다. 가장 바람직하게는, 에칭의 깊이는 단일 에칭 페이즈의 지속기간 동안 약 10 내지 50 Å 만큼 증가한다. 단일 에칭 페이즈에서 약 10 Å 미만의 에칭 깊이 변화의 경우, 이 변화는 단일 에칭 페이즈 동안 제거되는 물질의 모노층의 일부로서 보다 정확하게 설명될 수도 있다. 일 실시형태에서, 단일 에칭 페이즈의 지속기간 동안 제거되는 물질의 양은 약 1 모노층이다. 다른 실시형태에서, 단일 에칭 페이즈의 지속기간 동안 제거되는 물질의 양은 1 모노층 미만이다.
순환적 프로세스는 다수의 싸이클 동안 반복된다. 포토레지스트 마스크 상에 추가적인 보호층이 적층된다. 이 예에서, 구 보호층의 잔존부분은 신규 보호층의 일부가 된다. 그 후, 피쳐는 포토레지스트 마스크를 통해 더욱 에칭되고 (단계 312), 더 깊은 콘택트 홀을 제공한다. 바람직하게는, 이 가스조절된 싸이클 또는 적층 및 에칭 페이즈의 교번을 제공하는 루프는 3 회보다 많이 반복된다. 보다 바람직하게는, 이 싸이클은 20 회보다 많이 반복된다. 가장 바람직하게는, 이 싸이클은 100 회 이상 반복된다.
더이상의 에칭이 요구되지 않을 때, 가스조절된 순환적 프로세스 (단계 312) 는 완료된다. 마지막 싸이클에서, 에칭 페이즈는 보호층을 완전히 에칭할 수도 있다. 그러나, 또한, 순환적 에칭 프로세스 후의 후속 프로세싱 단계가 보호층을 제거하고 그리고/또는 산화층의 에칭을 완료하는데 이용될 수도 있다.
다른 실시형태에서, 가스조절된 순환적 프로세스는 산화 에칭이 완료되기 이전에 종료될 수도 있어, 에칭을 완료하기 위해 종래의 에칭 단계의 결합을 가능하게 한다. 이것은 산화층 아래에 있는 정지층 (stop layer) 에 대한 선택성을 제어하는 수단으로서 바람직할 수도 있다.
포토레지스트 마스크를 위한 물질의 예는, 딥 UV 포토레지스트, 193 ㎚ 포토레지스트, 157 ㎚ 포토레지스트, EUV 포토레지스트, e-빔 포토레지스트, 및 X-선 포토레지스트와 같은 포토레지스트의 더 새로운 세대를 포함할 수도 있지만, 이것들에 제한되는 것은 아니다. 포토레지스트 중합체 물질의 구세대는, 요구되는 높은 에칭 저항성, 즉 에칭 가스 혼합물에 대한 화학적 불활성을 제공하기 위해, C-C 이중 결합 및 페놀 그룹과 같은, 불포화 C-C 결합을 포함하도록 설계된다. 이 결합들은 강하고 끊기 위해 높은 활성화 에너지를 요구하고, 따라서 상대적으로 낮은 이온 에너지에서, 구세대 포토레지스트는 에칭 가스 혼합물에 대해 상당히 낮은 에칭 레이트를 나타낼 수 있다. 193 ㎚ 및 157 ㎚ 를 포함하는 포토레지스트의 새로운 세대는, 이들 불포화 결합이 리소그래피 (lithography) 노출 파장에서 흡수하기 때문에, 이들 불포화 결합을 포함하지 않을 수도 있다. 이들 불포화 결합의 부재는 상당히 감소된 포토레지스트 에칭 저항성을 야기한다. 순환적 프로세스 에칭 동안 포토레지스트 상에 보호 코팅을 제공함으로써, 심지어 높은 이온 충격 에너지에서도, 포토레지스트의 에칭 저항성은 상당히 향상된다. 본 발명이 포토레지스트의 에칭 저항성을 향상시킬 수도 있는 높은 이온 충격 에너지는 50 내지 2,000 eV 일 수도 있다. 보다 바람직하게는, 이온 충격 에너지는 200 내지 1500 eV 일 수도 있다. 가장 바람직하게는, 이온 충격 에너지는 500 내지 1,000 eV 일 수도 있다.
이론에 의해 제한되지 않고, 짧은 시간스케일에서 적층 및 에칭된 극히 얇은 필름의 특성은 두꺼운 필름의 특성과 상이하기 때문에, 순환적 프로세싱은 상이한 프로세싱 영역 체제를 제공한다. 가스조절된 순환적 프로세싱 접근법을 이용하여, 짧은 싸이클 시간으로, 측벽 필름 또는 최상부 포토레지스트 표면 상의 필름과 같은 극히 얇은 보호층이 적층된다. 이 필름 및 산화 필름은 후속적으로 싸이클의 다음 페이즈 동안 매우 적은 양으로 에칭된다. 얇은 보호층 두께는 모노층 범위 (즉, 서브-모노층, 모노층, 또는 몇몇 원자 또는 분자의 층) 일 수도 있다.
모노층 범위의 얇은 보호층의 생산은 적층 레이트 곱하기 적층 시간에 의존한다. 다양한 적층 레이트 및 적층 시간의 조합이 모노층 범위의 얇은 보호층을 제공하는데 이용될 수도 있다. 예를 들어, 대략 1 ㎚/초 의 측벽 적층 레이트 및 대략 2 ㎚/초 의 최상부 표면 적층 레이트를 제공하는 적층은, 적층 단계가 싸이클당 0.25 내지 0.5 초 일때, 대략 0.5 ㎚ 두께의 모노층 범위 얇은 보호층 (즉, 1 ㎚/초 적층 레이트 x 0.5 초 = 0.5 ㎚ 적층) 을 제공한다. 적층 레이트를 증가시키고 싸이클 시간을 감소시키는 것에 의해 또는 적층 레이트를 감소시키고 싸이클 시간을 증가시키는 것에 의해 동일한 모노층 범위가 달성될 수도 있다. 이러한 유연성은 추가적인 제어 변수를 제공한다.
이론에 의해 제한되지 않고, 보호층 필름의 두께가 구성 분자의 치수에 접근할수록, 예를 들어, 모노층 피복에 접근할수록, 필름은, 보호 필름의 벌크 특성과 별개인 화학적 및 물리적 특성을 채택할 수도 있다. 이 체제에서, 얇은 필름의 개념은 더이상 적용가능하지 않고, 표면에 존재하는 화학 종 및 물질의 표면근처 영역의 혼합을 고려하는 것이 보다 정확할 수도 있다. 그러한 종들은 약하게 결합된 피지소브드 (physisorbed) 종으로서 존재할 수도 있고, 더욱 강하게 결합된 케미소브드 (chemisorbed) 종으로서 존재할 수도 있고, 또는 더 큰 구조, 예를 들어, 중합체 분자, 글래스, 또는 벌크 크리스탈의 일부로서 존재할 수도 있다. 이들 표면 및 표면 근처 종들은 순환적 프로세싱의 보호층 페이즈 동안 적층된 보호 종을 포함할 것이지만, 또한, 순환적 프로세스의 에칭 페이즈 동안 적층되거나 생성된 종들 및 원 기판으로부터 또는 다양한 종들 사이에서의 화학적 반응으로부터 발생한 종들을 포함할 수도 있다. 대략적인 모노층 체제에서 특유한 특성은 이들 상이한 표면 및 표면근처 종들의 상호간에 그리고 기판 물질과의 상호작용으로부터 기인할 수도 있다. 이 상호작용은, 각 보호층 페이즈에서 복수의 모노층 또는 그 이상으로 기판을 피복하는, 더 두꺼운 보호층의 경우 억제될 것이며, 따라서 다음 에칭 페이즈가 시작되는 시간까지 보호 물질의 표면만을 노출시킨다.
이론에 의해 제한되지 않고, 각각의 별개의 보호 및 에칭 페이즈 동안 서브모노층 피복에 상응하여, 표면들이 각 싸이클 내에 제한된 플럭스를 수신하는 극단적인 경우, 진정 신규한 프로세스 체제가 달성된다. 이 경우, 교번하는 프로세스 단계들이 비록 프로세스를 제어하는데 실제로 이용되고 있을지라도, 그 교번하는 프로세스 단계들의 개념은 미시적 스케일에서 부정확해진다. 미시적 스케일에서, 표면 반응은 종들의 도착 및 출발 및 이들 종들의 화학적 반응에 기초하여 진행될 것이다. 반응들은 계속적으로 발생하지만, 이온과 같은 에너지 종들의 간헐적인 임팩트에 의해 종료되며, 이것은 하이퍼-서멀 (hyper-thermal) 반응을 구동할 수 있다. 임계 반응의 대부분은 여기 (excitation) 의 짧은 순간에 발생한다. 싸이클의 서브모노층 체제에서, 표면은, 그 표면에 도달하는 반응물의 플럭스가 본질적으로 2 개의 상이한 플라즈마 상태의 평균인 준안정 (quasi-steady) 상태를 관측 (see) 하며, 반응은 이들 종들의 혼합물 사이에서 발생한다.
표면에 도달하는 종들의 혼합물이 2 개의 상이한 플라즈마 상태로부터 생산되기 때문에, 이것은 종래의 단일-단계, 안정 상태 에칭과 근본적으로 상이한 체제이다. 가스조절된 순환적 프로세스의 페이즈들의 프로세스 상태가 단일 안정-상태 레시피 단계로 결합되는 경우, 표면에 도달하는 종들의 플럭스의 결과적인 시-평균된 플럭스는 플라즈마의 상이한 가스들의 상호작용에 기인하여 변경될 것이다. 가스조절된 순환적 프로세싱으로 플라즈마 상태들을 시간에서 분리함으로써, 표면에 도달하는 종들의 전체 혼합물은 전례가 없는 정도로 제어될 수 있다. 가스 화학물을 조절할 수 있는 능력에 기인하여, 싸이클의 2 개의 상이한 페이즈에 대한 조건은 매우 상이할 수 있다. 그 결과, 혼합물을 달성하기 위해, 매우 상이한 화학적 종들이 싸이클의 상이한 페이즈에서 생산될 수 있으며, 이것은, 단일-단계 안정-상태 프로세스로는 불가능할 것이다. 이 혼합물은 싸이클의 교번하는 페이즈들에 의해 생산되는 2 개의 개별 플라즈마 상태들로부터의 플루언스 (fluences) 의 선형 조합이다. 이들 플루언스의 비는 싸이클 시간 비에 의해 제어된다. 따라서, 싸이클 시간 비는 추가적인 프로세스 제어 변수가 된다.
가스-조절된 순환적 프로세싱 접근법은 짧은 싸이클 시간 체제에서 액세스 가능한 준모노층 (near-monolayer) 및 서브모노층 피복 (모노층 범위) 의 체제를 제공할 수 있다. 또한, 싸이클 시간을 충분히 증가시킴으로써, 지속된 에칭 조건으로 교번하는 많은 서브모노층의 두께와 함께 벌크 보호층의 체제는 액세스될 수도 있다. 싸이클 시간의 이 2 개의 극단 (extreme) 사이에서, 그 접근법의 2 개의 극단에 대해 바람직한 그리고 바람직하지 않은 결과 특성들의 조율을 가능하게 하기 위해, 행동 (behavior) 의 연속 (continuum) 이 액세스될 수도 있다. 따라서, 발명의 가스조절된 순환적 프로세싱은 이 연속에서 이들 체제의 모든 것을 제공할 수 있는 유연성을 제공한다. 따라서, 전체 싸이클 시간은 추가적인 프로세스 제어 변수가 된다.
도 7a 내지 7e 는 서브모노층을 이용하여 빠른 싸이클의 체제에서 표면 상에 물질의 빌드업을 개략적으로 나타낸다. 이 예에서, 순환적 프로세스의 각 페이즈는 표면 사이트에 종들을 첨가하지만, 상이한 종들은 상이한 페이즈에서 생산된다. 이것은 상이한 페이즈에 대한 표면 위에서 흑색 및 백색 싸이클 사이의 교번에 의해 나타내어진다. 이 싸이클들은 가스 페이즈에서 및 표면 상에서 공여 분자 (depositor molecules) 를 나타낸다. 도 7a 에서, 초기 측벽 표면 (704) 은 표면 사이트 (706) 가 점유되지 않은 것으로 나타나 있다. 도 7b 는 순환적 프로세스의 제 1 페이즈의 효과를 나타내며, 여기서 제 1 페이즈의 플라즈마 상태에 의해 생산되는 공여 분자의 제 1 종 (708) 은 표면 (704) 의 표면 사이트 (706) 상에 적층된다. 제 1 페이즈의 제 1 애플리케이션 동안 모든 표면 사이트 (706) 가 점유되지 않음에 주목해야 한다. 도 7c 는, 가스 화학물의 조절 및 가능하게는 다른 프로세스 파라미터 때문에 제 1 페이즈의 공여 분자의 제 1 종 (708) 과 상이한 제 2 페이즈의 효과를 나타내며, 여기서, 제 2 종 (712) 공여 분자는 제 2 종의 플라즈마 조건에 의해 생산된다. 제 2 페이즈의 이 애플리케이션에서 하나 미만의 모노층이 표면 피복에 추가된다. 도 7d 는 순환적 프로세스의 제 1 페이즈의 다음 애플리케이션의 효과를 나타낸다. 이 애플리케이션에서, 모노층 피복은 완료되고, 제 2 층이 형성되기 시작한다. 도 7e 는, 제 1 및 제 2 페이즈에서 생산되는 상이한 종들 (708, 712) 로 구성된 각 층과 혼합된 필름인 몇 싸이클 후의 결과를 나타낸다.
도 8a 내지 8d 는 늦은 싸이클의 체제에서 표면 상에 물질의 빌드업을 개략적으로 나타낸다. 이것은 도 7a 내지 7e 의 예와 동일한 조건에서, 단지 전체 싸이클 시간만 대략 10 의 인자만큼 증가된 상태에서 달성된다. 도 8a 에서, 초기 표면 (804) 은 표면 사이트 (806) 가 점유되지 않은 것으로 나타나있다. 도 8b 는 순환적 프로세스의 제 1 페이즈의 효과를 나타내며, 여기서, 제 1 페이즈의 플라즈마 조건에 의해 생산되는 공여 분자의 제 1 종 (808) 은 측벽 표면 (804) 의 표면 사이트 (806) 상에 적층된다. 이 경우, 표면 피복의 복수의 모노층이 제 1 페이즈의 제 1 애플리케이션 동안 추가된다. 도 8c 는 순환적 프로세스의 제 2 페이즈의 효과를 나타내며, 여기서, 제 2 페이즈의 플라즈마 조건에 의해 생산되는 공여 분자의 제 2 종 (812) 은 공여 분자의 제 1 종 (808) 에 의해 형성되는 층 상에 적층된다. 표면 피복의 복수의 모노층이 제 2 페이즈의 제 1 애플리케이션 동안 추가된다. 도 8d 는 1과1/2 (one-and-one-half) 싸이클 후의 결과를 나타내며, 여기서, 순환적 프로세스의 단일 페이즈 동안 각각 생산된, 제 1 종 (808) 및 제 2 종 (812) 의 층으로 구성된 멀티층 필름을 갖는, 2 개의 상이한 필름의 교번 스택이다.
이 예들은, 전체 싸이클 시간이, 표면의 단일 모노층을 적층하기 위해 요구되는 시간에 필적하는 경우 달성될 수도 있는, 질적으로 상이한 미시적 결과를 나타내기 위해 제공된다. 이 2 가지 예에서 생산되는 상이한 표면 필름들은, 전체 싸이클 시간의 변형에만 기초하여, 웨이퍼 구조의 상이한 프로세스 결과에 상응할 수도 있다. 이것은, 단지 표면 메카니즘으로써의 적층과 함께 단순한 예이지만, 표면 메카니즘의 보다 복잡한 조합에 유사한 논의가 적용될 수 있다. 예를 들어, 순환적 프로세스의 교번하는 페이즈에 의해 적층 및 에천트 종에 교대로 노출되는 표면은 또한, 전체 싸이클 시간이 표면의 단일 모노층을 적층 또는 에칭하는데 요구되는 시간에 필적하는 경우 변형된 행동을 나타낼 수 있다.
전술한 바와 같이, 교번하는 보호 및 에칭 단계를 이용함으로써, 스트라이에이션 및 파세팅이 감소될 수도 있으며, 양호한 에칭 제어가 제공될 수도 있다. 이론에 의해 제한되지 않고, 가스조절된 순환적 프로세싱 및 교번하는 접근법의 보호 능력을 통해 액세스 가능한 준-모노층 및 서브모노층 보호 피복은, 스트라이에이션 및 파세팅을 감소시키고 보다 양호한 에칭 제어를 제공하는 것을 도울 수도 있는 특유한 특성을 제공하기 위해, 제어 및 변형될 수도 있다.
가스조절된 순환적 프로세싱 단계는, 보호층을 형성하기 위한 반응물 및 에칭을 위한 반응물이 교대로 제공되는 동안, 보호층 형성 및 에칭 모두를 위한 동일한 캐리어 가스 플로우를 이용함으로써 수행될 수도 있다. 또한, RF 전력, 온도, 및/또는 압력이 가스 플로우와 동기화되어 펄스화되어, 가스조절된 순환적 프로세스에서 각 페이즈에 대한 최적의 상태를 제공할 수도 있다.
다른 실시형태에서, 캐리어 가스들 및 반응물들의 전체 가스 혼합물은 교번된다. 또한, RF 전력, 온도, 및/또는 압력은 가스 플로우와 동기화되어 펄스화되어, 순환적 프로세스의 각 페이즈에 대한 최적 상태를 제공할 수도 있다. 다른 실시형태에서, 양 페이즈에 대해 동일한 가스가 이용될 수도 있지만, 상대적인 플로우 비는 각 페이즈에 대해 변경된다. 따라서, 가스조절된 순환적 프로세스의 2 개의 상이한 페이즈 사이에서 상이한 가스 화학물을 제공하기 위해, 2 개의 전적으로 상이한 가스를 사용할 수도 있고, 또는 동일한 캐리어 가스 및 상이한 활성 가스를 사용할 수도 있고, 또는 상이한 상대적 플로우를 갖는 동일한 가스를 사용할 수도 있다.
보호층 형성 및 에칭에 대해 동일한 캐리어 가스 플로우를 이용하는 상이한 가스 화학물들의 예에서, 에칭 가스 소스로부터의 에천트 가스는 보호층 형성 페이즈 동안에는 플라즈마 프로세싱 챔버로 제공되지 않는다. 이것은 에칭 가스 또는 적층 가스의 성분을 제공하지 않음으로써 행해질 수도 있다. 예를 들어, 산소 또는 산소 함유 가스가 에칭 가스에 대한 키 에칭 성분이다. 비록 C4F6 도 또한 에천트 가스에서 이용되지만, 이 예에서 산소 없이 C4F6 에 의해 에칭이 달성될 수 없다. 따라서, 보호층 형성 페이즈 동안 산소 또는 산소 함유 가스를 공급하지 않음으로써, 보호층 형성 동안 C4F6 가 제공되더라도, 보호층 형성 페이즈 동안 에칭 가스를 제공하지 않는 방법이다. 또한, 보호층 형성 프로세스는 보호 코팅을 형성하는 동안 최대로 (에칭될 층의 10% 미만을 포함하는) 논-에칭 (non-etching) 또는 나태하게 (negligently) 에칭하는 것이 바람직하다. CVD 및 스퍼터링은 에칭에 이용되지 않기 때문에, 그러한 적층 프로세스는 플라즈마 인핸스드 CVD 적층 또는 스퍼터링일 수도 있지만, 그것에 제한되는 것은 아니다. 적층 가스가 에칭 페이즈의 중합체 형성제와 동일한 경우, 적층 가스는 에칭 페이즈 동안 제공될 수도 있다. 또한, 에칭 페이즈 동안의 바이어스 전력은 방향성 에칭을 제공하기 위해 더 높을 수도 있다.
에칭 페이즈 동안 중합을 제공하기 위한 중합체 형성제의 존재 및 개별 적층 페이즈의 제공은 더욱 양호한 이방성 에칭 및 더 높은 에칭 레이트를 위한 더 높은 에너지 에칭 이온의 이용을 가능하게 한다. 에칭 페이즈 혼합물에서 패시베이션 가스들을 유지 (keep) 함으로써, 에칭 마스크의 바람직하지 못한 부식 및 손상 없이 더 높은 이온 에너지를 이용할 수 있다. 또한, 이방성 에칭은 에칭 페이즈의 지속기간 동안 달성될 수 있다. 보호층 형성 페이즈 및 에칭 페이즈가 교번하는 순환적 프로세스를 이용함으로써, 마스크 보호가 최적화될 수 있다. 이 접근법은 방전의 지연 가스 및 에칭의 내부반응 (inter-reactions) 을 회피한다. 예를 들어, 에칭 혼합물에 의해 생산되는 것보다 더욱 내구성이 높고 더 단단한 코팅을 형성하는 적층 화학물 혼합물이 선택될 수도 있다. 또한, 압력 및 농도와 같은 적층 화학물 조건은, 성분 및 두께와 같은 보호층 형성의 특성을 최적화하기 위해 조작될 수도 있다.
일부 혼합은 개별 적층 및 에칭 페이즈를 갖는 것의 효율성을 감소시키기 때문에, 적층 가스의 성분의 일부는 에칭 가스의 성분과 혼합되지 않는 것이 바람직할 수도 있다. 그 결과, 그러한 경우의 제어기는 한 가스가 다른 가스가 첨가되기 전에 고갈되도록 조절된 가스 플로우를 동기화할 것이다.
독립적인 보호층 형성 및 에칭-패시베이션 페이즈를 가짐으로써, 온도, 전력, 압력, 이온 에너지, 및 프로세싱 가스와 같은 프로세싱 조건들은 독립적으로 제어되어 각 페이즈에 대한 최적의 상태를 제공하도록 변할 수도 있다.
아르곤 또는 기타 불활성 가스가 에칭하는 동안 및 보호층을 형성하는 동안 모두에 캐리어 가스로서 이용될 수도 있다. 다른 불활성 가스의 예는 네온이다.
본 발명의 실시형태에서, 플라즈마 (전기적 방전에 의해 유지되는 대전 입자 및 화학물의 혼합물) 와 접촉할 수도 있는 챔버 벽 영역은 가능한 작고 상승된 온도로 유지되도록 제조된다. 이것의 목적은, 한 프로세싱 단계에서 형성되는 챔버 벽 영역의 코팅에 포함되는 화학적 요소를 해방시켜 후속 단계를 간섭시키는 소위 챔버 "메모리" 효과를 회피하기 위한 것이다. 챔버 벽 영역 상에서 전체 적층을 최소화시킴으로써, 이 효과는 감소될 수 있으며, 성능을 저하시킬 수도 있는 2 개의 상이한 페이즈 사이의 상호작용을 회피시킨다.
또한, 프리커서 소스로부터 프로세싱 챔버로의 가스 이동 시간이 매우 짧게 만들어지는 것이 바람직하다. 상수의 요구되는 플로우를 확립하는 시간 및 프로세싱 챔버에서 상기 가스의 완전한 부재를 확립하는 시간을 나타내는 가스 플로우 안정성 시간은, 하나의 안정한 가스 혼합물 조성으로부터 다음 조성으로의 전이가 매우 빠르도록, 매우 짧게 만들어진다. 이 목적은 성능을 저하시킬 수도 있는 2 개의 상이한 페이즈 사이의 화학물의 내부혼합 (inter-mixing) 을 회피하기 위한 것이다.
또한, 전기적 전력의 전기적 방전으로의 변환을 제어하는 제어 네트워크 및 전기 시스템은 방전 조건 및 전력 요구의 변화에 관해 매우 빠르게 반응한다. 또한, 가스 혼합물의 압력 및 웨이퍼 기판의 온도와 같은 프로세싱 챔버의 기타 외부 조건을 신속하게 변화시키고 안정화시킬 수 있는 것이 바람직하다. 그러한 프로세스 조건을 신속하게 변화시킬 수 있으면, 더 짧은 전체 싸이클 시간을 가능하게 하고, 프로세스 조건이 각각의 페이즈를 개별적으로 최적화시키기 위해 페이즈 사이에서 중대하게 변화될 수 있게 한다. 따라서, 프로세싱 상태의 신속한 조절을 동기화 및 제어할 수 있는 컴퓨터 시스템을 갖는 것이 또한 바람직할 수도 있다. 컴퓨터 시스템은 요구되는 순환적인 변화를 위한 명령을 전송하고, 프로세싱 챔버에서 복수의 조건 변화를 제공하는 다양한 디바이스를 위한 소정의 시간 딜레이를 이용하여 이 명령을 동기화시키기 위해 이용된다.
본 발명의 다른 실시형태는 순환적 프로세스에 대해 하나 이상의 추가적인 페이즈를 제공할 수도 있다. 예를 들어, 가스조절된 순환적 프로세스는, 단일 싸이클에서 3 개의 적층 페이즈 및 3 개의 적층 페이즈와 같이, 6 개의 페이즈를 가질 수도 있다. 추가적인 페이즈의 추가는 각 싸이클의 증가된 복잡성에 의해 제한될 수도 있다.
다른 실시형태는 에칭 페이즈 동안 중합체 제공 가스의 이용을 제거할 수도 있다. 본 발명의 다른 실시형태는, 적층 페이즈 및 에칭 페이즈 대신에 2 개의 에칭 페이즈를 제공할 수도 있다. 그러한 실시형태에서, 하나의 에칭 페이즈는 테이퍼링된 프로파일 (tapered profiled) 을 생산하는 에칭 프로세스 조건일 수도 있고, 제 2 에칭 페이즈는 보우드 프로파일 (bowed profiled) 을 생산하는 에칭 프로세스 조건일 수도 있다. 짧은 싸이클 시간의 체제에서, 싸이클의 각 페이즈는 하나 미만의, 대략 하나의, 또는 에칭되고 있는 표면의 몇 개의 모노층을 변형할 수도 있다. 이 경우 2 개의 상이한 에칭 페이즈 사이에서의 교번은 정제된 프로세스 제어 성능을 생성할 것이다. 또한, 가스조절된 순환적 접근법은, 단일-단계 안정-상태 조건에 의해 생산될 수 없는, 종들의 혼합물을 표면에 전달할 수 있는 성능을 제공한다. 또한, 각 페이즈에서 생산되는 종들 사이의 비율은 싸이클 시간 비에 의해 용이하게 제어된다. 본 발명의 다른 실시형태는 2 개의 적층 페이즈 및 단일 에칭 페이즈를 제공할 수도 있다. 본 발명의 다른 실시형태는 단일 적층 페이즈 및 2 개의 에칭 페이즈를 제공할 수도 있다. 본 발명의 다른 실시형태는 가스-조절된 순환적 프로세스의 시퀀스를 제공할 수도 잇으며, 각 순환적 프로세스는 전체 싸이클 시간, 싸이클 시간 비율, 및/또는 개별 페이즈에 대한 프로세스 조건에 의해 구별된다. 이 페이즈 조건들은 가스 조성, 가스 플로우, RF 전력, 압력, 및/또는 온도를 포함한다. 에칭될 층은 오가노실리케이트 유리 또는 SiLK 와 같은 낮은-k 유전 필름이다.
순환적인 스트리핑 프로세스
이해를 촉진하기 위해, 도 4 는 본 발명의 일 실시형태에서 단일 포토레지스트 마스크를 스트리핑하기 위한 가스조절된 순환적 스트리핑 프로세스의 단계 (단계 124) 의 보다 구체적인 흐름도이다. 가스조절된 순환적 스트리핑 프로세스 동안, 프로세스 챔버는 2 개 이상의 페이즈 사이에서 조절한다. 한 페이즈는 보호층을 형성하기 위해 최적화된 단계이다 (단계 416). 다른 페이즈는 스트리핑을 위해 최적화된 단계이다 (단계 426). 이 페이즈들 사이의 교번은 가스 플로우 레이트와 가능하게는 RF 전력, 표면 온도, 및 가스 압력의 동기화된 조절에 의해 달성된다. 바람직한 실시형태에서, 전체 싸이클 시간은 21 초 이하이다. 보다 바람직하게는, 전체 싸이클 시간은 0.01 내지 10 초 로 수행된다. 가장 바람직하게는, 전체 싸이클 시간은 0.5 내지 5 초로 수행된다. 바람직하게는, 싸이클 시간 비율 (보호:에칭) 은 0.01:1 내지 20:1 사이이다. 보다 바람직하게는, 싸이클 시간 비율 (보호:에칭) 은 0.05:1 내지 5:1 사이이다. 가장 바람직하게는, 싸이클 시간 비율 (보호:에칭) 은 0.2:1 내지 2:1 사이이다. 바람직하게는, 가스조절은 약 3 내지 50,000 싸이클 동안 수행된다. 보다 바람직하게는, 가스조절은 약 10 내지 500 싸이클 동안 수행된다. 가장 바람직하게는, 가스 조절은 적어도 약 50 싸이클이 수행된다. 바람직하게는, 가스조절된 순환적 스트리핑 프로세스는 단일 포토레지스트 마스크를 스트리핑하기 위해 이용된다. 그러한 단일 포토레지스트 마스크는 비어 에칭을 위해 패터닝된 포토레지스트 마스크 및 트랜치 에칭을 위해 패터닝된 포토레지스트 마스크 대신에, 패터닝된 포토레지스트 마스크이다. 가장 바람직하게는, 그러한 단일 포토레지스트 마스크는 단일 층의 포토레지스트이다.
보호층을 형성하기 위해 최적화된 페이즈 (단계 416) 동안, 보호층은 에칭된 피쳐의 측벽 상에 그리고 가능하게는 포토레지스트 마스크의 최상부 상에 적층된다. 적층의 양이 포토레지스트 마스크 상에서 보다 측벽 상에서 우선적으로 더 많이 형성되도록 적층이 비대칭적일 수도 있다. 즉, 물질의 화학적 반응성의 차이 때문에 측벽 상에 우선적으로 코팅이 형성되는 방식이 되도록 적층 화학물이 선택될 수도 있다. 바람직한 실시형태에서, 에칭 피쳐의 측벽 상에 얇은 보호층을 적층하는 플라즈마 인핸스드 화학 기상 적층 (CVD) 프로세스를 이용하여 에칭 챔버에서 인시츄로 적층이 행해진다. 또한, 바람직한 실시형태에서, 적층 및 스트리핑 단계는 동일 챔버에서 수행된다. 적층 프로세스는 그러한 적층의 선택성을 가능하게 하기 위해 일부 이온 충격 에너지를 인가할 수도 있다.
다른 실시형태에서, 보호층의 공간적 분포 및 두께를 변화시키기 위해 스트리핑이 진행할수록 프로세싱 조건이 변경될 수도 있다. 예를 들어, 스트리핑이 진행할수록 피쳐의 측벽 상에 더 두꺼운 코팅을 형성하는 것이 바람직할 수도 있다. 에칭이 진행함에 따른 순환적 프로세싱 조건의 변화가 이것을 제공할 수도 있다. 층 형성 및 스트리핑은 별개의 싸이클의 페이즈이기 때문에, 층 형성 페이즈에 대한 프로세스 조건은 스트리핑 페이즈를 간섭하지 않고 이 결과를 위해 최적화될 수도 있다. 이와 다르게는, 전체 싸이클 시간 및/또는 싸이클 시간 비율은, 개별 페이즈에 대한 프로세스 파라미터에 어떠한 변화도 없이 스트리핑이 진행할수록 이 변화를 제공하기 위해 조정될 수도 있다.
보호층 형성 페이즈 (416) 는, 상이한 물질의 상이한 애플리케이션에 요구될 때 적층 가스의 상이한 조합을 포함할 수도 있는 순환적 스트리핑 프로세스 (412) 의 독립적인 페이즈이며, 여기서, 적층은 피쳐 주위에 보호층을 제공할 수도 있다. 바람직하게는, 이 페이즈에 할당되는 싸이클의 시간은 약 0.005 내지 10 초이다. 보다 바람직하게는, 이 페이즈에 할당되는 싸이클의 시간은 약 0.1 내지 5 초이다. 가장 바람직하게는, 이 페이즈에 할당되는 싸이클의 시간은 약 0.2 내지 2.5 초이다. 바람직하게는, 200 Å 미만의 두께를 갖는 층이 단일 보호층 형성 페이즈의 지속기간 동안 측벽 상에 형성된다. 보다 바람직하게는, 약 0.1 내지 100 Å 사이의 층이 단일 보호층 형성 페이즈의 지속기간 동안 측벽 상에 형성된다. 가장 바람직하게는, 약 1 내지 10 Å 사이의 층이 단일 보호층 형성 페이즈의 지속기간 동안 측벽 상에 형성된다. 약 10 Å 층 두께 미만의 경우, 피복은 모노층의 일부로서 보다 정확하게 설명될 수도 있다. 일 실시형태에서, 보호층은 단일 보호층 형성 페이즈의 지속기간 동안 단일 모노층을 형성한다. 다른 실시형태에서, 보호층은, 단일 원자 또는 분자층으로 표면을 완전히 피복하지 않고 그 대신에 단일 보호층 형성 페이즈의 지속기간 동안 표면 피복의 특성 퍼센티지 (즉, 75 %) 를 제공할 수도 있는 층인 서브-모노층을 형성한다.
스트리핑 페이즈 (420) 는 스트리핑을 진행시키도록 수행되는 순환적 스트리핑 프로세스 (128) 의 독립적인 페이즈이다.
바람직하게는, 이 페이즈에 할당되는 싸이클의 시간은 약 0.005 내지 10 초이다. 보다 바람직하게는, 이 페이즈에 할당되는 싸이클의 시간은 약 0.1 내지 5 초이다. 가장 바람직하게는, 이 페이즈에 할당되는 싸이클의 시간은 약 0.2 내지 2.5 초이다.
바람직하게는, 이 가스조절된 싸이클 또는 교번하는 적층 및 에칭 페이즈들을 제공하는 루프는 3 회보다 많이 반복된다. 보다 바람직하게는, 이 싸이클은 10 회보다 많이 반복된다. 가장 바람직하게는, 이 싸이클은 50 회 이상 반복된다.
더 이상의 에칭이 요구되지 않을 때, 가스조절된 순환적 스트리핑 프로세스 (단계 124) 는 완료된다. 마지막 싸이클에서, 에칭 페이즈는 보호층을 완전히 에칭할 수도 있다. 그러나, 또한, 보호층을 제거하고 그리고/또는 스트리핑 프로세스를 완료하기 위해, 순환적 스트리핑 프로세스 이후의 후속 프로세싱 단계가 이용될 수도 있다.
이론에 의해 제한되지 않고, 짧은 시간스케일에 적층 및 에칭되는 극히 얇은 필름의 특성은 두꺼운 필름의 특성과 상이하기 때문에, 순환적 프로세싱은 상이한 프로세싱 체제를 제공한다. 가스조절된 순환적 프로세싱 접근법을 이용하여, 짧은 싸이클 시간으로, 측벽 필름과 같은 극히 얇은 보호층이 적층된다. 얇은 보호층 두께는 모노층 범위 (즉, 서브-모노층, 모노층, 또는 몇 개의 원자 또는 분자의 층) 일 수도 있다.
모노층 범위의 얇은 보호층의 제조는 적층 레이트 곱하기 적층 시간에 의존한다. 다양한 적층 레이트 및 적층 시간 조합이 모노층 범위의 얇은 보호층을 제공하기 위해 이용될 수도 있다. 그러한 얇은 보호층의 특성은 에칭에 관하여 이미 설명하였다.
이론에 의해 제한되지 않고, 하나의 긴 단계에서 더 많은 보호층을 연속적으로 추가하는 대신에, 보호층 적층 및 포토레지스트 스트리핑을 싸이클시킴으로써, 보호층은 얇게 유지될 수도 있다.
포토레지스트가 그 위에서 스트리핑되는 에칭될 층은 바람직하게는 오가노실리케이트 유리 또는 SiLK 와 같은 낮은-k 유전체 필름이다. 보호층은 스트리핑 동안 낮은-k 유전체 필름에 대한 손상을 방지한다.
일 실시형태에서, 동일한 가스 및 프로세스 파라미터들이 양 페이즈에 대해 이용될 수도 있지만, 구성 가스의 상대적인 플로우 비율은 각 페이즈에 대해 변경된다.
다른 실시형태에서, 가스조절된 순환적 스트리핑 프로세싱 단계는, 보호층을 형성하기 위한 반응물과 스트리핑을 위한 반응물이 교대로 제공되는 한편, 보호층 형성 및 스트리핑을 위해 동일한 캐리어 가스 플로우를 이용함으로써 수행될 수도 있다. 또한, RF 전력, 온도, 및/또는 압력과 같은 다른 프로세스 파라미터가 가스 플로우와 동기화되어 펄스화되어, 가스조절된 순환적 프로세스에서 각 페이즈에 대해 최적의 조건을 제공할 수도 있다.
다른 실시형태에서, 캐리어 가스 및 반응물의 전체 가스 혼합물이 교번된다. 또한, RF 전력, 온도, 및/또는 압력은 가스 플로우와 동기화되어 펄스화되어, 순환적 프로세스의 각 페이즈에 대해 최적의 조건을 제공할 수도 있다. 따라서, 가스조절된 순환적 스트리핑 프로세스의 2 개의 상이한 페이즈 사이에서 상이한 가스 화학물을 제공하기 위해, 2 개의 완전히 상이한 가스를 이용할 수도 있고, 또는 동일한 캐리어 가스 및 상이한 활성 가스를 이용할 수도 있고, 또는 상이한 상대적 플로우의 동일한 가스를 이용할 수도 있다.
불활성 캐리어 가스가 적층 가스 또는 스트리핑 가스에 첨가될 수도 있다. 예를 들어, 적층 단계는 C2H4 및 Ar 에 의해 수행될 수 있다.
스트리핑하는 동안 중합체 형성 가스의 제공은 스트리핑 프로세스의 제어를 돕는다.
독립적인 보호층 형성 및 스트리핑 페이즈를 가짐으로써, 온도, 전력, 압력, 이온 에너지, 및 프로세싱 가스와 같은 프로세싱 조건들은 독립적으로 제어되어 각 페이즈에 대한 최적의 조건을 제공하기 위해 변할 수도 있다.
발명의 다른 실시형태는 순환적 스트리핑 프로세스에 하나 이상의 추가적인 페이즈를 제공할 수도 있다. 예를 들어, 가스조절된 순환적 스트리핑 프로세스는, 단일 싸이클에서 3 개의 적층 페이즈 및 3 개의 스트리핑 프로세스와 같은, 6 개의 페이즈를 가질 수도 있다. 추가적인 페이즈의 추가는 각 싸이클의 증가된 복잡도에 의해 제한될 수도 있다.
다른 실시형태는 스트리핑 페이즈 동안 중합체 제공 가스의 이용을 제거할 수도 있다.
실시예
발명의 예에서, OSG 의 낮은-k 유전층이 기판 위에 배치된다 (단계 104). 실리콘 산화물 캡 층이 낮은-k 유전층 위에 적층된다 (단계 108). 캡 층은 약 500 Å 두께이다. 유기 ARC 층이 캡 층 위에 형성된다 (단계 112). 패터닝된 포토레지스트 마스크가 ARC 위에 형성된다 (단계116). 이 예에서, 포토레지스트는 시프리 (Shipley) 로부터의 193 ㎚ PR 이었다.
그 후, 기판은 프로세스 챔버에 배치된다. 도 5 는 발명의 바람직한 실시형태에서 이용될 수도 있는 프로세스 챔버 (500) 의 개략도이다. 이 실시형태에서, 플라즈마 프로세싱 챔버 (500) 는 제한 링 (502), 상부 전극 (504), 하부 전극 (508), 가스 소스 (510), 및 배기 펌프 (520) 를 포함한다. 플라즈마 프로세싱 챔버 (500) 내부에서, 그 위에 산화층이 적층되는 기판 웨이퍼 (580) 는 하부 전극 (508) 위에 배치된다. 하부 전극 (508) 은 기판 웨이퍼 (580) 를 지지하기 위해 적합한 기판 척킹 메카니즘 (예를 들어, 정전기, 기계적 클램핑 등) 을 포함한다. 반응로 최상부 (528) 는 하부 전극 (508) 의 반대측에 배치된 상부 전극 (504) 을 포함한다. 상부 전극 (504), 하부 전극 (508), 및 제한 링 (502) 은 제한된 플라즈마 체적 (540) 을 규정한다. 가스는, 가스 소스 (510) 에 의해 가스 입구 (543) 를 통해 제한된 플라즈마 체적으로 공급되고, 배기 펌프 (520) 에 의해 제한된 플라즈마 체적으로부터 제한 링 (502) 및 배기 포트를 통해 배기된다. 배기 펌프 (520) 는 플라즈마 프로세싱 챔버의 가스 출구를 형성한다. RF 소스 (548) 는 하부 전극 (508) 에 전기적으로 접속된다. 챔버 벽 (552) 은, 제한 링 (502), 상부 전극 (504), 및 하부 전극 (508) 이 배치되는 플라즈마 인클로저를 정의한다. RF 소스 (548) 는 27 ㎒ 전력 소스 및 2 ㎒ 전력 소스를 포함할 수도 있다. 전극에 대한 RF 전력 접속의 상이한 조합이 가능하다.
본 발명에 의해 요구되는 싸이클 시간을 제공하기 위해 변형된, 캘리포니아주 프레몬트시의 Lam Research CorporationTM 에 의해 제조된 2300 ExelanTM 유전체 에칭 시스템이 발명의 바람직한 실시형태에서 이용될 수도 있다. 2300 ExelanTM 유전체 에칭 시스템은 용량적으로 커플링된 시스템이다. 제어기 (535) 는 RF 소스 (548), 배기 펌프 (520), 및 가스 소스 (510) 에 제거가능하게 접속된다. 샤워헤드가 가스 입구 (543) 에 접속될 수도 있다. 가스 입구 (543) 는 각 가스 소스에 대한 단일 입구이거나 각 가스 소스에 대한 상이한 입구이거나 또는 각 가스 소스에 대한 복수의 입구이거나 기타 가능한 조합일 수도 있다.
도 6a 및 6b 는 본 발명의 실시형태들에 이용되는 제어기 (535) 를 구현하기에 적합한 컴퓨터 시스템 (600) 을 나타낸다. 도 6a 는 컴퓨터 시스템의 가능한 물리적 형태의 하나를 나타낸다. 물론, 컴퓨터 시스템은 집적 회로, 인쇄 회로 보드, 및 작은 휴대용 디바이스에서부터 거대한 슈퍼컴퓨터에 이르기까지를 아우르는 다수의 물리적 형태를 포함할 수도 있다. 컴퓨터 시스템 (600) 은 모니터 (602), 디스플레이 (604), 하우징 (606), 디스크 드라이브 (608), 키보드 (610), 및 마우스 (612) 를 포함한다. 디스크 (614) 는 컴퓨터 시스템 (600) 과의 사이에서 데이터를 전송하는데 이용되는 컴퓨터 판독가능한 매체이다.
도 6b 는 컴퓨터 시스템 (600) 의 블록도의 예이다. 시스템 버스 (600) 에 광범위한 서브시스템이 부착되어 있다. 프로세서(들) (622; 중앙처리장치 또는 CPU 로도 지칭됨) 은 메모리 (624) 를 포함하는 저장 디바이스에 연결된다. 메모리 (624) 는 랜덤 액세스 메모리 (RAM) 및 리드-온리 메모리 (ROM) 를 포함한다. 당업계에 널리 공지된 바와 같이, ROM 은 데이터 및 명령을 CPU 및 RAM 으로 단방향으로 전송하는 역할을 하고, RAM 은 통상적으로 데이터 및 명령을 양방향 방식으로 전송하는데 이용된다. 이 타입들의 메모리 모두는 후술하는 임의의 적합한 컴퓨터 판독가능한 매체를 포함할 수도 있다. 또한, 고정 디스크 (626) 는 양방향으로 CPU (622) 에 연결되며; 추가적인 데이터 저장 용량을 제공하고, 또한 후술하는 임의의 컴퓨터 판독가능 매체를 포함할 수도 있다. 고정 디스크 (626) 는 프로그램, 데이터 등을 저장하는데 이용될 수도 있으며, 통상적으로, 주 저장 매체보다 느린 (하드디스크와 같은) 부 저장 매체이다. 고정 디스크 (626) 에 보존되는 정보는, 적절한 경우에, 메모리 (624) 의 가상 메모리에 표준 방식으로 포함된다. 착탈식 디스크 (614) 는 후술하는 임의의 컴퓨터 판독가능 매체의 형태를 취할 수도 있다.
또한, CPU (622) 는 디스플레이 (604), 키보드 (610), 마우스 (612) 및 스피커 (630) 와 같은 다양한 입/출력 장치에 연결된다. 일반적으로, 입/출력 장치는, 비디오 디스플레이, 트랙 볼, 마우스, 키보드, 마이크로폰, 터치감응 스크린, 트랜스듀서 카드 판독기, 마그네틱 또는 페이퍼 테이프 판독기, 타블렛, 스타일러스, 음성 또는 수기 인식기, 바이오메트릭 판독기 또는 기타 컴퓨터 중 임의의 것일 수도 있다. CPU (622) 는 선택적으로 네트워크 인터페이스 (640) 를 이용하여 다른 컴퓨터 또는 원거리통신 네트워크에 연결될 수도 있다. 그러한 네트워크 인터페이스를 이용하여, 전술한 방법 단계들을 수행하는 동안, CPU 가 네트워크로부터 정보를 수신하거나 네트워크로 정보를 출력할 것이 의도된다. 또한, 본 발명의 방법 실시형태들은 CPU (622) 에서만 실행할 수도 있고, 또는 프로세싱의 일부를 공유하는 원격 CPU 와 접속된 인터넷과 같은 네트워크를 통해 실행할 수도 있다.
또한, 본 발명의 실시형태들은 다양한 컴퓨터-구현 동작을 수행하기 위해 그 안에 컴퓨터 코드를 갖는 컴퓨터 판독가능 매체의 컴퓨터 저장 제품에 더 관련된다. 매체 및 컴퓨터 코드는 본 발명의 목적을 위해 특별히 설계 및 구성된 것일 수도 있고, 또는 컴퓨터 소프트웨어 분야의 당업자에게 널리 공지되고 가용한 종류일 수도 있다. 컴퓨터 판독가능 매체의 예들은, 하드디스크, 플로피디스크, 및 자기 테이프와 같은 자기 매체; CD-ROM 및 홀로그래픽 디바이스와 같은 광학 매체; 플롭티컬 디스크와 같은 자기-광 매체; 및 주문형 집적회로 (ASIC), 프로그래밍가능 논리 디바이스 (PLD) 및 ROM 및 RAM 디바이스와 같은 프로그램 코드를 저장 및 실행하도록 구성된 하드웨어 디바이스를 포함하지만, 이것들로 한정되는 것은 아니다. 컴퓨터 코드의 예들은, 컴파일러에 의해 생산되는 것과 같은 기계 코드, 및 해석기를 이용하여 컴퓨터에 의해 실행되는 고레벨 코드를 포함한다. 또한, 컴퓨터 판독가능 매체는 캐리어파에 포함되고 프로세서에 의해 실행가능한 명령들의 시퀀스를 나타내는 컴퓨터 데이터 신호에 의해 송신되는 컴퓨터 코드일 수도 있다.
피쳐는 ARC 층 및 낮은-k OSG 를 통해 에칭되었다 (단계 120). Ar 의 100 내지 500 sccm 의 에칭 화학물, 3 내지 15 sccm 의 C4F8, 1 내지 10 sccm 의 O2, 및 30 내지 200 sccm 의 N2 가 낮은-k OSG 층을 통해 에칭하는데 이용되었다. 챔버 압력은 60 내지 500 mTorr 로 설정되었다. 300 내지 1500 W 가 27 ㎒ RF 소스에 의해 제공되었다.
피쳐가 완전히 에칭된 후, 웨이퍼 가스조절 순환적 스트리핑 프로세스가 제공된다 (단계 124). 이 예에서, 동일한 구성 가스 O2 및 C2H4 가 보호층 형성 페이즈 (단계 416) 및 스트리핑 페이즈 (단계 420) 모두에 이용된다. 이 가스들의 가스 비는 보호층 형성 페이즈 (단계 416) 과 스트리핑 페이즈 (단계 420) 사이에서 변화된다.
이 예에서, 보호층 형성 페이즈 (단계 416) 의 수신은 45 mTorr 의 압력을 제공한다. 27 ㎒ 에서 300 W 가 제공되고, 2 ㎒ 에서 100 W 가 제공된다. 200 sccm 의 O2 가 제공된다. 100 sccm 의 C2H4 가 제공된다. 이 페이즈는 각 싸이클 마다 2 초 동안 제공된다.
이 예에서, 스트리핑 페이즈 (단계 416) 의 수신은 45 mTorr 의 압력을 제공한다. 27 ㎒ 에서 300 W 가 제공되고, 2 ㎒ 에서 100 W 가 제공된다. 200 sccm 의 O2 가 제공된다. 10 sccm 의 C2H4 가 제공된다. 이 페이즈는 각 싸이클 마다 2 초 동안 제공된다.
다른 실시형태들은 2 mTorr 및 300 mTorr 의 챔버 압력을 이용할 수도 있다. 50 내지 900 W 범위의 압력이 제공된다. 100 내지 500 sccm 의 O2 가 제공될 수도 있다. 50 내지 500 sccm 의 C2H4 가 제공될 수도 있다. 각 페이즈는 싸이클당 0.1 초 내지 0.5 초 사이일 수도 있다. 이 예에서, O2 는 스트리핑 가스로 이용되고, C2H4 는 보호층 형성 가스로 이용된다. 스트리핑을 위해 스트리핑 가스대 보호층 형성 가스의 비는 2:1 보다 큰 것이 바람직하다. 보다 바람직하게는, 스트리핑을 위해 스트리핑 가스 대 보호층 형성 가스의 비율은 10:1 내지 2:1 이다. 보호층 형성을 위해 스트리핑 가스 대 보호층 형성 가스의 비율을 1:1 이다. 보다 바람직하게는, 보호층 형성을 위해 스트리핑 가스대 보호층 형성 가스의 비는 1:1 내지 1:10 사이이다.
적층 단계 및 스트리핑 단계를 최적화함으로써, 보통의 연속적인 스트리핑 프로세스에 비해 스트리핑 손상이 50 % 보다 크게 향상될 수 있다. 최적 조건은 낮은-k 물질, 이전 에칭 단계, 및 PR 의 특성에 의존하였다. 최적의 결과를 얻기 위해 가스, RF 전력, 및 압력이 최적화되어야 한다.
이론에 의해 제한되지 않고, 적층 단계는 낮은-k 유전층의 측벽 위에 얇은 보호 코팅을 형성한다. 후속 스트리핑 단계는 포토레지스트 마스크를 스트리핑하고 보호 코팅의 일부를 제거한다. 순환적 프로세스를 제공함으로써, 보호층은 얇게 유지된다. 이것은 보호 코팅을 스트리핑 및 제공 모두를 행하는 단일 단계에 비해 우수한 것이다. 그러한 프로세스에서, 단일 단계는 보호층 형성을 계속하여, 스트리핑 프로세스의 종료시, 두꺼운 보호층이 남을 수도 있다. 또한, 이것은 단일 보호층 적층 단계 및 단일 스트리핑 단계를 제공하는 프로세스에 비해 더욱 우수한 것이다. 단일 적층 단계를 이용하는 것은, 결과 피쳐의 치명적인 치수를 손상시킬 수도 있는 형성되는 두꺼운 보호층을 요구한다.
따라서, 보호층 형성 페이즈는 제 1 가스 화학물을 이용하고, 스트리핑 페이즈는 제 1 가스 화학물과 상이한 제 2 가스 화학물을 이용한다. 이 예에서, 제 1 가스 화학물은 보호층 형성 가스 및 스트리핑 가스를 상이한 비율로 모두 포함하여 제 1 가스 화학물이 적층을 가능하게 하고 제 2 가스 화학물이 스트리핑을 가능하게 한다. 다른 실시형태에서, 제 1 가스 화학물은 스트리핑 가스 없이 보호층 가스만일 수도 있으며, 제 2 가스 화학물은 보호층 형성 가스 없이 스트리핑 가스만일 수도 있다. 이 다양한 실시형태들은 제 1 가스 화학물이 보호층 형성 가스를 포함하고, 제 2 가스 화학물이 스트리핑 가스를 포함하는 것으로 요약된다.
보호층 형성 가스를 형성하는데 이용될 수도 있는 가스는, C2H4, CH4, CH4, C2H4, C2H6, C2H2, C3H8, CO, SiH4, Si(CH3)4, Si(C2H5)4, CH3F, C2H5F, C3H7F, 및 C2H3F 로 이루어진 군으로부터 선택될 수도 있다. 일반적으로, 그러한 보호층 가스는 하이드로카본이다.
스트리핑 가스에 이용될 수도 있는 가스는, O2, H2, N2, 수증기, CO2 및 NH3 로 이루어진 군으로부터 선택될 수도 있다.
이 발명이 다수의 바람직한 실시형태의 관점에서 설명되었지만, 이 발명의 범위에 속하는 수정, 변환, 변형 및 다양한 대체 등가물이 존재한다. 또한, 본 발명의 방법 및 장치를 구현하는 많은 대체적인 방식이 존재한다. 따라서, 첨부된 청구범위는 본 발명의 진정한 사상 및 범위에 속하는 그러한 모든 수정, 변환, 변형, 및 다양한 대체 등가물을 포함하는 것으로 해석될 것이 의도된다.

Claims (14)

  1. 기판 위의 포토레지스트 에칭 마스크를 통해 낮은-k 유전층에서 피쳐를 에칭하는 방법으로서,
    기판 위에 낮은-k 유전층을 배치하는 단계;
    상기 낮은-k 유전층 위에 패터닝된 포토레지스트 마스크를 배치하는 단계;
    상기 낮은-k 유전층 내로 하나 이상의 피쳐를 에칭하는 단계; 및
    단일 포토레지스트 마스크를 스트리핑하기 위해 3 회보다 많은 싸이클 동안 가스가 조절되는 순환적 스트리핑 프로세스를 수행하는 단계를 포함하며,
    상기 싸이클 각각은,
    보호층 형성 가스를 포함하는 제 1 가스를 이용하여, 각 싸이클 동안 0.005 내지 10 초 동안 보호층 형성 페이즈를 수행하는 단계; 및
    상기 제 1 가스와 상이하고 스트리핑 가스를 포함하는 제 2 가스를 이용하여, 각 싸이클 동안 0.005 내지 10 초 동안 상기 포토레지스트 마스크를 스트리핑하기 위한 스트리핑 페이즈를 수행하는 단계를 포함하고,
    상기 보호층 형성 페이즈를 수행하는 단계는,
    상기 제 1 가스를 제공하는 단계; 및
    상기 제 1 가스로부터 플라즈마를 형성하여 보호층이 하나 이상의 피쳐의 측벽 상에 형성되고 상기 보호층의 두께가 증가되도록 하는 단계를 포함하고,
    상기 스트리핑 페이즈를 수행하는 단계는,
    상기 제 2 가스를 제공하는 단계; 및
    상기 제 2 가스로부터 플라즈마를 형성하여 상기 보호층의 두께가 감소하고 상기 포토레지스트 마스크의 일부가 스트리핑되도록 하는 단계를 포함하는, 피쳐 에칭 방법.
  2. 제 1 항에 있어서,
    상기 보호층 형성 페이즈는 두께가 200 Å 미만인 층을 형성하는, 피쳐 에칭 방법.
  3. 제 1 항에 있어서,
    상기 보호층 형성 페이즈는 두께가 0.1 과 100 Å 사이인 층을 형성하는, 피쳐 에칭 방법.
  4. 제 1 항에 있어서,
    상기 가스가 조절되는 순환적 스트리핑 프로세스를 수행하는 단계는 용량적으로 연결된 플라즈마 프로세스 챔버에서 수행되는, 피쳐 에칭 방법.
  5. 제 1 항에 있어서,
    상기 보호층 형성 페이즈 및 상기 스트리핑 페이즈는 공통 플라즈마 프로세싱 챔버에서 수행되는, 피쳐 에칭 방법.
  6. 제 5 항에 있어서,
    상기 공통 플라즈마 프로세싱 챔버는 용량적으로 연결된 플라즈마 프로세싱 챔버이고,
    상기 제 1 가스로부터 플라즈마를 형성하는 단계는 용량적으로 연결된 에너지를 제공하는 단계를 포함하는, 피쳐 에칭 방법.
  7. 삭제
  8. 삭제
  9. 제 1 항에 있어서,
    상기 보호층 형성 가스는 C2H4, CH4, CH4, C2H4, C2H6, C2H2, C3H8, CO, SiH4, Si(CH3)4, Si(C2H5)4, CH3F, C2H5F, C3H7F, 및 C2H3F 중 하나 이상인, 피쳐 에칭 방법.
  10. 제 1 항에 있어서,
    상기 스트리핑 가스는 O2, H2, N2, 수증기, CO2 및 NH3 중 하나 이상인, 피쳐 에칭 방법.
  11. 제 1 항에 있어서,
    상기 제 1 가스는 스트리핑 가스 대 보호층 형성 가스 비율이 1:1 미만이고,
    상기 제 2 가스는 스트리핑 가스 대 보호층 형성 가스의 비율이 10:1 과 2:1 사이인, 피쳐 에칭 방법.
  12. 제 1 항 내지 제 6 항 및 제 9 항 내지 제 11 항 중 어느 한 항에 기재된 방법으로 형성된, 반도체 디바이스.
  13. 제 1 항 내지 제 6 항 및 제 9 항 내지 제 11 항 중 어느 한 항에 기재된 방법을 수행하는, 장치.
  14. 기판 위의 단일층의 적어도 일부를 제거하는 방법으로서,
    가스가 조절되는 순환적 프로세스를 3 회보다 많은 싸이클 동안 수행하는 단계를 포함하며,
    상기 싸이클 각각은,
    적층 가스 화학물을 갖는 제 1 가스 화학물을 이용하여 보호층 형성 페이즈를 수행하는 단계로서, 상기 보호층 형성 페이즈는 각 싸이클 동안 0.005 내지 10 초 동안 수행되고, 상기 제 1 가스 화합물을 제공하는 단계, 및 상기 제 1 가스 화합물로부터 플라즈마를 형성하여, 보호층이 형성되게 하고 상기 보호층의 두께를 증가시키는 단계를 포함하는, 상기 보호층 형성 페이즈를 수행하는 단계; 및
    제거 가스 화학물을 갖는 제 2 가스 화학물을 이용하여 상기 단일층의 적어도 일부를 제거하기 위해 제거 페이즈를 수행하는 단계로서, 상기 제 1 가스 화학물은 상기 제 2 가스 화학물과 상이하고, 상기 제거 페이즈는 각 싸이클 동안 0.005 내지 10 초 동안 수행되고, 제 2 가스 화학물을 제공하는 단계 및 상기 제 2 가스 화학물로부터 플라즈마를 형성하여 상기 단일층의 적어도 일부의 제거를 유발하는 단계를 포함하는, 상기 제거 페이즈를 수행하는 단계를 포함하는, 층 제거 방법.
KR1020067027757A 2004-06-03 2005-05-27 가스 화학물 및 탄화 수소 첨가의 주기적 조절을 이용하는 플라즈마 스트리핑 방법 KR101160102B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/860,833 US7294580B2 (en) 2003-04-09 2004-06-03 Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition
US10/860,833 2004-06-03
PCT/US2005/018784 WO2005122226A1 (en) 2004-06-03 2005-05-27 Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition

Publications (2)

Publication Number Publication Date
KR20070032967A KR20070032967A (ko) 2007-03-23
KR101160102B1 true KR101160102B1 (ko) 2012-06-26

Family

ID=34979663

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020067027757A KR101160102B1 (ko) 2004-06-03 2005-05-27 가스 화학물 및 탄화 수소 첨가의 주기적 조절을 이용하는 플라즈마 스트리핑 방법

Country Status (8)

Country Link
US (1) US7294580B2 (ko)
EP (1) EP1754252A1 (ko)
JP (1) JP4971978B2 (ko)
KR (1) KR101160102B1 (ko)
CN (1) CN100524646C (ko)
IL (1) IL179695A (ko)
TW (1) TWI400749B (ko)
WO (1) WO2005122226A1 (ko)

Families Citing this family (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7547635B2 (en) * 2002-06-14 2009-06-16 Lam Research Corporation Process for etching dielectric films with improved resist and/or etch profile characteristics
US7977390B2 (en) 2002-10-11 2011-07-12 Lam Research Corporation Method for plasma etching performance enhancement
US6916746B1 (en) * 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
US7396769B2 (en) * 2004-08-02 2008-07-08 Lam Research Corporation Method for stripping photoresist from etched wafer
KR100607777B1 (ko) * 2004-12-27 2006-08-01 동부일렉트로닉스 주식회사 반도체 소자의 제조 방법
US7491647B2 (en) * 2005-03-08 2009-02-17 Lam Research Corporation Etch with striation control
US7695632B2 (en) 2005-05-31 2010-04-13 Lam Research Corporation Critical dimension reduction and roughness control
US7682516B2 (en) * 2005-10-05 2010-03-23 Lam Research Corporation Vertical profile fixing
KR100707803B1 (ko) * 2005-10-28 2007-04-17 주식회사 하이닉스반도체 리세스 게이트를 갖는 반도체 소자의 제조방법
US7910489B2 (en) * 2006-02-17 2011-03-22 Lam Research Corporation Infinitely selective photoresist mask etch
US20070275560A1 (en) * 2006-02-22 2007-11-29 Eiichi Nishimura Method of manufacturing semiconductor device
JP2007227529A (ja) * 2006-02-22 2007-09-06 Tokyo Electron Ltd 半導体装置の製造方法、プラズマ処理装置、及び記憶媒体
JP2008205436A (ja) * 2007-01-26 2008-09-04 Toshiba Corp 微細構造体の製造方法
US7585778B2 (en) * 2007-03-27 2009-09-08 Applied Materials, Inc. Method of etching an organic low-k dielectric material
JP2008311258A (ja) * 2007-06-12 2008-12-25 Applied Materials Inc 低誘電率の誘電材料の損傷を低減したマスキング材料の除去方法
US7785484B2 (en) * 2007-08-20 2010-08-31 Lam Research Corporation Mask trimming with ARL etch
US7838426B2 (en) * 2007-08-20 2010-11-23 Lam Research Corporation Mask trimming
US7709370B2 (en) * 2007-09-20 2010-05-04 International Business Machines Corporation Spin-on antireflective coating for integration of patternable dielectric materials and interconnect structures
US8084862B2 (en) * 2007-09-20 2011-12-27 International Business Machines Corporation Interconnect structures with patternable low-k dielectrics and method of fabricating same
US8618663B2 (en) * 2007-09-20 2013-12-31 International Business Machines Corporation Patternable dielectric film structure with improved lithography and method of fabricating same
US8809196B2 (en) * 2009-01-14 2014-08-19 Tokyo Electron Limited Method of etching a thin film using pressure modulation
US8691701B2 (en) * 2009-05-08 2014-04-08 Lam Research Corporation Strip with reduced low-K dielectric damage
US7637269B1 (en) * 2009-07-29 2009-12-29 Tokyo Electron Limited Low damage method for ashing a substrate using CO2/CO-based process
EP2499664A2 (en) * 2009-11-09 2012-09-19 3M Innovative Properties Company Process for anisotropic etching of semiconductors
CN101800174A (zh) * 2010-02-11 2010-08-11 中微半导体设备(上海)有限公司 一种含碳层的等离子刻蚀方法
CN101819933A (zh) * 2010-02-11 2010-09-01 中微半导体设备(上海)有限公司 一种含碳层的等离子刻蚀方法
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US8324114B2 (en) 2010-05-26 2012-12-04 Lam Research Corporation Method and apparatus for silicon oxide residue removal
CN102299097B (zh) * 2010-06-28 2014-05-21 中芯国际集成电路制造(上海)有限公司 一种金属连线刻蚀方法
US9039909B2 (en) * 2011-02-28 2015-05-26 Tokyo Electron Limited Plasma etching method, semiconductor device manufacturing method and computer-readable storage medium
US8399366B1 (en) * 2011-08-25 2013-03-19 Tokyo Electron Limited Method of depositing highly conformal amorphous carbon films over raised features
JP2013084695A (ja) * 2011-10-06 2013-05-09 Tokyo Electron Ltd 半導体装置の製造方法
JP5914007B2 (ja) * 2012-01-20 2016-05-11 昭和電工株式会社 磁気記録媒体の製造方法
US9679751B2 (en) 2012-03-15 2017-06-13 Lam Research Corporation Chamber filler kit for plasma etch chamber useful for fast gas switching
US9165783B2 (en) * 2012-11-01 2015-10-20 Applied Materials, Inc. Method of patterning a low-k dielectric film
US9040430B2 (en) 2013-06-27 2015-05-26 Lam Research Corporation Method of stripping organic mask with reduced damage to low-K film
US9184029B2 (en) * 2013-09-03 2015-11-10 Lam Research Corporation System, method and apparatus for coordinating pressure pulses and RF modulation in a small volume confined process reactor
US10297459B2 (en) 2013-09-20 2019-05-21 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9384998B2 (en) * 2014-12-04 2016-07-05 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9620377B2 (en) 2014-12-04 2017-04-11 Lab Research Corporation Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
US10170324B2 (en) 2014-12-04 2019-01-01 Lam Research Corporation Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
US9887097B2 (en) 2014-12-04 2018-02-06 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9543148B1 (en) 2015-09-01 2017-01-10 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch
US9595451B1 (en) 2015-10-19 2017-03-14 Applied Materials, Inc. Highly selective etching methods for etching dielectric materials
US10497578B2 (en) 2016-07-22 2019-12-03 Applied Materials, Inc. Methods for high temperature etching a material layer using protection coating
US10002773B2 (en) * 2016-10-11 2018-06-19 Lam Research Corporation Method for selectively etching silicon oxide with respect to an organic mask
US10276398B2 (en) 2017-08-02 2019-04-30 Lam Research Corporation High aspect ratio selective lateral etch using cyclic passivation and etching
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
US10727075B2 (en) 2017-12-22 2020-07-28 Applied Materials, Inc. Uniform EUV photoresist patterning utilizing pulsed plasma process
JP7022651B2 (ja) 2018-05-28 2022-02-18 東京エレクトロン株式会社 膜をエッチングする方法及びプラズマ処理装置
US11131919B2 (en) * 2018-06-22 2021-09-28 International Business Machines Corporation Extreme ultraviolet (EUV) mask stack processing
US11443954B2 (en) 2019-12-10 2022-09-13 Tokyo Electron Limited Method and apparatus for controlling a shape of a pattern over a substrate
CN113970880B (zh) * 2021-11-23 2024-05-28 江苏凯威特斯半导体科技有限公司 一种用于半导体光刻胶的清洗方法
KR20240040525A (ko) * 2022-09-21 2024-03-28 피에스케이 주식회사 기판 처리 방법

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04240729A (ja) * 1991-01-24 1992-08-28 Toshiba Corp パターン形成方法
JP2001068462A (ja) * 1999-07-20 2001-03-16 Samsung Electronics Co Ltd 選択的ポリマー蒸着を用いたプラズマエッチング方法及びこれを用いたコンタクトホール形成方法
WO2004034445A2 (en) * 2002-10-11 2004-04-22 Lam Research Corporation A method for plasma etching performance enhancement

Family Cites Families (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4414059A (en) * 1982-12-09 1983-11-08 International Business Machines Corporation Far UV patterning of resist materials
JPS6313334A (ja) 1986-07-04 1988-01-20 Hitachi Ltd ドライエツチング方法
KR900007687B1 (ko) * 1986-10-17 1990-10-18 가부시기가이샤 히다찌세이사꾸쇼 플라즈마처리방법 및 장치
US4698128A (en) 1986-11-17 1987-10-06 Motorola, Inc. Sloped contact etch process
JP2918892B2 (ja) 1988-10-14 1999-07-12 株式会社日立製作所 プラズマエッチング処理方法
DE4241045C1 (de) * 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
JP3437863B2 (ja) * 1993-01-18 2003-08-18 株式会社半導体エネルギー研究所 Mis型半導体装置の作製方法
JPH07226397A (ja) 1994-02-10 1995-08-22 Tokyo Electron Ltd エッチング処理方法
DE4317623C2 (de) * 1993-05-27 2003-08-21 Bosch Gmbh Robert Verfahren und Vorrichtung zum anisotropen Plasmaätzen von Substraten und dessen Verwendung
JP2674488B2 (ja) * 1993-12-01 1997-11-12 日本電気株式会社 ドライエッチング室のクリーニング方法
US5545289A (en) * 1994-02-03 1996-08-13 Applied Materials, Inc. Passivating, stripping and corrosion inhibition of semiconductor substrates
US5562801A (en) * 1994-04-28 1996-10-08 Cypress Semiconductor Corporation Method of etching an oxide layer
JPH0936089A (ja) 1995-07-19 1997-02-07 Toshiba Corp アッシング方法及びその装置
GB9616225D0 (en) * 1996-08-01 1996-09-11 Surface Tech Sys Ltd Method of surface treatment of semiconductor substrates
EP0822582B1 (en) 1996-08-01 2003-10-01 Surface Technology Systems Plc Method of etching substrates
DE19641288A1 (de) * 1996-10-07 1998-04-09 Bosch Gmbh Robert Verfahren zum anisotropen Plasmaätzen verschiedener Substrate
JPH10209118A (ja) * 1997-01-28 1998-08-07 Sony Corp アッシング方法
US5882535A (en) * 1997-02-04 1999-03-16 Micron Technology, Inc. Method for forming a hole in a semiconductor device
DE19706682C2 (de) * 1997-02-20 1999-01-14 Bosch Gmbh Robert Anisotropes fluorbasiertes Plasmaätzverfahren für Silizium
US6010603A (en) * 1997-07-09 2000-01-04 Applied Materials, Inc. Patterned copper etch for micron and submicron features, using enhanced physical bombardment
DE19730644C1 (de) * 1997-07-17 1998-11-19 Bosch Gmbh Robert Verfahren zum Erkennen des Übergangs unterschiedlicher Materialien in Halbleiterstrukturen bei einer anisotropen Tiefenätzung
US6187685B1 (en) * 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
DE19734278C1 (de) * 1997-08-07 1999-02-25 Bosch Gmbh Robert Vorrichtung zum anisotropen Ätzen von Substraten
DE19736370C2 (de) * 1997-08-21 2001-12-06 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silizium
US5942446A (en) * 1997-09-12 1999-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Fluorocarbon polymer layer deposition predominant pre-etch plasma etch method for forming patterned silicon containing dielectric layer
US6074959A (en) * 1997-09-19 2000-06-13 Applied Materials, Inc. Method manifesting a wide process window and using hexafluoropropane or other hydrofluoropropanes to selectively etch oxide
US5849639A (en) * 1997-11-26 1998-12-15 Lucent Technologies Inc. Method for removing etching residues and contaminants
KR100520148B1 (ko) * 1997-12-31 2006-05-12 주식회사 하이닉스반도체 신규한바이시클로알켄유도체와이를이용한포토레지스트중합체및이중합체를함유한포토레지스트조성물
US6387287B1 (en) * 1998-03-27 2002-05-14 Applied Materials, Inc. Process for etching oxide using a hexafluorobutadiene and manifesting a wide process window
US6071822A (en) * 1998-06-08 2000-06-06 Plasma-Therm, Inc. Etching process for producing substantially undercut free silicon on insulator structures
US6025255A (en) * 1998-06-25 2000-02-15 Vanguard International Semiconductor Corporation Two-step etching process for forming self-aligned contacts
US6211092B1 (en) * 1998-07-09 2001-04-03 Applied Materials, Inc. Counterbore dielectric plasma etch process particularly useful for dual damascene
US6406995B1 (en) * 1998-09-30 2002-06-18 Intel Corporation Pattern-sensitive deposition for damascene processing
JP2000208767A (ja) * 1998-11-13 2000-07-28 Seiko Epson Corp 半導体装置の製造方法
US6100200A (en) * 1998-12-21 2000-08-08 Advanced Technology Materials, Inc. Sputtering process for the conformal deposition of a metallization or insulating layer
US6187666B1 (en) * 1999-06-08 2001-02-13 Advanced Micro Devices, Inc. CVD plasma process to fill contact hole in damascene process
US6316169B1 (en) * 1999-06-25 2001-11-13 Lam Research Corporation Methods for reducing profile variation in photoresist trimming
US6235453B1 (en) 1999-07-07 2001-05-22 Advanced Micro Devices, Inc. Low-k photoresist removal process
WO2001029879A2 (en) 1999-10-20 2001-04-26 Mattson Technology, Inc. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
US6326307B1 (en) * 1999-11-15 2001-12-04 Appllied Materials, Inc. Plasma pretreatment of photoresist in an oxide etch process
US6391788B1 (en) * 2000-02-25 2002-05-21 Applied Materials, Inc. Two etchant etch method
US6451703B1 (en) * 2000-03-10 2002-09-17 Applied Materials, Inc. Magnetically enhanced plasma etch process using a heavy fluorocarbon etching gas
US6500743B1 (en) * 2000-08-30 2002-12-31 Advanced Micro Devices, Inc. Method of copper-polysilicon T-gate formation
US6569774B1 (en) * 2000-08-31 2003-05-27 Micron Technology, Inc. Method to eliminate striations and surface roughness caused by dry etch
US6403491B1 (en) * 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
DE10059836A1 (de) 2000-12-01 2002-06-13 Infineon Technologies Ag Verfahren zur Strukturierung dielektrischer Schichten
US20030027427A1 (en) * 2001-08-06 2003-02-06 Applied Materials, Inc. Integrated system for oxide etching and metal liner deposition
US6647994B1 (en) * 2002-01-02 2003-11-18 Taiwan Semiconductor Manufacturing Company Method of resist stripping over low-k dielectric material
JP2004119539A (ja) * 2002-09-25 2004-04-15 Sony Corp レジストパターンの除去方法
US6833325B2 (en) * 2002-10-11 2004-12-21 Lam Research Corporation Method for plasma etching performance enhancement
US6916746B1 (en) * 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04240729A (ja) * 1991-01-24 1992-08-28 Toshiba Corp パターン形成方法
JP2001068462A (ja) * 1999-07-20 2001-03-16 Samsung Electronics Co Ltd 選択的ポリマー蒸着を用いたプラズマエッチング方法及びこれを用いたコンタクトホール形成方法
WO2004034445A2 (en) * 2002-10-11 2004-04-22 Lam Research Corporation A method for plasma etching performance enhancement

Also Published As

Publication number Publication date
TWI400749B (zh) 2013-07-01
JP2008502146A (ja) 2008-01-24
KR20070032967A (ko) 2007-03-23
TW200614357A (en) 2006-05-01
WO2005122226A1 (en) 2005-12-22
JP4971978B2 (ja) 2012-07-11
CN100524646C (zh) 2009-08-05
IL179695A (en) 2011-08-31
US20040224520A1 (en) 2004-11-11
CN1993811A (zh) 2007-07-04
IL179695A0 (en) 2007-05-15
EP1754252A1 (en) 2007-02-21
US7294580B2 (en) 2007-11-13

Similar Documents

Publication Publication Date Title
KR101160102B1 (ko) 가스 화학물 및 탄화 수소 첨가의 주기적 조절을 이용하는 플라즈마 스트리핑 방법
KR101083623B1 (ko) 가스 화학물질의 주기적 조절을 사용하는 플라즈마 에칭방법
KR101029947B1 (ko) 플라즈마 에칭 성능 강화를 위한 방법
US6833325B2 (en) Method for plasma etching performance enhancement
TWI420594B (zh) 去氟化製程
US7977390B2 (en) Method for plasma etching performance enhancement
KR101555397B1 (ko) 포토레지스트 마스크 전처리를 갖는 플라즈마 프로세스
KR101573949B1 (ko) 산화물 스페이서를 이용한 피치 감소
JP5085997B2 (ja) プラズマエッチング性能強化方法及び装置
KR101075045B1 (ko) 플라즈마 에칭 성능 강화를 위한 방법
KR101606377B1 (ko) 주입 포토레지스트를 위한 보호층
EP4325550A1 (en) Substrate processing method

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20150605

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20160610

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20170612

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20190612

Year of fee payment: 8