CN100524646C - 利用气体化学物质和碳氢化合物添加的定期调制的等离子体剥离的方法 - Google Patents

利用气体化学物质和碳氢化合物添加的定期调制的等离子体剥离的方法 Download PDF

Info

Publication number
CN100524646C
CN100524646C CNB2005800257429A CN200580025742A CN100524646C CN 100524646 C CN100524646 C CN 100524646C CN B2005800257429 A CNB2005800257429 A CN B2005800257429A CN 200580025742 A CN200580025742 A CN 200580025742A CN 100524646 C CN100524646 C CN 100524646C
Authority
CN
China
Prior art keywords
gas
protective layer
etching
stage
forms
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB2005800257429A
Other languages
English (en)
Other versions
CN1993811A (zh
Inventor
S·允
J·朱
P·西里格利亚诺
S·李
T·S·蔡
P·勒温哈德特
M·H·威尔科克森
R·萨亚迪
E·A·哈森
J·V·蒂茨
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN1993811A publication Critical patent/CN1993811A/zh
Application granted granted Critical
Publication of CN100524646C publication Critical patent/CN100524646C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

一种用于在衬底上通过光致抗蚀剂蚀刻掩模在低k介质层中蚀刻特征件的方法。气体调制循环剥离过程为剥离单个光致抗蚀剂掩模在三个以上周期执行。气体调制循环剥离过程的各周期包括执行保护层形成阶段和剥离阶段。保护层形成阶段采用具有淀积气体化学物质的第一气体化学物质,其中,保护层形成阶段在各周期执行大约0.005至10秒。用于剥离光致抗蚀剂掩模的剥离阶段采用使用剥离气体化学物质的第二气体化学物质,其中第一气体化学物质不同于第二气体化学物质,其中,蚀刻阶段在各周期执行大约0.005至10秒。

Description

利用气体化学物质和碳氢化合物添加的定期调制的等离子体剥离的方法
相关申请
本申请是Hudson等人于2003年4月9日提交的标题为“利用气体化学的定期调制的等离子体蚀刻的方法”的美国专利申请No.10/411520的部分继续申请,通过引用将其结合于此。
技术领域
本发明涉及通过经由光致抗蚀剂掩模所定义的结构进行蚀刻、然后剥离掩模,在半导体晶片上获得某种结构的方法。
背景技术
在半导体等离子体蚀刻应用中,等离子体蚀刻机通常用来把掩模图案转印为晶片上的预期薄膜和/或薄膜叠层(导体或介质绝缘体)的电路和线路图案。这通过蚀刻掉掩模图案的敞开区域中的光致抗蚀剂材料之下的薄膜(以及薄膜叠层)来获得。这种蚀刻反应可通过激励又称作反应器或处理室的真空盒中包含的反应混合物中的放电所产生的化学活性核素和带电粒子(离子)来发起。另外,离子可能还通过气体混合物与晶片材料之间建立的电场朝晶片材料加速,以称作各向异性蚀刻的方式产生沿离子轨道的方向的蚀刻材料的定向消除。在蚀刻序列完成时,通过剥离掩模材料将它们消除,从而在其位置中留下原始预计掩模图案的横向图案的复制品。在蚀刻过程中,掩模材料通常被腐蚀和/或破坏来替换图案转印。因此,破坏和腐蚀的一部分还可转到基础层,留下诸如条纹、CD扩大、刻面之类的这类不希望的图案失真。
另外,对于低k介质材料(k<3.0),在光致抗蚀剂的剥离过程中,可能出现对低k介质材料的破坏,它可增加k值。在这种剥离过程中,希望限制剥离过程中的这种破坏。
发明内容
为了实现上述方面以及根据本发明的目的,提供一种用于通过衬底上的光致抗蚀剂蚀刻掩模来蚀刻低k介质层中的特征件的方法。低k介质层设置在衬底之上。形成图案的光致抗蚀剂掩模设置在低k介质层之上。至少一个特征件被蚀刻到低k介质层中。气体调制循环剥离过程为剥离单个光致抗蚀剂掩模执行三个以上周期。气体调制循环剥离过程的各周期包括执行保护层形成阶段和剥离阶段。在其中对于各周期执行大约0.005至10秒保护层形成阶段、采用具有淀积气体化学物质的第一气体化学物质的保护层形成阶段包括提供第一气体化学物质以及从第一气体化学物质形成等离子体,在其中,保护层在至少一个特征件的侧壁上形成,以及保护层的厚度增加。执行利用使用剥离气体化学物质的第二气体化学物质剥离光致抗蚀剂掩模的剥离阶段,其中第一气体化学物质不同于第二气体化学物质,在其中,蚀刻阶段对于各周期执行大约0.005至10秒,该剥离阶段的执行包括提供第二气体化学物质以及从第二气体化学物质形成等离子体,在其中,保护层的厚度减小,以及光致抗蚀剂掩模的一部分被剥离。
在本发明的另一个实施例中,提供用于消除衬底之上的单层的至少一部分的方法。气体调制循环过程执行三个以上周期。气体调制循环过程包括:执行采用具有淀积气体化学物质的第一气体化学物质的保护层形成阶段,在其中,保护层形成阶段对于各周期执行大约0.005至10秒;以及执行利用使用消除气体化学物质的第二气体化学物质消除单层的至少一部分的消除阶段,其中第一气体化学物质不同于第二气体化学物质,在其中,消除阶段对于各周期执行大约0.005至10秒。保护层形成包括提供第一气体化学物质以及从第一气体化学物质形成等离子体,它使保护层被形成并且使保护层的厚度增加。消除阶段包括提供第二气体化学物质以及从第二气体化学物质形成等离子体,从而引起单层的至少一部分的消除。
下面在本发明的详细说明中并结合以下附图更详细地描述本发明的这些及其它特征。
附图说明
结合附图对本发明进行作为实例而不是限制的说明,附图中,相似的参考标号表示类似的元件,附图包括:
图1是本发明的一个实施例所使用的过程的流程图。
图2A-C是根据图1的过程的所蚀刻低k介质层的示意侧视图。
图3是气体调制循环蚀刻过程的更详细流程图。
图4是气体调制循环剥离过程的更详细流程图。
图5是可用于实施本发明的***的示意图。
图6A和图6B说明计算机***,它适合实现本发明的实施例所使用的控制器。
图7A-E示意说明利用亚单分子层的快速循环的创造性体系中在表面上的材料的构建。
图8A-D示意说明较慢循环的体系中在表面上的材料的构建。
具体实施方式
现在将参照附图中所示的本发明的几个优选实施例来详细说明本发明。在以下描述中,阐述大量具体细节,以便提供对本发明的透彻理解。然而,本领域的技术人员清楚,没有这些具体细节的部分或全部,也可以实施本发明。在其它情况下,众所周知的过程步骤和/或结构没有进行详细描述,以免不必要地影响对本发明的理解。
为了便于论述,图1是本发明的一个实施例所使用的低k介质层的蚀刻过程的流程图。低k介质层优选地为有机硅酸盐玻璃(OSG)。图2A-C是根据图1的过程的低k介质层的示意侧视图。低k介质层204可淀积到衬底208上(步骤104),如图2A所示。衬底208可能是硅晶片或者另一种类型的材料,或者可能是晶片上的层的一部分。盖层212在低k介质层204上形成(步骤108)。盖层212可能是氧化硅。一般来说,盖层是介质材料的保护层。盖层212在化学机械抛光(CMP)及其它过程中保护低k介质层204。盖层212可能是低k介质,因为盖层是最终产品的一部分。盖层优选地是氧化硅基底材料的。盖层优选地具有大约
Figure C200580025742D00071
与大约
Figure C200580025742D00072
之间的厚度。在其它实施例中,可能存在一个以上盖层或者没有盖层。抗反射涂层(ARC)214淀积到盖层212上(步骤112)。抗反射涂层(ARC)214可能是有机底部抗反射涂层(BARC)或者无机介质抗反射涂层(DARC)。ARC具有大约
Figure C200580025742D00073
与大约之间的厚度。形成图案的抗蚀剂掩模216设置在ARC214上(步骤116)。形成图案的抗蚀剂掩模216具有孔口220。形成图案的抗蚀剂掩模可通过设置被曝光于光图案、然后再蚀刻的光致抗蚀剂层来形成。可采用形成形成图案的抗蚀剂掩模的其它方法。衬底208可具有接触209和势垒层210。
衬底208可设置在蚀刻低k介质层204的蚀刻室中(步骤120)。等离子体干蚀刻可用来蚀刻低k介质层204,它在形成图案抗蚀剂掩模216中的孔口220之下形成开口224,如图2B所示。形成图案抗蚀剂掩模216的一部分在低k介质层蚀刻期间被消除。这类低k介质蚀刻可采用化学蚀刻,例如采用基于氟的蚀刻剂。下面将论述这类蚀刻的具体实例,但是可采用各种蚀刻过程。
然后提供气体调制循环剥离过程,以便消除光致抗蚀剂掩模216和ARC层214而没有破坏低k介质层(步骤124)。如果ARC层为DARC,则不需要被消除。这个实例中所述的光致抗蚀剂掩模216是从单光致抗蚀剂层形成的单光致抗蚀剂掩模。
在本发明的一些实施例中,势垒层210可在光致抗蚀剂216被剥离之前或之后被打开。
蚀刻过程
在本发明的一个实施例中,在蚀刻低k介质的步骤中,保护层可在特征件的侧壁上形成。我们认为,形成厚度大约为10nm或以上的保护层、如侧壁钝化层、然后蚀刻、同时使用保护层作为钝化层,可能产生条纹和刻面。没有理论限制,我们认为这种厚度的层不是充分均匀的以提供对抗条纹的预期保护。我们认为,本发明提供的薄保护层显著地减少条纹。这种薄保护层还可减少刻面。我们还认为,这减少CD扩大,从而提供CD控制或者CD偏置的控制,其中CD偏置被定义为蚀刻期间的CD变化。
在这个实施例中,提供一种方法,其中,原地气体调制循环蚀刻过程在保护层形成阶段与蚀刻阶段之间交替,以便提高整体蚀刻性能,而没有不适当地损害简单性和成本效率。具体来说,调制包括过程原料气的组成和/或流量比的周期性变化,并且还可包括RF功率、气压和温度的同步变化。循环过程的特征在于总周期时间以及作为保护层形成阶段的时间与蚀刻阶段的时间之比的周期时间比。
Huang等人于2002年11月14日提交的标题为“等离子体蚀刻性能提高的方法”的美国专利申请No.10/295601公开了,原地等离子体过程可用于在蚀刻进展过程中增强和/或修复蚀刻特征件的掩模和/或垂直侧壁,通过引用将其结合于此以用于各种目的。在这种过程中,在晶片曝光于蚀刻等离子体预期持续时间之前和/或之后,在短持续时间里发起等离子体化学工序。
在这个实施例中,这种方法经过修改,使得负责保护掩模和侧壁的工序作为与相容的蚀刻阶段交替的气体调制循环过程的一个阶段被加入。
保护层形成过程可通过以下方式来选择:材料薄膜在掩模表面和/或被蚀刻薄膜的侧壁上形成,以便防止蚀刻腐蚀、刻面和条纹。这个薄涂层可能是与后续剥离过程相容的材料,以便于最后消除,但是比掩模材料更强抗蚀性。例如,包含极少、甚至没有其它元素的富碳薄膜可用来涂敷光致抗蚀剂掩模,使得被保护掩模特征件不易受到后续蚀刻过程的腐蚀。换言之,它可能改变掩模图案的表面组成,使得掩模相当于具有无定形碳硬掩模的某些有益蚀刻特性的伪硬掩模。或者,层形成过程也可按照以下方式使用:掩模图案上的薄涂层的形成极大地补偿和/或修复被先前蚀刻过程损坏/腐蚀的掩模图案。涂层对后续蚀刻反应的相对惰性是有益的,使得不改变在蚀刻步骤得到的精细平衡。或者,薄涂层可利用提供侧壁的平滑均匀覆盖的工艺条件来产生,以防止由粗糙和/或有褶皱的侧壁聚合物涂层而引发条纹。
蚀刻气体混合物可包含蚀刻剂种类和钝化种类,以便不损害与蚀刻化学物质中的钝化气体相关的好处。蚀刻与钝化成分的比率与多个其它处理条件一起经过细微平衡,以便得到最佳处理结果,例如光致抗蚀剂选择性、蚀刻各向异性和蚀刻速率等。放电功率可保持较高,以及带电粒子的能量也保持较高,以便在小尺寸结构中得到高蚀刻速率和良好的蚀刻各向异性。保护层形成和蚀刻周期重复很多次,直到完成蚀刻工作。
为了便于理解,图3是本发明的一个实施例中蚀刻低k介质层的步骤(步骤120)的更详细流程图。在气体调制循环蚀刻过程中,处理室在至少两个阶段之间进行调制。一个阶段是经过优化以形成保护层的步骤(步骤316)。另一个阶段是为蚀刻优化的步骤(步骤320)。这些阶段之间的交替通过气流速率以及可能的RF功率、表面温度和气压的同步调制来实现。在优选实施例中,总周期时间不大于大约21秒。总周期时间更优选地在0.01至10秒之内执行。总周期时间最优选地在0.5至5秒之内执行。周期时间比(保护:蚀刻)优选地在0.01与20之间。周期时间比(保护:蚀刻)更优选地在0.05与5之间。周期时间比(保护:蚀刻)最优选地在0.2与1之间。气体调制优选地执行大约3至50000个周期之间。气体调制更优选地执行大约20至1000个周期。气体调制最优选地执行至少大约100个周期。
在经过优化以便形成保护层的阶段(步骤316)中,保护层淀积到蚀刻特征件的侧壁上以及可能淀积到蚀刻掩模之上。淀积可能是不对称的,使得淀积量优先地在掩模材料上比在侧壁上更多地形成。这可通过位置到淀积源的视线以及通过所选淀积过程的选择性质进行辅助。换言之,淀积化学物质可按照以下方式进行选择:由于材料的化学反应性的不同,涂层优先在掩模材料上形成。在优选实施例中,淀积利用等离子体增强的化学汽相淀积(CVD)过程在蚀刻室中原地进行,它在光致抗蚀剂的侧壁上淀积薄保护层。淀积过程可施加某种离子轰击能量以便允许这类淀积的选择性。在这种过程中,侧壁的厚度可能大约为掩模上的层厚度的三分之二。
在其它实施例中,处理条件可能随着蚀刻前端经过被蚀刻材料而改变,以便改变保护层的厚度和空间分布。例如,可能希望随着蚀刻更深入进行,在被蚀刻薄膜的侧壁上形成更厚涂层,以便防止侧壁被后续蚀刻引起的进一步失真。当蚀刻进行时循环处理条件的变化可提供这种效果。由于层形成和蚀刻是周期的分开阶段,因此,可对这个结果优化层形成阶段的过程条件,而没有干扰蚀刻阶段。或者,总周期时间和/或周期时间比可随着蚀刻进行而调节,以便提供这种变化,而没有对各个阶段的过程参数的任何改变。在另一个优选实施例中,保护层可仅淀积到侧壁上。
在保护层形成阶段中,淀积气体的氟-碳比不大于2:1。可用于等离子体增强CVD的淀积化学物质的实例可以是、但不限于CH3F、CH2F2、C2H5F、C3H7F、C2H3F、CH4、C2H4、C2H6、C2H2、C3H8和SiH4、Si(CH3)4、Si(C2H5)4。优选的是,这些化学物质是无卤素的,或者具有不大于2:1的卤素-碳比。没有理论限制,我们认为基于碳的化学物质形成薄抗蚀刻无定形碳层。硅烷SiH4通常用于在光致抗蚀剂上形成无定形硅层(或者多晶硅层)。另外,可能通过存在某些F和H成分来修改保护层。在适当的离子轰击之下,其它元素、如F的存在可用于在不同材料表面上产生选择性活动,使得淀积优先出现在一个而不是其它材料上,例如在光致抗蚀剂掩模材料而不是在SiO2层上。其它方法、如溅射可用来形成保护层。
表I是在本发明的一个实施例中在循环过程的保护层形成阶段中可使用的一部分参数的表。
表I
 
优选范围 更优选范围 最优选范围
偏置电压 >50伏 >100伏 >300伏
偏置能量 >50eV >100eV >300eV
在这个实施例中,可通过施加由RF功率发生器提供的射频(RF)电压,在保持晶片材料的衬底上形成电负性(由此对晶片施加偏置)。其作用是使带正电粒子朝以RF电压的幅度控制的电负性所确定的能量电偏置的衬底移动。因此,能够通过控制施加到衬底座的RF功率(因而RF电压)来提供和改变离子轰击能量。
保护层形成阶段(步骤316)是循环蚀刻过程(步骤312)中的独立阶段,它可按照不同材料的不同蚀刻应用的需要包含淀积气体的不同组合,其中淀积可在包含掩模特征件的蚀刻特征件周围提供保护涂层。专用于这个阶段的周期的时间优选地大约为0.005至7秒。专用于这个阶段的周期的时间更优选地大约为0.05至5秒。专用于这个阶段的周期的时间最优选地大约为0.25至2.5秒。优选地在单保护层形成阶段的持续时间里在顶部和/或侧壁上形成具有小于
Figure C200580025742D00111
的厚度的层。更优选地在单保护层形成阶段的持续时间里在顶部和/或侧壁上形成大约0.1与
Figure C200580025742D00112
之间的层。最优选地在单保护层形成阶段的持续时间里在顶部和/或侧壁上形成大约1与
Figure C200580025742D00113
之间的层。在小于大约
Figure C200580025742D00114
的层厚度的情况中,覆盖可更精确地描述为单分子层的一小部分。在一个实施例中,保护层在单保护层形成阶段的持续时间里形成单一的单分子层。在另一个实施例中,保护层形成亚单分子层,它是在单保护层形成阶段的持续时间里没有采用单原子或分子层完全覆盖表面、而是可提供某种百分比(即75%)的表面覆盖率的层。
蚀刻阶段320是循环蚀刻过程312中的独立阶段,它被执行以便推进蚀刻前端,从而产生至少一个蚀刻特征件(步骤320)。蚀刻应用可包括但不限于介质接触蚀刻,其中包括高纵横比接触(HARC)、波纹蚀刻、介质沟槽蚀刻(浅或深)、自对准接触蚀刻、栅掩模开放蚀刻、通孔介质蚀刻、双波纹通孔蚀刻、双波纹沟槽蚀刻、导体栅蚀刻、导体深沟槽蚀刻、导体浅沟槽隔离蚀刻以及硬掩模开口。
蚀刻阶段优选地采用高离子能量来提供定向蚀刻。蚀刻阶段可在单蚀刻阶段的持续时间里消除保护层的部分或全部。某些表面上的所有保护层可在单蚀刻阶段的持续时间中消除。在这个实例中,已经消除形成光致抗蚀剂上以及在特征件的底部的侧壁的保护层。保护层的其它部分可能仅被部分消除。在这个实例中,仅消除光致抗蚀剂的顶面上的保护层的一部分。在其它实施例中,保护层的其它部分可能部分被蚀刻或者完全被蚀刻。蚀刻阶段消除要蚀刻的层的一部分,并推进蚀刻前端。
由于循环过程的蚀刻阶段采用高能量离子来提供定向蚀刻,所以可在蚀刻阶段中提供聚合物形成气体。聚合物形成气体可能是例如碳氢化合物、碳氟化合物以及碳氢氟化合物,诸如C4F6、C4F8、CH3F、CH2F2、CH4、C3F6、C3F8和CHF3。这些聚合物形成气体通常形成在蚀刻阶段中被连续淀积和蚀刻的聚合物层。
表II是在本发明的优选实施例中在循环过程的蚀刻阶段中可使用的一部分参数的表。
表II
优选范围 更优选范围 最优选范围
偏置电压 >200伏 >300伏 >400伏
偏置能量 >200eV >300eV >400eV
专用于这个阶段的周期的时间优选地大约为0.005至14秒。专用于这个阶段的周期的时间更优选地大约为0.05至7秒。专用于这个阶段的周期的时间最优选地大约为0.25至2.5秒。蚀刻的深度优选地在单蚀刻阶段的持续时间里增加不到
Figure C200580025742D00131
蚀刻的深度更优选地在单蚀刻阶段的持续时间里增加大约5与
Figure C200580025742D00132
之间。蚀刻的深度最优选地在单蚀刻阶段的持续时间里增加大约10与
Figure C200580025742D00133
之间。在单蚀刻阶段中小于大约
Figure C200580025742D00134
的蚀刻深度的变化的情况下,这种变化可更精确地描述为在单蚀刻阶段中消除的材料的单分子层的一小部分。在一个实施例中,在单蚀刻阶段的持续时间里消除的材料的数量大约为一个单分子层。在另一个实施例中,在单蚀刻阶段的持续时间里消除的材料的数量小于一个单分子层。
循环过程重复进行许多周期。附加保护层被淀积到光致抗蚀剂掩模上。在这个实例中,旧保护层的其余部分成为新保护层的一部分。然后,通过光致抗蚀剂掩模进一步蚀刻特征件(步骤312),提供更深的接触孔。提供交替淀积和蚀刻阶段的这个气体调制周期或循环优选地重复3次以上。这个周期更优选地重复20次以上。这个周期最优选地重复至少100次。
在不需要进一步蚀刻时,气体调制循环过程(步骤312)完成。在最后周期中,蚀刻阶段可完全蚀刻掉保护层。但是,循环蚀刻过程之后的后续处理步骤还可用于消除保护层和/或完成氧化层的蚀刻。
在一个备选实施例中,气体调制循环过程可在完成氧化物蚀刻之前终止,从而允许结合传统蚀刻步骤以完成蚀刻。作为控制对于氧化层之下的终止层的选择性的手段,这可能是合乎需要的。
光致抗蚀剂掩模的材料的实例可包括但不限于新一代光致抗蚀剂,例如深UV光致抗蚀剂、193nm光致抗蚀剂、157nm光致抗蚀剂、EUV光致抗蚀剂、电子束光致抗蚀剂和x射线光致抗蚀剂。旧一代光致抗蚀剂聚合材料设计成包含不饱和C-C键、如C-C双键和酚基,以便提供所要求的高抗蚀刻性、即对蚀刻气体混合物的化学惰性。这些键很强,并且需要高激活能量来***,因而以较低的离子能量,旧一代光致抗蚀剂可对蚀刻气体混合物呈现极低的蚀刻速率。包括193nm和157nm在内的新一代光致抗蚀剂可能不包含这些不饱和键,因为这些不饱和键在光刻曝光波长吸收。缺少这些不饱和键引起更减小的光致抗蚀剂抗蚀刻性。通过在循环过程蚀刻期间在光致抗蚀剂上提供保护涂层,即使以高离子轰击能量,光致抗蚀剂的抗蚀刻性也得到更多改进。本发明可用以改进光致抗蚀剂的抗蚀刻性的高离子轰击能量可能为50-2000eV。离子轰击能量更优选地可能为200-1500eV。离子轰击能量最优选地为500-1000eV。
没有理论限制,我们认为,循环处理提供不同的处理体系,因为在短时标被淀积和蚀刻的极薄的薄膜的属性不同于较厚薄膜的属性。利用气体调制循环处理方法,对于短周期时间,极薄的保留层被淀积、例如侧壁薄膜或者光致抗蚀剂顶面上的薄膜。这种薄膜和氧化物膜随后在下一个周期阶段期间被蚀刻极小量。薄保护层厚度可能处于单分子层范围(即亚单分子层、单分子层或者几个原子或分子的层)。
单分子层范围中的薄保护层的产生取决于淀积速率乘以淀积时间。各种淀积速率和淀积时间组合可用于提供单分子层范围中的薄保护层。例如,当淀积步骤在每个周期进行0.25-0.5秒(即1nm/sec淀积速率×0.5秒=0.5nm淀积)时,提供大约1nm/sec的侧壁淀积速率以及大约2nm/sec的顶面淀积速率的淀积提供具有大约0.5nm厚度的单分子层范围薄保护层。相同的单分子层范围可通过增加淀积速率并减小周期时间或者通过减小淀积速率并增加周期时间来取得。这种灵活性提供附加控制变量。
没有理论限制,我们还认为,当保护膜的厚度接近组成分子的尺寸、例如接近单分子层覆盖时,薄膜可采纳不同于保护膜的整体属性的化学及物理属性。在这种体系中,薄膜的概念可能不再适用,以及考虑材料的表面和近表面区域中存在的化学物类的混合物可能更精确。这类物类可能作为松结合的物理吸附物类、作为更紧密结合的化学吸附物类或者作为较大结构、例如聚合物分子、玻璃或大块晶体的一些部分呈现。这些表面和近表面物类将包括在循环处理的保护层阶段中淀积的保护物类,但是也可包括在循环过程的蚀刻阶段中淀积或放出的物类以及来自原始衬底或者从各个物类之间的化学反应产生的其它物类。近似单分子层体系中的唯一属性可从这些不同表面和近表面物类彼此相互作用以及与衬底材料的交互作用中产生。这些交互作用通常在通常在各保护层阶段中采用若干或更多单分子层覆盖衬底、因而到下一个蚀刻阶段开始时仅曝光保护材料的表面的较厚保护膜的情况中被抑制。
没有理论限制,我们还认为,在其中表面在与各单独保护和蚀刻阶段中的亚单分子层覆盖对应的各周期中接收有限通量的极端情况中,实现真正新颖的过程体系。在这种情况中,交替过程步骤的概念在显微标度变得不精确,即使它实际上用来控制该过程。在显微标度,表面反应将根据物类的到达和离开以及这些物类的化学反应来进行。反应连续发生,但是被可驱动超热反应的高能物类、如离子的偶发碰撞中断。临界反应的大部分在激励的这些短暂瞬间发生。在循环的亚单分子层体系中,表面经历准稳态,在其中,到达表面的反应物通量基本上是两个不同等离子体条件的平均,其中反应在这些物类的混合物之间发生。
我们认为,这是与传统的单步稳态蚀刻从根本上不同的体系,因为到达表面的物类的混合物从两个不同等离子体条件中产生。如果气体调制循环过程的阶段的过程条件结合为单个稳态方法步骤,则到达表面的物类的所得时间平均通量将因等离子体中不同气体的交互作用而被修改。我们认为,通过在时间上分开等离子体条件与气体调制循环处理,到达表面的物类的整体混合物可在空前程度上得到控制。由于调制气体化学物质的能力,周期的两个不同阶段的条件可能极为不同。因此,极为不同的化学物类可在周期的不同阶段中产生,以便取得采用单步稳态过程可能是不可能的混合物。这种混合物是来自周期的交替阶段所产生的两种分立等离子体条件的影响的线性组合。这些影响的比率由周期时间比控制。因此,周期时间比成为附加过程控制变量。
气体调制循环处理方法能够提供在短周期时间体系中可得到的准单分子层和亚单分子层覆盖(单分子层范围)的体系。通过充分增加周期时间,也可得到具有许多单分子层的厚度、与维持蚀刻条件交替的大保护层的体系。在周期时间的这两个极端情况之间,可得到行为的连续区,以便允许该方法的两个极端情况特有的希望和不希望结果的平衡。因此,创造性气体调制循环处理提供在这个连续区中提供所有这些体系的灵活性。因此,总周期时间成为附加过程控制变量。
图7A-E示意说明利用亚单分子层的快速循环的体系中在表面上的材料的构建。在这个实例中,循环过程的各阶段把物类添加到表面位置,但是在不同阶段中产生不同的物类。这通过不同阶段的表面上的黑与白周期的交替来表示。这些周期表示气相中以及表面上的淀积物分子。在图7A中,初始侧壁表面704表示为其中表面位置706未被占用。图7B说明循环过程的第一阶段的效果,在其中,第一阶段的等离子体条件所产生的淀积物分子的第一物类708被淀积到表面704的表面位置706上。注意,不是所有表面位置706均在第一阶段的第一应用中被占用。图7C说明第二阶段的效果,在其中,淀积物分子的第二物类712由第二阶段的等离子体条件产生,它们因气体化学性质的调制以及可能其它过程参数而不同于第一阶段的淀积物分子的第一物类708。不到一个单分子层在第二阶段的这个应用中被添加到表面覆盖。图7D说明循环过程的第一阶段的下一个应用的效果。在这个应用中,单分子层覆盖完成,以及第二层开始形成。图7E说明若干周期之后的结果,它是混合薄膜,其中的各层由第一和第二阶段中产生的不同物类708、712组成。
图8A-D示意说明较慢循环的体系中在表面上的材料的构建。这通过图7A-E中的实例的相同条件来实现,其中只有总周期时间增加到大致十倍。在图8A中,初始表面804表示为其中表面位置806未被占用。图8B说明循环过程的第一阶段的效果,在其中,第一阶段的等离子体条件所产生的淀积物分子的第一物类808被淀积到侧壁表面804的表面位置806上。在这种情况中,表面覆盖的若干单分子层在第一阶段的第一应用中被添加。图8C说明循环过程的第二阶段的效果,在其中,第二阶段的等离子体条件所产生的淀积物分子的第二物类812被淀积到淀积物分子的第一物类808所形成的层上。表面覆盖的若干单分子层在第二阶段的第一应用中被添加。图8D说明一个半周期之后的结果,在其中是两个不同薄膜的交替叠层,其中的多层薄膜由第一物类808和第二物类812的层组成,每一个在循环过程的单个阶段中产生。
这些实例被提出以说明质量不同的显微结果,它们可在总周期时间变成与淀积表面的单个单分子层所需的时间相当时获得。我们认为,只根据总周期时间的变化,在这两个实例中产生的不同表面薄膜可对应于晶片结构上的不同过程结果。这是一个简单实例,其中淀积作为仅有的表面机制,但是类似的说法可适用于表面机制的更复杂组合。例如,当总周期时间变为与淀积或蚀刻表面的单一单分子层所需的时间相当时,通过循环过程的交替阶段交替经过淀积和蚀刻剂物类的表面还可呈现已修改行为。
如上所述,我们认为,通过采用交替保护和蚀刻步骤,条纹和刻面可减小,并且可提供更好的蚀刻控制。没有理论限制,我们认为,通过气体调制循环处理可得到的准单分子层和亚单分子层保护覆盖的体系以及交替方法的保护功能可经过控制和修改,以便提供可帮助减小条纹和刻面以及提供更好的蚀刻控制的独特属性。
气体调制循环处理步骤可通过采用用于形成保护层以及蚀刻的相同载气流量来执行,同时交替提供用于形成保护层的反应物以及用于蚀刻的反应物。另外,RF功率、温度和/或压力可与气体流量同步地脉动,以便为气体调制循环过程中的各阶段提供最佳条件。
在另一个实施例中,交替载气和反应物的整个气体混合物。RF功率、温度和/或压力同样可与气体流量同步地脉动,以便为循环过程中的各阶段提供最佳条件。在另一个实施例中,相同的气体可用于两种阶段,但是对各阶段改变相对流量比。因此,为了在气体调制循环过程的两个不同阶段之间提供不同的气体化学性质,可使用两种完全不同的气体,或者使用相同的载气和不同的活性气体,或者使用具有不同相对流量的相同气体。
在使用相同载气流量用于形成保护层以及蚀刻的不同气体化学物质的一个实例中,来自蚀刻气体源的蚀刻剂气体在保护层形成阶段中没有被提供到等离子体处理室。这可通过不提供蚀刻气体或淀积气体的成分来进行。例如,氧或者包含气体的氧对于蚀刻气体是关键蚀刻成分。即使C4F6也用于蚀刻剂气体,在这个实例中,蚀刻也无法通过没有氧的C4F6来完成。因此,在保护层形成阶段不提供氧或者包含气体的氧,是一种在保护层形成阶段不提供蚀刻气体的方法,即使在保护层的形成过程中提供C4F6。同样优选的是,保护层形成过程最多是用于形成保护涂层的非蚀刻或可忽略蚀刻(包含要蚀刻的层的不到10%)。这种淀积过程可能是、但不限于等离子体增强CVD淀积或溅射,因为CVD和溅射不用于蚀刻。如果淀积气体与蚀刻阶段中的聚合物形成物相同,则淀积气体可在蚀刻阶段中提供。另外,蚀刻阶段中的偏置功率可能较高,以便提供定向蚀刻。
提供分开的淀积阶段以及聚合物形成物的存在以便在蚀刻阶段中提供聚合允许为较高蚀刻速率和更好的各向异性蚀刻使用更高能量蚀刻离子。通过把钝化气体保持在蚀刻阶段混合物中,能够使用较高离子能量而没有蚀刻掩模的不可接受腐蚀和破坏。另外,各向异性蚀刻可在蚀刻阶段的持续时间里实现。通过使用具有交替保护层形成阶段和蚀刻阶段的循环过程,可优化掩模保护。这种方法避免放电中的蚀刻和阻滞气体的相互反应。例如,淀积化学混合物可经过选择,它形成比蚀刻混合物所产生的更硬且更耐用的涂层。另外,淀积化学条件、如压力和浓度可设计成优化保护层形成的属性、如成分和厚度。
可能希望,淀积气体的成分的一部分没有与蚀刻气体的成分混合,因为某种混合减小具有分开淀积和蚀刻阶段的效率。因此,这类情况中的控制器将同步调制气体流量,使得一种气体在另一种气体被添加之前被耗尽。
通过具有独立的保护层形成和蚀刻钝化阶段,诸如温度、功率、压力、离子能量和处理气体之类的处理条件可独立控制,经过改变以提供各阶段的最佳条件。
氩或其它惰性气体可在蚀刻以及保护层形成过程中用作载气。另一种惰性气体的一个实例是氖。
在本发明的一个实施例中,可接触等离子体(由放电保持的化学物质和带电粒子的混合物)的室壁区域被制作成尽可能小,以及保持为升高的温度。其目的是避免所谓的室“记忆”效应,通过该效应,一个处理步骤中形成的室壁区域的涂层所包含的化学元素可释放而干扰后续步骤。通过使室壁区域上的总淀积为最小,这种效应可被减小,避免可能使性能降级的两个不同阶段之间的交互作用。
还可能希望,使从先驱源到处理室的气体传播时间极短。使表示建立恒定的预期流量的时间以及建立在处理室中完全没有所述气体的时间的气体流量稳定时间极短,从而可使从一种稳定气体混合物组成到下一个的转变极快。其目的是避免两个不同阶段之间的化学物质的相互混合,这可能使性能降级。
可能还希望,控制电力到放电的转换的电气***和控制网络相对于放电条件和功率要求的变化极迅速反应。此外,可能希望能够使处理室的其它外部条件、如气体混合物的压力和晶片衬底的温度迅速改变和稳定。允许这类过程条件迅速改变允许更短的总周期时间,以便允许过程条件在阶段之间显著变化,以便单独优化各个阶段。因此,可能还希望具有能够控制和同步处理条件的迅速调制的计算机化***。计算机***用来发送对于所要求定期变化的命令,以及利用在处理室中提供多个条件变化的各个装置的预定时间延迟来同步这些命令。
本发明的其它实施例可对循环过程提供一个或多个附加阶段。例如,气体调制循环过程可在单个周期中具有六个阶段,例如三个淀积阶段和三个蚀刻阶段。附加阶段的添加可通过各周期的增加复杂度来限制。
另一个实施例可消除蚀刻阶段中提供气体的聚合物的使用。本发明的另一个实施例可提供两个蚀刻阶段,而不是淀积阶段和蚀刻阶段。在这种实施例中,一个蚀刻阶段可能是产生锥形剖面的蚀刻过程条件,而第二蚀刻阶段则可能是产生弓形剖面的蚀刻过程条件。在短周期时间的体系中,周期的各阶段可能修改被蚀刻表面的不到一个、大致一个或者几个单分子层。在这种情况中,两个不同蚀刻阶段之间的交替通常产生细化过程控制功能。气体调制循环方法同样提供向表面传送物类的混合物的功能,它可能不是由单步稳态条件所产生的。另外,各阶段中产生的物类之间的比率易于通过周期时间比来控制。本发明的另一个实施例可提供两个淀积阶段和单个蚀刻阶段。本发明的另一个实施例可提供单个淀积阶段和两个蚀刻阶段。本发明的另一个实施例可提供一系列气体调制循环过程,各循环过程通过各个阶段的总周期时间、周期时间比和/或过程条件来区分。这些阶段条件包括气体组成、气体流量、RF功率、压力和/或温度。要蚀刻的层为低k介质薄膜、如有机硅酸盐玻璃或SiLK。
循环剥离过程
为了便于理解,图4是本发明的一个实施例中用于剥离单个光致抗蚀剂掩模的气体调制循环剥离过程的步骤(步骤124)的更详细流程图。在气体调制循环剥离过程中,处理室在至少两个阶段之间进行调制。一个阶段是经过优化以形成保护层的步骤(步骤416)。另一个阶段是为剥离经过优化的步骤(步骤426)。这些阶段之间的交替通过气流速率以及可能的RF功率、表面温度和气体压力的同步调制来实现。在优选实施例中,总周期时间不大于大约21秒。总周期时间更优选地在0.01至10秒之内执行。总周期时间最优选地在0.5至5秒之内执行。周期时间比(保护:蚀刻)优选地在0.01:1和20:1之间。周期时间比(保护:蚀刻)更优选地在0.05:1和5:1之间。周期时间比(保护:蚀刻)最优选地在0.2:1和2:1之间。气体调制优选地执行大约3至50000个周期之间。气体调制更优选地执行大约10至500个周期。气体调制最优选地执行至少大约50个周期。气体调制循环剥离过程优选地用来剥离单个光致抗蚀剂掩模。这种单个光致抗蚀剂掩模是形成图案的光致抗蚀剂掩模,而不是为通孔蚀刻形成图案的光致抗蚀剂掩模以及为沟槽蚀刻形成图案的光致抗蚀剂掩模。这种单个光致抗蚀剂掩模更优选地是单层光致抗蚀剂的。
在经过优化以便形成保护层的阶段(步骤416)中,保护层淀积到蚀刻特征件的侧壁上以及可能淀积到光致抗蚀剂掩模之上。淀积可能是不对称的,使得淀积的数量优先地在侧壁上比在光致抗蚀剂掩模上更多地形成。换言之,淀积化学物质可按照以下方式进行选择:由于材料的化学反应性的差异,涂层优先在侧壁上形成。在优选实施例中,淀积利用等离子体增强化学汽相淀积(CVD)过程在蚀刻室中原地进行,它在蚀刻特征件的侧壁上淀积薄保护层。另外,在优选实施例中,淀积和剥离步骤在同一个室中执行。淀积过程可施加某种离子轰击能量以便允许这类淀积的选择性。
在其它实施例中,处理条件可能当剥离进行时改变,以便改变保护层的厚度和空间分布。例如,可能希望在剥离进行时在特征件的侧壁上形成较厚涂层。当蚀刻进行时循环处理条件的变化可提供这种效果。由于层形成和剥离是周期的分开阶段,因此,可对这个结果优化层形成阶段的过程条件,而没有干扰剥离阶段。或者,总周期时间和/或周期时间比可在剥离进行时进行调节,以便提供这种变化,而没有对各个阶段的过程参数的任何改变。
保护层形成阶段416是循环剥离过程412中的独立阶段,它可按照不同材料的不同应用的需要包含淀积气体的不同组合,其中淀积可在特征件周围提供保护涂层。专用于这个阶段的周期的时间优选地大约为0.005至10秒。专用于这个阶段的周期的时间更优选地大约为0.1至5秒。专用于这个阶段的周期的时间最优选地大约为0.2至2.5秒。优选地在单保护层形成阶段的持续时间里在侧壁上形成具有小于的厚度的层。更优选地在单保护层形成阶段的持续时间里在侧壁上形成大约0.1与
Figure C200580025742D00222
之间的层。最优选地在单保护层形成阶段的持续时间里在侧壁上形成大约1与
Figure C200580025742D00223
之间的层。在小于大约10
Figure C200580025742D00224
的层厚度的情况中,覆盖可更精确地描述为单分子层的一小部分。在一个实施例中,保护层在单保护层形成阶段的持续时间里形成单一的单分子层。在另一个实施例中,保护层形成亚单分子层,它是在单保护层形成阶段的持续时间里没有采用单原子或分子层完全覆盖表面、而是可提供某种百分比(即75%)的表面覆盖率的层。
剥离阶段420是循环剥离过程128中的独立阶段,它被执行以推进剥离。
专用于这个阶段的周期的时间优选地大约为0.005至10秒。专用于这个阶段的周期的时间更优选地大约为0.1至5秒。专用于这个阶段的周期的时间最优选地大约为0.2至2.5秒。
提供交替淀积和蚀刻阶段的这个气体调制周期或循环优选地重复3次以上。这个周期更优选地重复10次以上。这个周期最优选地重复至少50次。
在不需要进一步蚀刻时,气体调制循环剥离过程(步骤124)完成。在最后周期中,蚀刻阶段可完全蚀刻掉保护层。但是,也可用循环剥离过程之后的后续处理步骤消除保护层和/或完成剥离过程。
没有理论限制,我们认为,循环处理提供不同的处理体系,因为在短时标被淀积和蚀刻的极薄的膜的属性不同于较厚薄膜的属性。利用气体调制循环处理方法,对于短周期时间,极薄的保护层被淀积、例如侧壁薄膜。薄保护层厚度可能处理单分子层范围(即亚单分子层、单分子层、或者几个原子或分子的层)。
单分子层范围中的薄保护层的产生取决于淀积速率乘以淀积时间。各种淀积速率和淀积时间组合可用于提供单分子层范围中的薄保护层。以上对于蚀刻论述了这类薄保护层的属性。
没有理论限制,我们认为,通过循环淀积保护层和剥离光致抗蚀剂,保护层可保持为很薄,而不是在一个长步骤中连续添加更多保护层。
其上的光致抗蚀剂被剥离的要蚀刻的层优选地为低k介质薄膜、如有机硅酸盐玻璃或SiLK。保护层防止剥离过程中对低k介质薄膜的破坏。
在一个实施例中,相同的气体和过程参数可用于两种阶段,但是对各阶段改变成分气体的相对流量比。
在另一个实施例中,气体调制循环剥离处理步骤可通过采用用于形成保护层以及剥离的相同载气流量来执行,同时交替提供用于形成保护层的反应物和用于剥离的反应物。另外,诸如RF功率、温度和/或压力等的其它过程参数可与气体流量同步地脉动,以便提供气体调制循环过程中的各阶段的最佳条件。
在另一个实施例中,交替载气和反应物的整个气体混合物。RF功率、温度和/或压力同样可与气体流量同步地脉动,以便为循环过程中的各阶段提供最佳条件。因此,为了在气体调制循环剥离过程的两个不同阶段之间提供不同的气体化学性质,可使用两种完全不同的气体,或者使用相同的载气和不同的活性气体,或者使用具有不同相对流量的相同气体。
惰性载气可被添加到淀积气体或者剥离气体。例如,淀积步骤可通过C2H4和Ar来进行。
在剥离期间提供聚合物形成气体帮助控制剥离过程。
通过具有独立保护层形成和剥离阶段,诸如温度、功率、压力、离子能量和处理气体之类的处理条件可独立控制,经过改变以提供各阶段的最佳条件。
本发明的其它实施例可对循环剥离过程提供一个或多个附加阶段。例如,气体调制循环剥离过程可在单个周期中具有六个阶段,例如三个淀积阶段和三个剥离阶段。附加阶段的添加可通过各周期的增加复杂度来限制。
另一个实施例可消除剥离阶段中提供气体的聚合物的使用。
实例
在本发明的一个实例中,OSG的低k介质层设置在衬底上(步骤104)。氧化硅盖层被淀积到低k介质层上(步骤108)。盖层大约为500
Figure C200580025742D0024095253QIETU
厚。有机ARC层在盖层上形成(步骤112)。形成图案光致抗蚀剂掩模在ARC上形成(步骤116)。在这个实例中,光致抗蚀剂是来自Shipley的193nm PR。
衬底则设置在处理室中。图5是可用于本发明的优选实施例的处理室500的示意图。在这个实施例中,等离子体处理室500包括密封环502、上电极504、下电极508、气体源510和排气泵520。在等离子体处理室500中,在其上淀积氧化层的衬底晶片580设置在下电极508上。下电极508结合用于夹持衬底晶片580的适当衬底夹紧机构(例如静电机械夹具等)。反应器顶部528结合直接设置成与下电极508相对的上电极504。上电极504、下电极508和密封环502定义密封等离子体体积540。气体通过气体入口543由气体源510提供给密封等离子体体积,并通过密封环502和排气端口由排气泵520从密封等离子体体积排出。排气泵520形成等离子体处理室的气体出口。RF源548电连接到下电极508。室壁552定义等离子体外壳,在其中设置了密封环502、上电极504和下电极508。RF源548可包括27MHz功率源和2MHz功率源。把RF功率连接到电极的不同组合是可行的。
修改为提供本发明所需的周期时间、由Lam ResearchCorporationTM(Fremont,California)制作的2300 ExelanTM介质蚀刻***可用于本发明的一个优选实施例中。2300 ExelanTM介质蚀刻***是电容耦合***。控制器535可控地连接到RF源548、排气泵520和气体源510。喷头可连接到气体入口543。气体入口543可能是各气体源的单个入口或者各气体源的不同入口或者各气体源的多个入口或者其它可能的组合。
图6A和图6B说明计算机***600,它适合实现本发明的实施例所使用的控制器535。图6A说明计算机***的一种可能的物理形式。计算机***无疑可具有许多物理形式,范围从集成电路、印刷电路板和小手持装置直到巨型超级计算机。计算机***600包括监视器602、显示器604、外壳606、盘驱动器608、键盘610和鼠标612。盘614是用于和计算机***600之间传递数据的计算机可读介质。
图6B是计算机***600的框图的一个实例。接到***总线620上的是各种各样的子***。处理器622(又称作中央处理器或CPU)耦合到包含存储器624在内的存储装置。存储器624包括随机存取存储器(RAM)和只读存储器(ROM)。如本领域众所周知的那样,ROM用于向CPU单向传递数据和指令,以及RAM通常用于以双向方式传递数据和指令。这两种类型的存储器都可包括以下所述的任何适当的计算机可读媒体。固定盘626还双向耦合到CPU 622;它提供附加数据存储容量,并且还可包括以下所述的计算机可读媒体的任一个。固定盘626可用来存储程序、数据等,并且通常是比主存储装置更慢的辅助存储介质(例如硬盘)。大家会理解,固定盘626中保持的信息在适当情况中可作为存储器624中的虚拟存储区以标准方式被结合。可移动盘614可采取以下所述的计算机可读媒体的任一个的形式。
CPU 622还耦合到各种输入/输出装置,例如显示器604、键盘610、鼠标612和喇叭630。一般来说,输入/输出装置可能是以下项的任一个:视频显示器、轨迹球、鼠标、键盘、话筒、触摸屏、传感读卡器、磁或纸带读取器、书写板、光笔、语音或手写识别器、生物测量读取器或者其它计算机。CPU 622可选地可采用网络接口640耦合到另一个计算机或电信网络。通过这种网络接口,可以预料,在执行上述方法步骤的过程中,CPU可能接收来自网络的信息或者可能向网络输出信息。此外,本发明的方法实施例可能仅在CPU 622上执行,或者可能通过网络、如因特网结合分担处理的一部分的远程CPU来执行。
另外,本发明的实施例还涉及具有计算机可读介质的计算机存储产品,其中具有用于执行各种计算机实现的操作的计算机代码。媒体和计算机代码可能是为了本发明专门设计和构造的,或者它们可能属于众所周知的种类并且是计算机软件领域的技术人员可得到的。计算机可读媒体的实例包括但不限于:磁媒体,例如硬盘、软盘和磁带;光媒体,例如CD-ROM和全息装置;光磁媒体,例如光磁软盘;以及硬件装置,专门配置成存储和执行程序代码,例如专用集成电路(ASIC)、可编程逻辑器件(PLD)以及ROM和RAM装置。计算机代码的实例包括例如编译程序所产生的机器码以及包含由计算机利用解释程序执行的高级代码的文件。计算机可读媒体也可能是以载波体现的计算机数据信号传送的并且表示处理器可执行的一系列指令的计算机代码。
特征件通过ARC层和低k OSG层来蚀刻(步骤120)。100~500sccm的Ar、3~15sccm的C4F8、1~10sccm的O2和30~200sccm的N2的蚀刻化学物质用于通过低k OSG层蚀刻。室压设置为60~500mTorr。300~1500W由27MHz RF源提供。
在特征件完全蚀刻之后,提供晶片、气体调制循环剥离过程(步骤124)。在这个实例中,相同的成分气体O2和C2H4同样用于保护层形成阶段(步骤416)和剥离阶段(步骤420)。这些气体的气体比在保护层形成阶段(步骤416)和剥离阶段(步骤420)之间改变。
在这个实例中,保护层形成阶段的方法(步骤416)提供45mTorr的压力。在27MHz提供300瓦特,以及在2MHz提供100瓦特。提供200sccm的O2。提供100sccm的C2H4。这个阶段在每个周期提供2秒。
在这个实例中,剥离阶段的方法(步骤416)提供45mTorr的压力。在27MHz提供300瓦特,以及在2MHz提供100瓦特。提供200sccm的O2。提供10sccm的C2H4。这个阶段在每个周期提供2秒。
其它实施例可采用2mTorr与300mTorr之间的室压。可在从50瓦特至900瓦特的范围内提供功率。可提供100-500sccm之间的O2。可提供50-500sccm之间的C2H4。各阶段可在每个周期0.1秒至5秒之间。在这些实例中,O2用作剥离气体,以及C2H4用作保护层形成气体。优选的是,对于剥离,剥离气体与保护层形成气体的比率大于2:1。更优选的是,对于剥离,剥离气体与保护层形成气体的比率为10:1至2:1之间。优选的是,对于形成保护层,剥离气体与保护层形成气体的比率小于1:1。更优选的是,对于形成保护层,剥离气体与保护层形成气体的比率为大约1:1与1:10之间。
通过优化淀积步骤和剥离步骤,与常规连续剥离过程相比,剥离破坏可能改进>50%。最佳条件取决于低k材料、前一个蚀刻步骤和PR的特性。气体、rf功率和压力必须经过优化以获得最佳结果。
不希望受到理论限制,我们认为,淀积步骤在低k介质层中的侧壁上形成薄保护涂层。后续剥离步骤剥离光致抗蚀剂掩模以及消除保护涂层的一部分。通过提供循环过程,保护层保持为很薄。这被认为优于剥离以及提供保护涂层的单一步骤。在这种过程中,单一步骤通常持续形成保护层,使得在剥离过程结束时可保持厚保护层。这也将更优于提供单个保护层淀积步骤和单个剥离步骤的过程。采用单个淀积步骤要求形成厚保护层,它可能损害所得特征件的关键尺寸。
因此,保护层形成阶段采用第一气体化学物质,以及剥离阶段采用不同于第一气体化学物质的第二气体化学物质。在这个实例中,第一气体化学物质以不同比例包含保护层形成气体以及剥离气体,使得第一气体化学物质允许淀积,以及第二气体化学物质允许剥离。在其它实施例中,第一气体化学物质可能仅为没有剥离气体的保护层气体,以及第二气体化学物质可能仅为没有保护层形成气体的剥离气体。这些各种实施例可概括为包含保护层形成气体的第一气体化学物质和包含剥离气体的第二气体化学物质。
可用于形成保护层形成气体的气体可从C2H4、CH4、CH4、C2H4、C2H6、C2H2、C3H8、CO、SiH4、Si(CH3)4、Si(C2H5)4、CH3F、C2H5F、C3H7F和C2H3F的组中选取。一般来说,这类保护层气体是碳氢化合物。
可用于剥离气体的气体可从O2、H2、N2、水蒸汽、CO2和NH3的组中选取。
虽然已经根据若干优选实施例描述了本发明,但是存在落入本发明的范围之内的变更、置换、修改和各种替代等效方案。还应当注意,存在实现本发明的方法和设备的许多备选方法。因此,以下所附权利要求应该被理解为包括落入本发明的精神和范围之内的所有这类变更、置换、修改和各种替代等效方案。

Claims (10)

1.一种用于在衬底上通过光致抗蚀剂掩模在低k介质层中蚀刻
特征件的方法,包括:
把低k介质层设置到衬底之上;
把形成图案的光致抗蚀剂掩模设置在所述低k介质层之上;
把至少一个特征件蚀刻到所述低k介质层中;以及
为剥离单个光致抗蚀剂掩模在三个以上周期执行气体调制循环剥离过程,其中各周期包括:
采用第一气体执行保护层形成阶段,其中,所述保护层形成阶段在各周期执行0.005至10秒,包括:
提供所述第一气体;以及
从所述第一气体形成等离子体,其中,在所述至少一个特征件的侧壁上形成保护层,并且所述保护层的厚度增加;以及
采用第二气体执行用于剥离所述光致抗蚀剂掩模的剥离阶段,其中所述第一气体不同于所述第二气体,其中所述剥离阶段在各周期执行0.005至10秒,包括:
提供所述第二气体;以及
从所述第二气体形成等离子体,其中,所述保护层的厚度减小,以及所述光致抗蚀剂掩模的一部分被剥离。
2.如权利要求1所述的方法,其特征在于,所述保护层形成阶段形成厚度小于200
Figure C200580025742C0002165520QIETU
的层。
3.如权利要求1所述的方法,其特征在于,所述保护层形成阶段形成厚度在0.1与100
Figure C200580025742C0002165520QIETU
之间的层。
4.如权利要求1-3中的任一项所述的方法,其特征在于,执行气体调制循环剥离过程在电容耦合等离子体处理室中执行。
5.如权利要求1-3中的任一项所述的方法,其特征在于,所述保护层形成阶段和所述剥离阶段在公共等离子体处理室中执行。
6.如权利要求5所述的方法,其特征在于,所述公共等离子体处理室是电容耦合等离子体处理室,其中,从所述第一气体形成所述等离子体包括提供电容耦合能量。
7.如权利要求1所述的方法,其特征在于,所述第一气体包括保护层形成气体,以及第二气体包括剥离气体。
8.如权利要求7所述的方法,其特征在于,所述保护层形成气体是C2H4、CH4、CH4、C2H4、C2H6、C2H2、C3H8、CO、SiH4、Si(C2H5)4、Si(C2H5)4、CH3F、C2H5F、C3H7F和C2H3F中的至少一种。
9.如权利要求7-8中的任一项所述的方法,其特征在于,所述剥离气体为O2、H2、N2、水蒸汽、CO2和NH3中的至少一种。
10.如权利要求7-8中的任一项所述的方法,其特征在于,所述第一气体具有小于1:1的剥离气体与保护层形成气体之比,以及所述第二气体具有10:1与2:1之间的剥离气体与保护层形成气体之比。
CNB2005800257429A 2004-06-03 2005-05-27 利用气体化学物质和碳氢化合物添加的定期调制的等离子体剥离的方法 Expired - Fee Related CN100524646C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/860,833 2004-06-03
US10/860,833 US7294580B2 (en) 2003-04-09 2004-06-03 Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition

Publications (2)

Publication Number Publication Date
CN1993811A CN1993811A (zh) 2007-07-04
CN100524646C true CN100524646C (zh) 2009-08-05

Family

ID=34979663

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2005800257429A Expired - Fee Related CN100524646C (zh) 2004-06-03 2005-05-27 利用气体化学物质和碳氢化合物添加的定期调制的等离子体剥离的方法

Country Status (8)

Country Link
US (1) US7294580B2 (zh)
EP (1) EP1754252A1 (zh)
JP (1) JP4971978B2 (zh)
KR (1) KR101160102B1 (zh)
CN (1) CN100524646C (zh)
IL (1) IL179695A (zh)
TW (1) TWI400749B (zh)
WO (1) WO2005122226A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102651336A (zh) * 2011-02-28 2012-08-29 东京毅力科创株式会社 等离子体蚀刻方法和半导体装置的制造方法

Families Citing this family (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7547635B2 (en) * 2002-06-14 2009-06-16 Lam Research Corporation Process for etching dielectric films with improved resist and/or etch profile characteristics
US7977390B2 (en) 2002-10-11 2011-07-12 Lam Research Corporation Method for plasma etching performance enhancement
US6916746B1 (en) * 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
US7396769B2 (en) * 2004-08-02 2008-07-08 Lam Research Corporation Method for stripping photoresist from etched wafer
KR100607777B1 (ko) * 2004-12-27 2006-08-01 동부일렉트로닉스 주식회사 반도체 소자의 제조 방법
US7491647B2 (en) * 2005-03-08 2009-02-17 Lam Research Corporation Etch with striation control
US7695632B2 (en) 2005-05-31 2010-04-13 Lam Research Corporation Critical dimension reduction and roughness control
US7682516B2 (en) * 2005-10-05 2010-03-23 Lam Research Corporation Vertical profile fixing
KR100707803B1 (ko) * 2005-10-28 2007-04-17 주식회사 하이닉스반도체 리세스 게이트를 갖는 반도체 소자의 제조방법
US7910489B2 (en) * 2006-02-17 2011-03-22 Lam Research Corporation Infinitely selective photoresist mask etch
JP2007227529A (ja) * 2006-02-22 2007-09-06 Tokyo Electron Ltd 半導体装置の製造方法、プラズマ処理装置、及び記憶媒体
US20070275560A1 (en) * 2006-02-22 2007-11-29 Eiichi Nishimura Method of manufacturing semiconductor device
JP2008205436A (ja) * 2007-01-26 2008-09-04 Toshiba Corp 微細構造体の製造方法
US7585778B2 (en) * 2007-03-27 2009-09-08 Applied Materials, Inc. Method of etching an organic low-k dielectric material
JP2008311258A (ja) * 2007-06-12 2008-12-25 Applied Materials Inc 低誘電率の誘電材料の損傷を低減したマスキング材料の除去方法
US7785484B2 (en) * 2007-08-20 2010-08-31 Lam Research Corporation Mask trimming with ARL etch
US7838426B2 (en) * 2007-08-20 2010-11-23 Lam Research Corporation Mask trimming
US7709370B2 (en) * 2007-09-20 2010-05-04 International Business Machines Corporation Spin-on antireflective coating for integration of patternable dielectric materials and interconnect structures
US8618663B2 (en) 2007-09-20 2013-12-31 International Business Machines Corporation Patternable dielectric film structure with improved lithography and method of fabricating same
US8084862B2 (en) * 2007-09-20 2011-12-27 International Business Machines Corporation Interconnect structures with patternable low-k dielectrics and method of fabricating same
US8809196B2 (en) * 2009-01-14 2014-08-19 Tokyo Electron Limited Method of etching a thin film using pressure modulation
US8691701B2 (en) * 2009-05-08 2014-04-08 Lam Research Corporation Strip with reduced low-K dielectric damage
US7637269B1 (en) * 2009-07-29 2009-12-29 Tokyo Electron Limited Low damage method for ashing a substrate using CO2/CO-based process
US8273663B2 (en) * 2009-11-09 2012-09-25 3M Innovative Properties Company Process for anisotropic etching of semiconductors
CN101800174A (zh) * 2010-02-11 2010-08-11 中微半导体设备(上海)有限公司 一种含碳层的等离子刻蚀方法
CN101819933A (zh) * 2010-02-11 2010-09-01 中微半导体设备(上海)有限公司 一种含碳层的等离子刻蚀方法
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US8324114B2 (en) 2010-05-26 2012-12-04 Lam Research Corporation Method and apparatus for silicon oxide residue removal
CN102299097B (zh) * 2010-06-28 2014-05-21 中芯国际集成电路制造(上海)有限公司 一种金属连线刻蚀方法
US8399366B1 (en) * 2011-08-25 2013-03-19 Tokyo Electron Limited Method of depositing highly conformal amorphous carbon films over raised features
JP2013084695A (ja) * 2011-10-06 2013-05-09 Tokyo Electron Ltd 半導体装置の製造方法
JP5914007B2 (ja) * 2012-01-20 2016-05-11 昭和電工株式会社 磁気記録媒体の製造方法
US9679751B2 (en) 2012-03-15 2017-06-13 Lam Research Corporation Chamber filler kit for plasma etch chamber useful for fast gas switching
US9165783B2 (en) * 2012-11-01 2015-10-20 Applied Materials, Inc. Method of patterning a low-k dielectric film
US9040430B2 (en) 2013-06-27 2015-05-26 Lam Research Corporation Method of stripping organic mask with reduced damage to low-K film
US9184029B2 (en) * 2013-09-03 2015-11-10 Lam Research Corporation System, method and apparatus for coordinating pressure pulses and RF modulation in a small volume confined process reactor
US10297459B2 (en) 2013-09-20 2019-05-21 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9887097B2 (en) 2014-12-04 2018-02-06 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9620377B2 (en) 2014-12-04 2017-04-11 Lab Research Corporation Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
US10170324B2 (en) 2014-12-04 2019-01-01 Lam Research Corporation Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
US9384998B2 (en) * 2014-12-04 2016-07-05 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9543148B1 (en) 2015-09-01 2017-01-10 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch
US9595451B1 (en) 2015-10-19 2017-03-14 Applied Materials, Inc. Highly selective etching methods for etching dielectric materials
US10497578B2 (en) 2016-07-22 2019-12-03 Applied Materials, Inc. Methods for high temperature etching a material layer using protection coating
US10002773B2 (en) * 2016-10-11 2018-06-19 Lam Research Corporation Method for selectively etching silicon oxide with respect to an organic mask
US10276398B2 (en) 2017-08-02 2019-04-30 Lam Research Corporation High aspect ratio selective lateral etch using cyclic passivation and etching
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
US10727075B2 (en) 2017-12-22 2020-07-28 Applied Materials, Inc. Uniform EUV photoresist patterning utilizing pulsed plasma process
JP7022651B2 (ja) * 2018-05-28 2022-02-18 東京エレクトロン株式会社 膜をエッチングする方法及びプラズマ処理装置
US11131919B2 (en) * 2018-06-22 2021-09-28 International Business Machines Corporation Extreme ultraviolet (EUV) mask stack processing
US11443954B2 (en) 2019-12-10 2022-09-13 Tokyo Electron Limited Method and apparatus for controlling a shape of a pattern over a substrate
CN113970880B (zh) * 2021-11-23 2024-05-28 江苏凯威特斯半导体科技有限公司 一种用于半导体光刻胶的清洗方法
KR20240040525A (ko) * 2022-09-21 2024-03-28 피에스케이 주식회사 기판 처리 방법

Family Cites Families (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4414059A (en) 1982-12-09 1983-11-08 International Business Machines Corporation Far UV patterning of resist materials
JPS6313334A (ja) 1986-07-04 1988-01-20 Hitachi Ltd ドライエツチング方法
KR900007687B1 (ko) 1986-10-17 1990-10-18 가부시기가이샤 히다찌세이사꾸쇼 플라즈마처리방법 및 장치
US4698128A (en) 1986-11-17 1987-10-06 Motorola, Inc. Sloped contact etch process
JP2918892B2 (ja) 1988-10-14 1999-07-12 株式会社日立製作所 プラズマエッチング処理方法
JPH04240729A (ja) * 1991-01-24 1992-08-28 Toshiba Corp パターン形成方法
DE4241045C1 (de) 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
JP3437863B2 (ja) 1993-01-18 2003-08-18 株式会社半導体エネルギー研究所 Mis型半導体装置の作製方法
JPH07226397A (ja) 1994-02-10 1995-08-22 Tokyo Electron Ltd エッチング処理方法
DE4317623C2 (de) 1993-05-27 2003-08-21 Bosch Gmbh Robert Verfahren und Vorrichtung zum anisotropen Plasmaätzen von Substraten und dessen Verwendung
JP2674488B2 (ja) * 1993-12-01 1997-11-12 日本電気株式会社 ドライエッチング室のクリーニング方法
US5545289A (en) * 1994-02-03 1996-08-13 Applied Materials, Inc. Passivating, stripping and corrosion inhibition of semiconductor substrates
US5562801A (en) 1994-04-28 1996-10-08 Cypress Semiconductor Corporation Method of etching an oxide layer
JPH0936089A (ja) 1995-07-19 1997-02-07 Toshiba Corp アッシング方法及びその装置
GB9616225D0 (en) 1996-08-01 1996-09-11 Surface Tech Sys Ltd Method of surface treatment of semiconductor substrates
EP0822582B1 (en) 1996-08-01 2003-10-01 Surface Technology Systems Plc Method of etching substrates
DE19641288A1 (de) 1996-10-07 1998-04-09 Bosch Gmbh Robert Verfahren zum anisotropen Plasmaätzen verschiedener Substrate
JPH10209118A (ja) * 1997-01-28 1998-08-07 Sony Corp アッシング方法
US5882535A (en) 1997-02-04 1999-03-16 Micron Technology, Inc. Method for forming a hole in a semiconductor device
DE19706682C2 (de) 1997-02-20 1999-01-14 Bosch Gmbh Robert Anisotropes fluorbasiertes Plasmaätzverfahren für Silizium
US6010603A (en) * 1997-07-09 2000-01-04 Applied Materials, Inc. Patterned copper etch for micron and submicron features, using enhanced physical bombardment
DE19730644C1 (de) 1997-07-17 1998-11-19 Bosch Gmbh Robert Verfahren zum Erkennen des Übergangs unterschiedlicher Materialien in Halbleiterstrukturen bei einer anisotropen Tiefenätzung
US6187685B1 (en) 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
DE19734278C1 (de) 1997-08-07 1999-02-25 Bosch Gmbh Robert Vorrichtung zum anisotropen Ätzen von Substraten
DE19736370C2 (de) 1997-08-21 2001-12-06 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silizium
US5942446A (en) 1997-09-12 1999-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Fluorocarbon polymer layer deposition predominant pre-etch plasma etch method for forming patterned silicon containing dielectric layer
US6074959A (en) 1997-09-19 2000-06-13 Applied Materials, Inc. Method manifesting a wide process window and using hexafluoropropane or other hydrofluoropropanes to selectively etch oxide
US5849639A (en) 1997-11-26 1998-12-15 Lucent Technologies Inc. Method for removing etching residues and contaminants
KR100520148B1 (ko) 1997-12-31 2006-05-12 주식회사 하이닉스반도체 신규한바이시클로알켄유도체와이를이용한포토레지스트중합체및이중합체를함유한포토레지스트조성물
US6387287B1 (en) 1998-03-27 2002-05-14 Applied Materials, Inc. Process for etching oxide using a hexafluorobutadiene and manifesting a wide process window
US6071822A (en) 1998-06-08 2000-06-06 Plasma-Therm, Inc. Etching process for producing substantially undercut free silicon on insulator structures
US6025255A (en) 1998-06-25 2000-02-15 Vanguard International Semiconductor Corporation Two-step etching process for forming self-aligned contacts
US6211092B1 (en) 1998-07-09 2001-04-03 Applied Materials, Inc. Counterbore dielectric plasma etch process particularly useful for dual damascene
US6406995B1 (en) 1998-09-30 2002-06-18 Intel Corporation Pattern-sensitive deposition for damascene processing
JP2000208767A (ja) * 1998-11-13 2000-07-28 Seiko Epson Corp 半導体装置の製造方法
US6100200A (en) 1998-12-21 2000-08-08 Advanced Technology Materials, Inc. Sputtering process for the conformal deposition of a metallization or insulating layer
US6187666B1 (en) 1999-06-08 2001-02-13 Advanced Micro Devices, Inc. CVD plasma process to fill contact hole in damascene process
US6316169B1 (en) 1999-06-25 2001-11-13 Lam Research Corporation Methods for reducing profile variation in photoresist trimming
US6235453B1 (en) 1999-07-07 2001-05-22 Advanced Micro Devices, Inc. Low-k photoresist removal process
KR100327346B1 (ko) 1999-07-20 2002-03-06 윤종용 선택적 폴리머 증착을 이용한 플라즈마 식각방법 및 이를이용한 콘택홀 형성방법
WO2001029879A2 (en) 1999-10-20 2001-04-26 Mattson Technology, Inc. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
US6326307B1 (en) 1999-11-15 2001-12-04 Appllied Materials, Inc. Plasma pretreatment of photoresist in an oxide etch process
US6391788B1 (en) * 2000-02-25 2002-05-21 Applied Materials, Inc. Two etchant etch method
US6451703B1 (en) * 2000-03-10 2002-09-17 Applied Materials, Inc. Magnetically enhanced plasma etch process using a heavy fluorocarbon etching gas
US6500743B1 (en) * 2000-08-30 2002-12-31 Advanced Micro Devices, Inc. Method of copper-polysilicon T-gate formation
US6569774B1 (en) 2000-08-31 2003-05-27 Micron Technology, Inc. Method to eliminate striations and surface roughness caused by dry etch
US6403491B1 (en) 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
DE10059836A1 (de) 2000-12-01 2002-06-13 Infineon Technologies Ag Verfahren zur Strukturierung dielektrischer Schichten
US20030027427A1 (en) * 2001-08-06 2003-02-06 Applied Materials, Inc. Integrated system for oxide etching and metal liner deposition
US6647994B1 (en) 2002-01-02 2003-11-18 Taiwan Semiconductor Manufacturing Company Method of resist stripping over low-k dielectric material
JP2004119539A (ja) * 2002-09-25 2004-04-15 Sony Corp レジストパターンの除去方法
US6833325B2 (en) 2002-10-11 2004-12-21 Lam Research Corporation Method for plasma etching performance enhancement
US7169695B2 (en) 2002-10-11 2007-01-30 Lam Research Corporation Method for forming a dual damascene structure
US6916746B1 (en) 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102651336A (zh) * 2011-02-28 2012-08-29 东京毅力科创株式会社 等离子体蚀刻方法和半导体装置的制造方法
CN102651336B (zh) * 2011-02-28 2014-09-10 东京毅力科创株式会社 等离子体蚀刻方法和半导体装置的制造方法

Also Published As

Publication number Publication date
US7294580B2 (en) 2007-11-13
JP4971978B2 (ja) 2012-07-11
KR101160102B1 (ko) 2012-06-26
TW200614357A (en) 2006-05-01
WO2005122226A1 (en) 2005-12-22
TWI400749B (zh) 2013-07-01
JP2008502146A (ja) 2008-01-24
IL179695A (en) 2011-08-31
EP1754252A1 (en) 2007-02-21
IL179695A0 (en) 2007-05-15
KR20070032967A (ko) 2007-03-23
CN1993811A (zh) 2007-07-04
US20040224520A1 (en) 2004-11-11

Similar Documents

Publication Publication Date Title
CN100524646C (zh) 利用气体化学物质和碳氢化合物添加的定期调制的等离子体剥离的方法
CN100411106C (zh) 利用气体化学剂周期调制的等离子体蚀刻的方法和设备
KR101029947B1 (ko) 플라즈마 에칭 성능 강화를 위한 방법
US6833325B2 (en) Method for plasma etching performance enhancement
CN100568458C (zh) 使用多个掩模步骤减小临界尺寸的方法
JP5085997B2 (ja) プラズマエッチング性能強化方法及び装置
US8864931B2 (en) Mask trimming
CN107799400B (zh) 处理被处理体的方法
US20070026677A1 (en) Method for plasma etching performance enhancement
KR101075045B1 (ko) 플라즈마 에칭 성능 강화를 위한 방법
KR20140027895A (ko) 증강된 플라즈마 프로세싱 시스템의 플라즈마-향상된 에칭
KR101605005B1 (ko) Arc 층 오프닝을 이용한 cd 바이어스 로딩 제어
CN1816777B (zh) 提供改良的双层光刻胶图案的方法
CN113035708A (zh) 基片处理方法和基片处理装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090805