TWI420594B - 去氟化製程 - Google Patents

去氟化製程 Download PDF

Info

Publication number
TWI420594B
TWI420594B TW096137696A TW96137696A TWI420594B TW I420594 B TWI420594 B TW I420594B TW 096137696 A TW096137696 A TW 096137696A TW 96137696 A TW96137696 A TW 96137696A TW I420594 B TWI420594 B TW I420594B
Authority
TW
Taiwan
Prior art keywords
layer
deposition
photoresist
gas
feature
Prior art date
Application number
TW096137696A
Other languages
English (en)
Other versions
TW200836260A (en
Inventor
Dongho Heo
Jisoo Kim
S M Reza Sadjadi
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of TW200836260A publication Critical patent/TW200836260A/zh
Application granted granted Critical
Publication of TWI420594B publication Critical patent/TWI420594B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3127Layers comprising fluoro (hydro)carbon compounds, e.g. polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Saccharide Compounds (AREA)

Description

去氟化製程
本發明係相關於半導體裝置的形成。
在半導體晶圓處理期間,使用眾所皆知的圖型化和蝕刻處理將半導體裝置的特徵定義在晶圓中。在這些處理中,將光致抗蝕(PR)材料沈積在晶圓上,然後暴露至以光罩(reticle)過濾過的光線中。光罩通常是被圖型化有阻隔光線傳播過光罩的例示特徵幾何圖型之玻璃板。
在通過光罩之後,光線接觸光致抗蝕材料的表面,光線改變光致抗蝕材料的化學組成,使得顯影劑能夠去除一部分光致抗蝕材料。在正光致抗蝕材料的例子中,去除露出的區域,而在負光致抗蝕材料的例子中,去除未露出的區域。之後,蝕刻晶圓以從不再受光致抗蝕材料保護的區域去除下面的材料,藉以定義晶圓中想要的特徵。
已知有各種光致抗蝕的產生。深紫外線(DUV)光致抗蝕劑係藉由248 nm的光加以曝露。為了幫助瞭解,圖1A為基板104上的一層108之概要橫剖面圖,具有已圖型化光致抗蝕層112,其位在層108上的ARL(反反射層)110上以被蝕刻而形成堆疊100。光致抗蝕圖型具有臨界尺寸(CD),其可以是最小特徵的寬度116。目前,就248 nm光致抗蝕劑而言,用於光致抗蝕劑的典型CD可以是使用習知處理的230-250 nm。由於光學特性依賴波長,所以由較長波長光線所曝光的光致抗蝕劑具有較大的理論上的最小臨界尺寸。
如圖1B所示,然後經由光致抗蝕圖型蝕刻特徵120。理想上,特徵的CD(特徵的寬度)等於光致抗蝕層112中之特徵的CD 116。實際上,由於光致抗蝕劑的琢面、腐蝕或底切,所以特徵116的CD可大於光致抗蝕層112的CD。特徵亦可成錐形,其中特徵的CD至少與光致抗蝕層的CD同樣大但是特徵於接近特徵底部具有比較小的寬度。此種錐形化提供不可靠的特徵。
為了提供具有較小CD的特徵,追求使用較短波長光所形成的特徵。由193 nm光線曝光193 nm光致抗蝕劑。使用相移光罩和其他技術,可使用193 nm光致抗蝕劑形成90-100 nm CD光致抗蝕圖型。此能夠提供具有CD 90-100 nm的特徵。以157 nm光線曝光157 nm光致抗蝕劑。使用相移光罩和其他技術,可形成近於90 nm CD光致抗蝕圖型。此能夠提供具有近於90 nm CD的特徵。
使用較短波長的光致抗蝕劑提供比使用較長波長的光致抗蝕劑更多的問題。為了獲得接近理論限制的CD,微影設備應更精確,如此需要更昂貴的微影裝備。目前,193 nm光致抗蝕劑和157 nm光致抗蝕劑的選擇性不如較長波長的光致抗蝕劑高,並且在電漿蝕刻條件下更容易被變形。
在諸如在記憶體裝置的形成中的傳導層的蝕刻中,在不使性能減弱之下增加裝置密度是理想的。
為了達成上述且根據本發明的目的,提供形成特徵於層中之方法。在層上形成光致抗蝕層。圖型化光致抗蝕層以形成具有光致抗蝕側壁的光致抗蝕特徵,其中該光致抗蝕特徵具有第一臨界尺寸。在光致抗蝕特徵的側壁上沈積含氟保角層以縮減光致抗蝕特徵的臨界尺寸。去除含在保角層中的氟,而將剩下的材料留在適當位置。將特徵蝕刻到層內,其中層特徵具有小於第一臨界尺寸的第二臨界尺寸。
在本發明的另一實施例中,提供形成特徵於層中的方法。在層上形成光致抗蝕層。圖型化光致抗蝕層以形成具有光致抗蝕側壁的光致抗蝕特徵,其中光致抗蝕特徵具有第一臨界尺寸。在光致抗蝕特徵的側壁上沈積含氟層以縮減光致抗蝕特徵的臨界尺寸。在光致抗蝕特徵的側壁上之沈積層包含,第一沈積,具有第一氣體化學以形成第一沈積電漿;及第二沈積,具有第二氣體化學以形成第二沈積電漿,其中第一氣體化學不同於第二氣體化學。去除含在沈積層中的氟,而將沈積層的剩餘物留在適當地方。將特徵蝕刻到層內,其中層特徵具有第二臨界尺寸,其中第二臨界尺寸不大於第一臨界尺寸的70%。
在本發明的另一實施例中,提供用以形成特徵於層中之設備,其中由基板支撐層及其中由具有有著第一CD的光致抗蝕特徵之光致抗蝕遮罩來覆蓋層。電漿處理室,包含一室壁,其形成電漿處理室圍牆;一基板支撐物,用以在電漿處理室圍牆內支撐基板;一壓力調整器,用以調整電漿處理室圍牆中的壓力;至少一電極,用以提供電力到電漿處理室圍牆以維持電漿;一氣體入口,用以提供氣體到電漿處理室圍牆內;及一氣體出口,用以從電漿處理室圍牆排出氣體。氣體源,與氣體入口流體式連接,包含:一第一沈積氣體源;一第二沈積氣體源;一去氟氣體源;及一蝕刻劑氣體源。控制器,可控制式連接到氣體源和至少一電極,包含:至少一處理器及電腦可讀式媒體。電腦可讀式媒體包含電腦可讀式碼,用以在光致抗蝕特徵內提供至少三沈積循環以在光致抗蝕遮罩上形成含氟側壁沈積,藉以形成具有第二CD的特徵;電腦可讀式碼,用以去除含在側壁沈積中的氟;電腦可讀式碼,用以在完成至少三沈積循環之後,提供從蝕刻劑氣體源到電漿處理室的蝕刻劑氣體之流;及電腦可讀式碼,用以使用蝕刻劑氣體將特徵蝕刻在層中,其中在層中的特徵具有第三CD。電腦可讀式碼,用以在光致抗蝕特徵內提供至少三沈積循環以在光致抗蝕遮罩上形成側壁沈積,藉以形成具有第二CD的特徵,包含:電腦可讀式碼,用以提供從第一沈積氣體源到電漿處理室圍牆的第一沈積氣體之流;電腦可讀式碼,用以停止從第一沈積氣體源到電漿處理室圍牆的第一沈積氣體之流;電腦可讀式碼,用以在停止第一沈積氣體的流之後,提供從第二沈積氣體源到電漿處理室圍牆的第二沈積氣體之流;及電腦可讀式碼,用以停止從第二沈積氣體源到電漿處理室圍牆的第二沈積氣體之流。
在本發明的另一實施例中,提供形成複數傳導線之方法。傳導層位在基板上。形成遮罩,其中遮罩以遮罩線之間的遮罩間隔定義複數遮罩線,其中遮罩間隔具有寬度及其中遮罩線具有寬度和具有側壁。去除含在保角層中的氟,同時將保角層的剩餘物留在適當位置。經由遮罩蝕刻傳導層以形成傳導線和和傳導線之間的間隔,其中傳導線具有寬度和傳導線之間的間隔具有寬度,其中傳導線之間的間隔之寬度小於遮罩間隔的寬度,及其中傳導線的寬度大於線遮罩的寬度。
將在下面以本發明的詳細說明連同附圖一起更加詳細說明本發明的這些和其他特徵。
現在將參考如附圖所圖解說明的一些較佳實施例來詳細說明本發明。在下面說明中,為了全面瞭解本發明,陳述許多特定細節。但是,精於本發明之人士應明白,沒有這些特定細節的其中一些或全部仍可實施本發明。在其他例子中,為了不要混淆本發明,將不再詳細說明眾所皆知的處理步驟及/或結構。
本發明設置具有小臨界尺寸(CD)的特徵。尤其是,本發明設置具有小於用於蝕刻特徵的光致抗蝕圖型之CD的CD之特徵。
為了有助於瞭解,圖2為本發明的實施例所使用之處理的高階流程圖。設置資圖型化光致抗蝕遮罩(步驟204)。圖3A為基板304上之欲蝕刻的一層308之概要橫剖面圖,在欲蝕刻形成堆疊300的層308上之ARL 310上具有有著特徵314的圖型化光致抗蝕遮罩312。光致抗蝕遮罩具有光致抗蝕特徵臨界尺寸(CD),此CD可以是最小可能特徵的寬度316之最寬的部分。目前,就248 nm光致抗蝕劑而言,使用習知處理,用於光致抗蝕劑的典型CD可以是230-250 nm。
然後將一層沈積在光致抗蝕特徵的側壁上以縮減CD(步驟208)。圖3B為具有沈積在特徵314的側壁上之含氟層320的圖型化光致遮罩312之概要橫剖面圖。可使用各種材料類型將含氟沈積層320形成在光致抗蝕遮罩312的側壁上。一較佳選擇係使用以氟為基的化學,其沈積諸如碳氟聚合物(具有CH3 F氣體)等含氟聚合物。
含氟沈積層320在光致抗蝕特徵314內形成沈積層特徵322,其中沈積層特徵322具有小於光致抗蝕特徵314的CD 316之縮減CD 324。較佳的是,沈積層特徵322的縮減CD 324小於光致抗蝕特徵的CD 316至少30%(即、不大於光致抗蝕特徵的CD 316的70%)。更好的是,沈積層特徵322的縮減CD 324小於光致抗蝕特徵的CD 316至少40%(即、不大於光致抗蝕特徵的CD 316的60%)。最好的是,沈積層特徵322的縮減CD 324小於光致抗蝕特徵的CD 316至少50%(即、不大於光致抗蝕特徵的CD 316的50%)。例如,沈積層特徵可具有99%小於光致抗蝕特徵的CD 316的縮減CD 316。沈積層特徵具有如圖示一般的高保角之實際上垂直側壁328較佳。實際上垂直側壁的例子是從底部到頂部與特徵的底部成88°到90°間之角度的側壁。保角側壁具有有著從特徵的頂部到底部實際上相同厚度之沈積層。非保角側壁可形成琢面或麵包條形成,其提供非實際上垂直側壁。錐形側壁(從琢面形成)或麵包條側壁增加沈積層CD和提供不良的蝕刻遮罩。較佳的是,側壁上的沈積比光致抗蝕特徵的底部上之沈積厚。更好的是,在光致抗蝕特徵的底部上沒有沈積層。
使用含氟聚合物而形成含氟層320之時,在隨後的蝕刻處理期間,該含氟聚合物及蝕刻用氣體之間的化學還原,因為氟350存在於該含氟聚合物中而增加該聚合物的去除。因此,想要在蝕刻步驟之前,從該沈積保角層320去除氟350,以避免該含氟沈積層320中的氟350干擾該隨後的蝕刻處理。
去除包含在沈積層320中的氟(步驟210)。圖3C為從沈積層320去除氟之概要橫剖面圖。在本發明的一實施例中,當使用含氟聚合物形成含氟沈積層320時,藉由施加氮/氧電漿處理到沈積層320而首先從沈積層320去除氟350。這被稱作去氟(DF)製程。
在本發明的一實施例中,充電氣/氧氣體組合並且變成氮/氧電漿。氮/氧電漿含在電漿室中。然後以氮/氧電漿處理形成沈積層320之含氟聚合物。此在氮/氧電漿和含氟聚合物之間產生電漿驅動化學反應,因此從形成沈積層320之聚合物去除,剩餘聚合物仍留在適當位置。
有關氮/氧氣體組合,在本發明的一實施例中,較佳的是,氮和氧之間的體積比率應在10分氮比1分氧(10:1)至1分氮比10分氧(1:10)的範圍內。更好的是,氮和氧之間的比率應在3分氮比1分氧(3:1)至1分氮比1分氧(1:1)的範圍內。最好的是,氮和氧之間的比率應在2分氮比1分氧(2:1)。在本發明的另一實施例中,應該氮氣體比氧氣體多。
在本發明之一實施例中,該氮氣體以50sccm(每分標準立方公分)的速率流入該電漿室,且該氧氣體以150sccm的速率流入該電漿室。
有關去氟製程發生的電漿室,較佳的是,室處理應小於100毫陶爾(mT)。更好的是,室處理應該是30 mT。較佳的是,RF功率應在50瓦特到1000瓦特之間。更好的是,RF功率應在27百萬赫(MHz)電漿頻率的500瓦特。較佳的是,溫度應在攝氏50度(℃)和140℃之間。較佳的是,電漿處理應持續10秒。
從含氟沈積層320去除氟之後,然後經由沈積層特徵322將特徵蝕刻到欲蝕刻之層308內(步驟212)。圖3D圖示蝕刻到欲蝕刻的層308內之特徵322。在此例中,蝕刻在欲蝕刻的層308中之特徵332具有CD 336,此CD 336等於沈積層特徵322的CD 324。實際上,特徵332的CD 336可稍微大於沈積層320的特徵322之CD 324。然而,因為沈積層特徵322的CD 324明顯小於光致抗蝕劑312的CD 316,所以欲蝕刻的層308中之特徵332的CD 336仍小於光致抗蝕劑312的CD 316。若沈積層的CD 314只稍微小於欲蝕刻的層之CD,或若沈積層被琢面或成麵包條狀,則欲蝕刻的層之CD可能不小於光致抗蝕劑的CD。此外,琢面或麵包條狀沈積層可能在欲蝕刻的層中產生琢面或不規則形特徵。最小化光致抗蝕特徵的底部上之沈積也是理想的。較佳的是,被蝕刻在欲蝕刻的層308中之特徵332的CD 336至少小於光致抗蝕特徵的CD 31630%。更好的是,被蝕刻在欲蝕刻的層308中之特徵332的CD 336至少小於光致抗蝕特徵的CD 316 40%。最好的是,被蝕刻在欲蝕刻的層308中之特徵332的CD 336至少小於光致抗蝕特徵的CD 316 50%。
然後剝除光致抗蝕劑和沈積層(步驟216)。此可做成單一步驟或沈積層去除步驟和光致抗蝕劑剝除步驟二者分開之兩分開步驟。灰化用於剝除處理。圖3E圖示已去除沈積層和光致抗蝕遮罩後的堆疊300。可執行額外的形成步驟(步驟220)。例如,可將接點340形成在特徵中。為了設置雙金屬鑲嵌結構,在形成接點前可蝕刻溝道。
圖4為縮減CD步驟208的光致抗蝕特徵之側壁上的沈積層之更詳細流程圖。在此實施例中,縮減CD步驟208的光致抗蝕特徵之側壁上的沈積層包含第一沈積相位404和第二沈積相位408。
介電蝕刻的例子
圖5為用於沈積層、去氟、蝕刻、及剝除之電漿處理室500的概要圖。電漿處理室500包含限制環502、及上電極504、下電極508、氣體源510、及排氣泵520。氣體源510包含第一沈積氣體源512及第二沈積氣體源516。在本發明的一實施例中,氣體源510可包含額外氣體源,諸如去氟氣體源514和蝕刻劑氣體源518等。在本發明的另一實施例中,分開電漿處理室被用於沈積層、去氟、蝕刻、及剝除。
在電漿處理室500內,基板304位在下電極508上。下電極508結合適當的基板夾盤機構(如、靜電、機械箝位等)以支托基板304。反應器頂蓋528結合直接面對下電極508的上電極504。上電極504、下電極508、及限制環502界定限定的電漿體積。以氣體源510將氣體供應到限定的電漿體積,並且以排氣泵520經由限制環502和排氣口從限定的電漿體積排出。第一RF源544電連接到上電極504。第二RF源548電連接到下電極508。室壁552圍著限制環502、上電極504、及下電極508。第一RF源544和第二RF源548可包含27 MHz功率源和2 MHz功率源。可以有連接RF功率到電極的不同組合。Exelan HPTTM 的例子中,Exelan HPTTM 基本上與加州佛蒙特的LAM Research CorporationTM所製造之具有裝附於室的Turbo Pump之Exelan HP相同,可用在本發明的較佳實施例,27 MHz和2 MHz功率源二者組成連接到下電極508之第二RF功率源548,使上電極接地。控制器535可控制式連接到RF源544、548、排氣泵520、及氣體源510。當欲蝕刻的層308是諸如氧化矽或有機矽酸鹽玻璃等介電層時可使用Exelan HPTTM
圖8A及8B圖解適合實施本發明的實施例所使用之控制器535的電腦系統1300。圖8A圖示電腦系統的一可能實體形式。當然,電腦系統可具有許多實體形式,範圍從積體電路、印刷電路板、及小型手提式裝置、到大型超級電腦等。電腦系統1300包括監視器1302、顯示器1304、外殼1306、磁碟機1308、鍵盤1310、及滑鼠1312。碟1314是用於移轉資料進出電腦系統1300之電腦可讀式媒體。
圖8B為電腦系統1300的方塊圖之例子。各種廣泛的子系統被裝附於系統匯流排1320。將處理器1322(又稱作中央處理單元或CPU)耦合於包括記憶體1324的儲存裝置。記憶體1324包括隨機存取記憶體(RAM)及唯讀記憶體(ROM)。如技藝中眾所皆知一般,ROM用於單向移轉資料和指令到CPU,而RAM典型上被用於雙向移轉資料和指令。這些記憶體類型的其中二者可包括下面所述之任何適當的電腦可讀式媒體。固定碟1326亦被雙向耦合於CPU 1322;其提供額外的資料儲存容量且亦可包括下面所述之任何電腦可讀式媒體。固定碟1326可被用於儲存程式、資料等,且典型上是比主要儲存體慢之次要儲存媒體(諸如硬碟等)。應明白的是,在適當例子中,以如虛擬記憶體等標準方式將留在固定碟1326內的資訊併入記憶體1324中。可移除式碟1314可採用下面所述之任何電腦可讀式媒體形式。
CPU 1322亦被耦合於各種輸入/輸出裝置,諸如顯示器1304、鍵盤1310、滑鼠1312、及揚聲器1330等。通常,輸入/輸出裝置可以是下面任一種:視頻顯示器、軌道球、滑鼠、鍵盤、麥克風、觸感式顯示器、轉換器卡式閱讀機、磁性或紙帶式閱讀機、數位板、電子筆、語音或手寫辨識器、生化科技閱讀機、或其他電腦。CPU 1322可隨意地耦合於使用網路介面1340的另一電腦或電信網路。利用此種網路介面,以執行上述方法步驟的過程,可期望CPU從網路接收資訊,或輸出資訊到網路。而且,本發明的方法實施例可在CPU 1322上單獨執行,或可透過與分擔一部分處理之遠端CPU連結之諸如網際網路等網路來執行。
此外,本發明的實施例另外係相關於具有有著電腦碼在其上的電腦可讀式媒體之電腦儲存產品,以執行各種電腦實施操作。媒體和電腦碼可以是特別設計且為了本發明的目的所建構者,或它們可以是精於電腦軟體技術者眾所皆知且可利用的種類。電腦可讀式媒體的例子包括:諸如硬碟、軟式磁碟片、及磁帶等磁性媒體;及諸如CD-ROM和全像式裝置等光學媒體;諸如磁光式碟等磁光媒體;及諸如應用特定積體電路(ASIC)、可程式化邏輯裝置(PLD)、及ROM和RAM裝置等特別被組配成儲存和執行程式碼的硬體裝置;但並不侷限於此。電腦碼的例子包括諸如編譯器所生產者等機器碼,使用解釋器的電腦所執行之含較高階碼的檔案等。電腦可讀式媒體亦可以是由包含在載波中之電腦資料信號所傳送且表示可由處理器執行的一連串指令之電腦碼。
其他例子可使用其他沈積裝置。
第一沈積相位404的一例子可以是藉由將渦輪泵的Vat閥設定成1000所建立之在壓力60 mTorr中使用250 sccm(每分標準立方公分)Ar和50 sccm CH3 F的化學之CH3 F沈積。27 MHz RF源提供500瓦特的功率,而2MHz RF源提供100瓦特的功率。室溫度維持在20℃。冷卻基板的氦冷壓是15 torr。
第二沈積相位408的一例子可以是藉由將渦輪泵的Vat閥設定成1000所建立之在壓力50 mTorr中使用270 sccm Ar、12 sccm C4 F6 /O2 /CO、8 sccm O2 、及100 sccm CO的化學之C4 F6 /O2 /CO沈積。27 MHz RF源提供1500瓦特的功率,而2 MHz RF源提供480瓦特的功率。室溫度維持在20℃。冷卻基板的氦冷壓是15 torr。
圖6為只將第一沈積相位沈積用於整個沈積層620的沈積層620之概要橫剖面圖。將沈積層620形成在基板604上之欲蝕刻的一層608上之ARL 610上的光致抗蝕遮罩612上。光致抗蝕遮罩612形成特徵614。在此例中,第一沈積形成”麵包條”沈積層。麵包條沈積層的特徵係為較厚的側壁沈積636接近特徵的頂部而較薄(或沒有)的側壁沈積632接近特徵的底部。因此,此沈積提供非保角側壁沈積。此種沈積不提供想要的實際上垂直側壁。麵包條最後夾斷頂部,然後無法被使用當作掩蔽層,因為接點將被隔離而無法進行蝕刻。
圖7為只將第二沈積相位沈積用於整個沈積層720的沈積層720之概要橫剖面圖。將沈積層720形成在基板7o4上之欲蝕刻的一層708上之ARL 710上的光致抗蝕遮罩712上。光致抗蝕遮罩712形成特徵714。在此例中,第一沈積形成”琢面”沈積層。琢面沈積層的特徵係為較薄(或沒有)的側壁沈積732接近特徵的頂部而較厚的側壁沈積736接近特徵的底部。因此,此沈積又提供非保角側壁沈積。若接近頂部的側壁太薄,則光致抗蝕遮罩712的琢面740會產生。此種沈積不提供想要的實際上垂直側壁。光致抗蝕遮罩的角落之琢面會產生較低的蝕刻選擇性和快速遮罩侵蝕。遮罩的琢面將亦導致被蝕刻輪廓的琢面。在幾乎所有例子中,一旦遮罩被琢面,則最後的被蝕刻輪廓也被琢面,因為遮罩垂直輪廓通常移轉到被蝕刻材料內。
因此,在本發明的較佳實施例之例子中,輪流6個循環上述例子中之第一沈積相位404和第二沈積相位408,其中第一沈積相位404達2秒之久而第二沈積相位408達25秒之久。此種沈積具有第一沈積相位404的第一沈積,然後第二沈積相位408的第二沈積,及然後第一沈積相位404的第三沈積,然後第二沈積相位408的第四沈積,一直重複直到有第十二沈積為止。
控制第一沈積相位404和第二沈積相位408的蝕刻次數之比率的能力提供另一控制變數。適當比例將提供如圖3B所示一般的實際上垂直和保角側壁。此種沈積層亦能夠保護光致抗蝕遮罩以增加蝕刻選擇性。可用於控制沈積輪廓之本發明所提供的其他控制參數是:循環數、總沈積時間、沈積1/沈積2時間比、氣體化學比(諸如CH3 F/O2 比或C4 F6 /O2 比)。可使用諸如CH2 F2 取代CH3 F或C4 F8 取代C4 F6 等其他氣體化學。
在不改變光致抗蝕劑之下形成具有較小臨界尺寸的能力使得能夠不必購買新的微影設備就可有較小的特徵。在使用較新一代的光致抗蝕劑時,本發明提供小CD給較新一代的光致抗蝕劑。
在其他實施例中,可使用三或更多的不同氣體化學以提供三或更多的不同沈積相位。
傳導層蝕刻的例子
在諸如金屬連接器或像快閃記憶體一般的記憶體裝置等傳導線之形成中,希望增加傳導線的厚度及/或傳導線之間的間隔之CD。圖9A為當根據習知技術而線之間的間隔太接近之用以產生傳導線之光致抗蝕遮罩的橫剖面圖。在諸如晶圓等基板1504上,可放置屏障層1506。在屏障層1506上,可形成諸如金屬層或多晶矽層等傳導層1508。在傳導層1508上,形成諸如DARC層等反反射層(ARL)1510。將光致抗蝕遮罩1512形成在ARL 1510上。在此例中,光致抗蝕遮罩1512形成具有光致抗蝕殘餘1518形成在線遮罩1514之間的間隔中之線遮罩1514。光致抗蝕殘餘1518的存在係由於在線遮罩1514之間提供太小的間隔所導致的,因為難以從小間隔去除殘餘。此限制所提供的傳導線之密度。
圖9B為用以生產傳導線的光致抗蝕遮罩1512b之另一橫剖面圖,在試圖解決由於太小的間隔所導致之問題的習知技術中所使用。此例中的線遮罩1514b被做得較細以使較寬的間隔1520能夠防止抗蝕劑殘餘並且維持與前一例子相同的間距或密度。此途徑的缺點之一係較細的線遮罩1514b產生較細的線。較細的線導致可靠性較小及性能較差。較細的線導致較小的電晶體區,如此導致短通道效應和其他性能問題,諸如短通道效應和高字元線電阻(導致較慢的速度)等。
圖9C為用以生產傳導線的光致抗蝕遮罩1512c之另一橫剖面圖,在試圖解決由於太小的間隔所導致之問題的習知技術中所使用。在一些應用中,希望線遮罩1514c具有與間隔1522相同的寬度。因為在此例中,間隔1522被做得較寬以防止抗蝕劑殘餘,所以線遮罩1514c亦較寬。結果,增加間距並且增加線的密度。
希望藉由在維持線寬較寬的同時並且縮減線之間的間隔以提供更密集配置的傳導線。
圖2所示之高階處理將被用於幫助瞭解本發明的此實施例。設置一圖型化光致抗蝕遮罩(步驟204)。圖10A為具有在欲蝕刻的傳導層1608上之ARL 1610上的遮罩間隔1620在其間及具有形成遮罩線1614之圖型化光致抗蝕遮罩1612的基板1604上之屏障層1606上的欲蝕刻之傳導層1608的概要橫剖面圖。光致抗蝕遮罩具有是間隔的寬度1616之間隔臨界尺寸(CD),和是遮罩線1614的寬度1626之線CD。目前,就248 nm光致抗蝕劑而言,間隔寬度CD的典型CD是0.16 um。通常,光致抗蝕劑中之間隔的寬度被做得足夠寬到可在光致抗蝕劑中形成間隔卻沒有光致抗蝕剩餘在間隔中。光致抗蝕遮罩線的寬度足夠細到增加傳導線的密度。
然後在光致抗蝕特徵的側壁上沈積含氟保角層以縮減間隔的寬度(步驟208)。圖10B為具有沈積在遮罩1612的側壁上之一層1630的圖型化光致抗蝕遮罩1612之概要橫剖面圖。沈積層1630包含氟1650。含氟沈積層1630形成沈積層間隔1632在遮罩間隔內,其中沈積層間隔1632具有小於遮罩間隔的寬度1616之縮減寬度(CD)1634。此外,含氟沈積層1630形成具有大於遮罩線1614的寬度1626之寬度1638的沈積層遮罩線。較佳的是,沈積層間隔1632的縮減寬度1634小於遮罩間隔1620的寬度1616至少20%(即、不大於遮罩間隔1620的寬度1616的80%)。更好的是,沈積層間隔1632的縮減寬度1634小於遮罩間隔1620的寬度1616至少50%(即、不大於遮罩間隔1620的寬度1616的50%)。最好的是,沈積層間隔1632的縮減寬度1634小於遮罩間隔1620的寬度1616至少70%(即、不大於遮罩間隔1620的寬度1616的30%)。亦希望沈積層形成實際上垂直側壁1642,其為如所示的高保角的。實際上垂直側壁的例子是從底部到頂部與間隔的比不形成88°到90°之間的角度之側壁。保角側壁具有從間隔的頂部到底部具有實際上相同厚度之沈積層。用於傳導層蝕刻的此處理能夠在單一沈積中設置保角層。
在蝕刻傳導層的較佳實施例中,含氟沈積層在所有方向都是保角的(等向的)。此使得ARL 1610上的一層大約與遮罩的側壁上之一層一樣厚。
去除沈積層1630中的氟。圖10C為在留有沈積層1630的剩餘物在適當位置同時已去除沈積層1630中的氟1650後之具有沈積在遮罩1612的側壁上之一層1630的圖型化光致抗蝕遮罩1612之概要橫剖面圖。在本發明的一實施例中,以氮/氧氣體的組合電漿處理含氟沈積層1630,其在氮/氧電漿和含氟沈積層1630之間產生電漿驅動化學反應。沈積層1630中的氟分子1650被釋出,因此從沈積層1530移開,而同時仍在適當位置中留下沈積層1630的剩餘物。
傳導層1608可被蝕刻經過沈積層1630(步驟212)。在此例中,蝕刻步驟包含至少兩分開蝕刻,如圖11所示。各向異性沈積層蝕刻被用於蝕刻沈積層1630(步驟1704)。圖16D為各向異性蝕刻沈積層之後的基板之橫剖面圖。剩下的沈積層在遮罩線1614四周形成側壁1642。各向異性傳導層蝕刻被用於蝕刻到傳導層1608內(步驟1708)。圖10E為蝕刻傳導層以形成具有間隔1650形成在其間的傳導線1646之後的基板之橫剖面圖。傳導線1646具有寬度1648,和傳導線之間的間隔具有寬度1652,如圖10E所示。較佳的是,傳導線之間的間隔1650之寬度1652小於遮罩線之間的間隔1620之寬度1616至少20%。更好的是,傳導線之間的間隔1650之寬度1652小於遮罩線之間的間隔1620之寬度1616至少50%。最好的是,傳導線之間的間隔1650之寬度1652小於遮罩線之間的間隔1620之寬度1616至少70%。
然後剝除光致抗蝕劑和沈積層(步驟216)。可以單一步驟或具有分開的沈積層去除步驟和光致抗蝕劑剝除步驟之兩分開步驟來進行。灰化可被用於剝除處理。圖10F圖示已去除沈積層和光致抗蝕遮罩之後的堆疊1600。可執行額外處理(步驟220)。例如,可將傳導線形成為記憶體裝置的一部分。
最後的結構提供具有較小間隔和較寬傳導線之較高密度的裝置。在此例中,傳導線1646的寬度1648大約等於間隔1650的寬度1652。可由此實施例提供其他傳導線寬度對間隔寬度比。較佳的是,遮罩線的寬度對遮罩線之間的間隔之寬度的比小於1:1,其中傳導線的寬度對傳導線之間的間隔之寬度的比不小於1:1,甚至更好的是,大於1:1。此種比率對設置傳導層是多晶矽之較高密度的記憶體裝置是有用的。
在本發明的另一實施例中,遮罩線具有大約等於間隔的寬度之寬度。圖12A為具有在欲蝕刻的傳導層1808上之ARL 1810上的遮罩間隔1820在其間及具有形成遮罩線1814之圖型化光致抗蝕遮罩1812的基板1804上之屏障層1806上的欲蝕刻之傳導層1808的概要橫剖面圖。光致抗蝕遮罩具有是間隔的寬度1816之間隔臨界尺寸(CD),和是遮罩線1814的寬度1826之線CD。通常,光致抗蝕劑中之間隔的寬度被做得足夠寬到可在光致抗蝕劑中形成間隔卻沒有光致抗蝕剩餘在間隔中。
然後在光致抗蝕特徵的側壁上沈積含氟保角層以縮減間隔的寬度(步驟208)。圖12B為具有沈積在遮罩1812的側壁上之一層1830的圖型化光致抗蝕遮罩1812之概要橫剖面圖。沈積層1830包含氟1850。含氟沈積層1830形成沈積層間隔1832在遮罩間隔內,其中沈積層間隔1832具有小於遮罩間隔的寬度1816之縮減寬度(CD)1834。此外,含氟沈積層1830形成具有大於遮罩線1814的寬度1826之寬度1838的沈積層遮罩線。
去除沈積層1830中的氟。圖12C為在留有沈積層1830的剩餘物在適當位置同時已去除沈積層1830中的氟1850後之具有沈積在遮罩1812的側壁上之一層1830的圖型化光致抗蝕遮罩1812之概要橫剖面圖。在本發明的一實施例中,以氮/氧氣體的組合電漿處理含氟沈積層1830,其在氮/氧電漿和含氟沈積層1830之間產生電漿驅動化學反應。沈積層1830中的氟分子1850被釋出,因此從沈積層1830移開,而同時仍在適當位置中留下沈積層1830的剩餘物。
傳導層1808可被蝕刻經過沈積層1830(步驟212)。圖18D為蝕刻傳導層以形成具有間隔1850形成在其間的傳導線1846之後的基板之橫剖面圖。傳導線1846具有寬度1848,和傳導線之間的間隔具有寬度1852,如圖12D所示。
然後剝除光致抗蝕劑和沈積層(步驟216)。圖12E圖示已去除沈積層和光致抗蝕遮罩之後的堆疊1800。可執行額外處理(步驟220)。例如,金屬線可被用於電連接各種裝置。
最後的結構提供間隔更近之較寬的傳導導線。在此例中,雖然傳導金屬線可如上述一般具有相同密度,但是提供具有較小間隔的較寬傳導線提供傳導線的性能,諸如藉由提供縮減的電阻等。本發明提供比原有遮罩的線寬度大上100%以上之傳導線寬度。更好的是,傳導線寬度比原有遮罩的線寬度大上150%以上。在此實施例中,沈積步驟是依次進行而非同時的。
例示方法
在例示方法中,可用於沈積和蝕刻沈積層和傳導層二者之裝置是加州佛蒙特的LAM Research CorporationTM 所製造之2300 VersysTM 。圖13為用於沈積和蝕刻沈積層二者之此種裝置1900的概要圖。電漿處理室1900包含感應天線(或線圈)1902、氣體分佈板(GDP)1904、基板支撐物1908、氣體源1910、及排氣泵1920。氣體源1910與氣體分佈板1904流體式連接並且包含沈積氣體源1912、去氟氣體1914、及蝕刻劑氣體源1916。氣體源1910可包含額外氣體源,諸如第二蝕刻或沈積氣體源等。在電漿處理室1900內,基板1604位在基板支撐物1908上。基板支撐物1908結合適當基板夾盤機構(如、靜電、機械箝位等)以支托基板1604。反應器頂蓋1928結合石英介電視窗1976,其能夠從天線1902傳送能量到室內。介電視窗1976、基板支撐物1908、及陽極處理鋁室壁1952界定限定的電漿體積。以氣體源1910將氣體供應到限定的電漿體積,並且以排氣泵1920經由排氣口從限定的電漿體積排出。第一RF源1944電連接到天線。第二RF源1948電連接到基板支撐物1908。在此例中,第一RF源1944提供具有13.56 MHz頻率的信號,而第二RF源1948提供具有13.56 MHz頻率的信號。
在沈積層的沈積期間(步驟1702),將140mTorr的壓力提供到室。該RF源以27MHz的電漿頻率提供400瓦特的功率。該氣體流為CH3 F與N2 的混合。速率為CH3 F以150sccm,N2 以75sccm。
在沈積層的各向異性蝕刻期間(步驟1704),將40mTorr的壓力提供到室。第一RF源1944藉由天線以27 MHz的電漿頻率提供800瓦特的功率到電漿體積1940。將-175伏特的偏壓施加到基板支撐物以加速正離子到基板,藉以有助於蝕刻。蝕刻劑氣體源1916提供75 sccm CF4
使用四蝕刻:BT(突破)蝕刻、ME1(主蝕刻1)、ME2(主蝕刻2)、及OE(過度蝕刻)來完成各向異性蝕刻傳導層(步驟1708)。
就BT而言,提供40 mTorr壓力。經由天線1902以27 MHz電漿頻率提供800瓦特的功率到室1900。施加偏壓-175伏特到基板支撐物1908以加速離子到基板,藉以有助於蝕刻。蝕刻源提供75 sccm CF4 達約10秒之久。
就ME1而言,提供10 mTorr壓力。經由天線1902提供800瓦特到室1900。施加偏壓-90伏特到基板支撐物1908以加速離子到基板,藉以有助於蝕刻。蝕刻源提供100 sccm Cl2 、100 sccm HBr、及5 sccm O2 達約45秒之久。
就ME2而言,提供20 mTorr壓力。經由天線1902提供400瓦特到室1900。施加偏壓-170伏特到基板支撐物1908以加速離子到基板,藉以有助於蝕刻。蝕刻源提供20 sccm Cl2 、360 sccm HBr、及5 sccm O2 。端點偵測被用於決定何時停止此蝕刻。
就OE而言,提供60 mTorr壓力。經由天線1902提供600瓦特到室1900。施加偏壓-210伏特到基板支撐物1908以加速離子到基板,藉以有助於蝕刻。蝕刻源提供267 sccm He、133 sccm HBr、及2 sccm O2 達約80秒之久。
儘管可使用諸如含碳氫化合物聚合物等其他材料形成沈積層,但是這些材料比含氟聚合物沈積產生更多的PR擺動和條紋。因此,使用含氟聚合物形成沈積層更好。
其他實施例可將硬遮罩用於遮罩。在此種實施例中,光致抗蝕遮罩可被用於打開硬遮罩。沈積層可位在硬遮罩上以縮減間隔。在另一選擇中,沈積層可蝕刻硬遮罩之前位在光致抗蝕劑上。
儘管已經由幾個較佳實施例說明本發明,但是可有落在本發明的範圍內之修改、變更、及各種替代同等物。亦應注意的是,有許多實施本發明的方法和設備之其他方法。因此,下面附錄的申請專利範圍應被解釋作包括落在本發明的真正精神和範圍內之所有此種修改、變更、及各種替代同等物。
100...堆疊
104...基板
108...層
110...反反射層
112...光致抗蝕劑
116...寬度
120...特徵
300...堆疊
304...基板
308...層
310...反反射層
312...光致抗蝕遮罩
314...特徵
316...臨界尺寸
320...含氟沈積層
322...沈積層特徵
324...縮減臨界尺寸
328...實際上垂直側壁
332...特徵
336...臨界尺寸
340...接點
350...氟
404...第一沈積相位
408...第二沈積相位
500...電漿處理室
502...限制環
504...上電極
508...下電極
510...氣體源
512...第一沈積氣體源
514...去氟氣體源
516...第二沈積氣體源
518...蝕刻劑氣體源
520...排氣泵
528...反應器頂蓋
535...控制器
544...射頻源
548...射頻源
552...室壁
604...基板
608...欲蝕刻的一層
610...反反射層
612...光致抗蝕遮罩
614...特徵
620...沈積層
632...較薄側壁沈積
636...較厚側壁沈積
704...基板
708...欲蝕刻的一層
710...反反射層
712...光致抗蝕遮罩
714...特徵
720...沈積層
732...較薄側壁沈積
736...較厚側壁沈積
740...琢面
1300...電腦系統
1302...監視器
1304...顯示器
1306...外殼
1308...磁碟機
1310...鍵盤
1312...滑鼠
1314...可移除式碟
1320...系統匯流排
1322...處理器
1324...記憶體
1326...固定碟
1330...揚聲器
1340...網路介面
1504...基板
1506...屏障層
1508...傳導層
1510...反反射層
1512...光致抗蝕遮罩
1512b...光致抗蝕遮罩
1512c...光致抗蝕遮罩
1514...線遮罩
1514b...線遮罩
1514c...線遮罩
1518...光致抗蝕殘餘
1520...間隔
1522...間隔
1600...堆疊
1604...基板
1606...屏障層
1608...欲蝕刻的傳導層
1610...反反射層
1612...光致抗蝕遮罩
1614...遮罩線
1616...寬度
1620...遮罩間隔
1626...寬度
1630...含氟沈積層
1632...沈積層間隔
1634...縮減寬度
1638...寬度
1642...實際上垂直側壁
1646...傳導線
1648...寬度
1650...氟
1650...間隔
1652...寬度
1800...堆疊
1804...基板
1806...屏障層
1808...欲蝕刻的傳導層
1810...反反射層
1812...光致抗蝕遮罩
1814...遮罩線
1816...寬度
1820...遮罩間隔
1826...寬度
1830...含氟沈積層
1832...沈積層間隔
1834...縮減寬度
1838...寬度
1842...實際上垂直側壁
1846...傳導線
1848...寬度
1850...氟
1852...間隔
1900...電漿處理室
1902...感應天線
1904...氣體分佈板
1908...基板支撐物
1910...氣體源
1912...沈積氣體源
1914...去氟氣體
1916...蝕刻劑氣體源
1918...蝕刻劑氣體源
1920...排氣泵
1928...反應器頂蓋
1935...控制器
1940...電漿體積
1944...第一射頻源
1948...第二射頻源
1952...陽極處理鋁室壁
1976...石英介電視窗
經由例子圖解說明本發明,但並不用於侷限之,在附圖的圖式中,相同的參考號碼意指類似的元件,其中:圖1A-B為根據習知技術所蝕刻之堆疊的概要橫剖面圖。
圖2為本發明的實施例中所使用之處理的高階流程圖。
圖3A-E為根據本發明的實施例所處理之堆疊的概要橫剖面圖。
圖4為沈積一層於光致抗蝕特徵的側壁上以縮減CD之步驟的更詳細流程圖。
圖5為可用於實踐本發明的電漿處理室之概要圖。
圖6為只使用第一沈積相位沈積於整個沈積層之沈積層的概要橫剖面圖。
圖7為只使用第二沈積相位沈積於整個沈積層之沈積層的概要橫剖面圖。
圖8A-B為適合實施本發明的實施例中所使用之控制器的電腦系統圖。
圖9A-C為用以根據習知技術生產傳導線之光致抗蝕遮罩的橫剖面圖。
圖10A-F為根據本發明的實施例所處理之傳導層的概要橫剖面圖。
圖11為蝕刻步驟的流程圖。
圖12A-E為根據本發明的實施例所處理之傳導層的概要橫剖面圖。
圖13為可用於實施蝕刻傳導層用的本發明之裝置的概要圖。

Claims (26)

  1. 一種形成特徵於層中之方法,包含:在該層上形成光致抗蝕層;圖型化該光致抗蝕層以形成具有光致抗蝕側壁的光致抗蝕特徵,其中該光致抗蝕特徵具有第一臨界尺寸;在該光致抗蝕特徵的該側壁上沈積含氟保角層以縮減該光致抗蝕特徵的該臨界尺寸;將該含氟保角層去氟;及將特徵蝕刻到該層內,其中該層特徵具有小於該第一臨界尺寸的第二臨界尺寸。
  2. 根據申請專利範圍第1項之方法,其中該在該光致抗蝕特徵的該側壁上沈積該保角層包含:一第一沈積,具有第一氣體化學以形成第一沈積電漿;及一第二沈積,具有第二氣體化學以形成第二沈積電漿,其中該第一氣體化學不同於該第二氣體化學。
  3. 根據申請專利範圍第2項之方法,其中該含氟保角層係使用含氟聚合物所形成。
  4. 根據申請專利範圍第3項之方法,其中去氟去除該氟且留下該聚合物。
  5. 根據申請專利範圍第3項之方法,其中該在該光致抗蝕特徵上沈積該保角層另外包含:一第三沈積,具有該第一氣體化學以形成第三沈積電漿;及一第四沈積,具有該第二氣體化學以形成第四沈積電漿。
  6. 根據申請專利範圍第5項之方法,其中該第二臨界尺寸不大於該第一臨界尺寸的70%。
  7. 根據申請專利範圍第6項之方法,其中該在該側壁上沈積該保角層形成實質上垂直側壁。
  8. 根據申請專利範圍第7項之方法,其中該光致抗蝕層係從選自由248 nm光致抗蝕劑或193 nm光致抗蝕劑組成的群組的至少其一所形成,且該特徵具有不大於140 nm的CD(臨界尺寸)。
  9. 根據申請專利範圍第7項之方法,另外包含利用單一剝除步驟剝除該光致抗蝕層和所沈積保角層。
  10. 根據申請專利範圍第9項之方法,其中該剝除該光致抗蝕層和所沈積保角層包含灰化該光致抗蝕層和所沈積層。
  11. 根據申請專利範圍第6項之方法,其中該保角層具有側壁厚度,其中該保角層具有實質上相同於從該特徵的頂部到底部的側壁厚度。
  12. 根據申請專利範圍第6項之方法,其中該保角層具有側壁厚度和光致抗蝕特徵底部厚度,其中該側壁厚度大於該光致抗蝕特徵底部厚度。
  13. 根據申請專利範圍第2項之方法,其中藉由施加第三氣體化學到該保角層以從該保角層去除該氟。
  14. 根據申請專利範圍第13項之方法,其中該第三氣體化學包含氮(N2 )及氧(O2 )。
  15. 根據申請專利範圍第14項之方法,其中氮和氧的比率範圍從二分氮比一分氧至一分氮比十分氧。
  16. 一種半導體裝置,係由申請專利範圍第1項之方法所形成。
  17. 一種形成特徵於層中之方法,包含:在該層上形成光致抗蝕層;圖型化該光致抗蝕層以形成具有光致抗蝕側壁的光致抗蝕特徵,其中該光致抗蝕特徵具有第一臨界尺寸;在該光致抗蝕特徵的該側壁上沈積含氟層以縮減該光致抗蝕特徵的該臨界尺寸,其中該在該光致抗蝕特徵的該側壁上沈積該層,包含:一第一沈積,具有第一氣體化學以形成第一沈積電漿;及一第二沈積,具有第二氣體化學以形成第二沈積電漿,其中該第一氣體化學不同於該第二氣體化學;將該含氟層去氟;及將特徵蝕刻到該層內,其中該層特徵具有第二臨界尺寸,其中該第二臨界尺寸不大於該第一臨界尺寸的70%。
  18. 根據申請專利範圍第17項之方法,其中該第二臨界尺寸不大於該第一臨界尺寸的60%。
  19. 根據申請專利範圍第17項之方法,其中該含氟層係使用含氟聚合物所形成。
  20. 根據申請專利範圍第19項之方法,其中去氟去除該氟且留下該聚合物。
  21. 根據申請專利範圍第19項之方法,其中該在該光致抗蝕特徵上沈積該層另外包含:一第三沈積,具有該第一氣體化學以形成第三沈積電漿;及一第四沈積,具有該第二氣體化學以形成第四沈積電漿。
  22. 根據申請專利範圍第21項之方法,其中該在該側壁上沈積該層形成資質上垂直側壁。
  23. 根據申請專利範圍第17項之方法,其中藉由施加第三氣體化學到該保角層以從該層去除該氟。
  24. 根據申請專利範圍第23項之方法,其中該第三氣體化學包含氮(N2 )及氧(O2 )。
  25. 根據申請專利範圍第24項之方法,其中氮和氧的比率範圍從二分氮比一分氧至一分氮比十分氧。
  26. 一種形成特徵於層中之設備,其中該層係由一基板所支撐及其中該層係由具有第一CD的光致抗蝕特徵之光致抗蝕遮罩所覆蓋,包含:一電漿處理室,包含:一室壁,其形成電漿處理室圍牆;一基板支撐物,用以在該電漿處理室圍牆內支撐基板;一壓力調整器,用以調整該電漿處理室圍牆中的壓力;至少一電極,用以提供電力到該電漿處理室圍牆以維持電漿;一氣體入口,用以提供氣體到該電漿處理室圍牆內;及一氣體出口,用以從該電漿處理室圍牆排出氣體;一氣體源,與該氣體入口流體式連接,包含:一第一沈積氣體源;一第二沈積氣體源;一去氟氣體源;及一蝕刻劑氣體源;一控制器,可控制式連接到該氣體源和該至少一電極,包含:至少一處理器;及電腦可讀取媒體,包含:電腦可讀取碼,用以在該光致抗蝕特徵內提供至少三沈積循環以在光致抗蝕遮罩上形成側壁沈積,藉以形成具有第二CD的特徵,包含:電腦可讀取碼,用以提供從該第一沈積氣體源到該電漿處理室圍牆的第一沈積氣體流;電腦可讀取碼,用以停止從該第一沈積氣體源到該電漿處理室圍牆的該第一沈積氣體流;電腦可讀取碼,用以在停止該第一沈積氣體流之後,提供從該第二沈積氣體源到該電漿處理室圍牆的第二沈積氣體流;電腦可讀取碼,用以停止從該第二沈積氣體源到該電漿處理室圍牆的該第二沈積氣體流;電腦可讀取碼,用以在停止該第二沈積氣體流之後,提供從該去氟氣體源到該電漿處理室圍牆的去氟氣體流;電腦可讀取碼,用以停止從該去氟氣體源到該電漿處理室圍牆的該去氟氣體流;電腦可讀取碼,用以在完成該至少三沈積循環之後和在停止該去氟氣體流之後,提供從該蝕刻劑氣體源到該電漿處理室的蝕刻劑氣體流;及電腦可讀取碼,用以使用該蝕刻劑氣體來蝕刻該層中的特徵,其中該層中之該特徵具有第三CD。
TW096137696A 2006-10-10 2007-10-08 去氟化製程 TWI420594B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/545,903 US7309646B1 (en) 2006-10-10 2006-10-10 De-fluoridation process

Publications (2)

Publication Number Publication Date
TW200836260A TW200836260A (en) 2008-09-01
TWI420594B true TWI420594B (zh) 2013-12-21

Family

ID=38825919

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096137696A TWI420594B (zh) 2006-10-10 2007-10-08 去氟化製程

Country Status (8)

Country Link
US (2) US7309646B1 (zh)
EP (1) EP2074648B1 (zh)
JP (1) JP5081917B2 (zh)
KR (1) KR101411797B1 (zh)
CN (1) CN101523567B (zh)
AT (1) ATE540425T1 (zh)
TW (1) TWI420594B (zh)
WO (1) WO2008045764A1 (zh)

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7695632B2 (en) 2005-05-31 2010-04-13 Lam Research Corporation Critical dimension reduction and roughness control
US8182458B2 (en) 2006-10-04 2012-05-22 First Quality Products, Inc. Fastener with adhesive blocker
US8283255B2 (en) * 2007-05-24 2012-10-09 Lam Research Corporation In-situ photoresist strip during plasma etching of active hard mask
US8277670B2 (en) * 2008-05-13 2012-10-02 Lam Research Corporation Plasma process with photoresist mask pretreatment
JP5357710B2 (ja) * 2009-11-16 2013-12-04 東京エレクトロン株式会社 基板処理方法,基板処理装置,プログラムを記録した記録媒体
US9117767B2 (en) * 2011-07-21 2015-08-25 Lam Research Corporation Negative ion control for dielectric etch
US20120094494A1 (en) * 2010-10-14 2012-04-19 Macronix International Co., Ltd. Methods for etching multi-layer hardmasks
US8304262B2 (en) * 2011-02-17 2012-11-06 Lam Research Corporation Wiggling control for pseudo-hardmask
JP6355374B2 (ja) * 2013-03-22 2018-07-11 株式会社半導体エネルギー研究所 半導体装置の作製方法
US8883648B1 (en) * 2013-09-09 2014-11-11 United Microelectronics Corp. Manufacturing method of semiconductor structure
CN104465386A (zh) * 2013-09-24 2015-03-25 中芯国际集成电路制造(北京)有限公司 半导体结构的形成方法
US10658222B2 (en) 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US9911620B2 (en) 2015-02-23 2018-03-06 Lam Research Corporation Method for achieving ultra-high selectivity while etching silicon nitride
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US9837286B2 (en) 2015-09-04 2017-12-05 Lam Research Corporation Systems and methods for selectively etching tungsten in a downstream reactor
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US20180323078A1 (en) * 2015-12-24 2018-11-08 Intel Corporation Pitch division using directed self-assembly
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
JP6561093B2 (ja) * 2017-07-24 2019-08-14 東京エレクトロン株式会社 シリコン酸化膜を除去する方法
EP3444671A1 (en) * 2017-08-18 2019-02-20 IMEC vzw Making a mask layer
US10727045B2 (en) * 2017-09-29 2020-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device
JP7137927B2 (ja) * 2017-12-20 2022-09-15 キオクシア株式会社 半導体装置の製造方法
US10566194B2 (en) 2018-05-07 2020-02-18 Lam Research Corporation Selective deposition of etch-stop layer for enhanced patterning
JP2020009840A (ja) * 2018-07-04 2020-01-16 東京エレクトロン株式会社 エッチング方法及び基板処理装置

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6905800B1 (en) * 2000-11-21 2005-06-14 Stephen Yuen Etching a substrate in a process zone
WO2006030581A1 (ja) * 2004-09-17 2006-03-23 Renesas Technology Corp. 半導体装置の製造方法

Family Cites Families (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5378170A (en) 1976-12-22 1978-07-11 Toshiba Corp Continuous processor for gas plasma etching
US4871630A (en) 1986-10-28 1989-10-03 International Business Machines Corporation Mask using lithographic image size reduction
US5013680A (en) * 1990-07-18 1991-05-07 Micron Technology, Inc. Process for fabricating a DRAM array having feature widths that transcend the resolution limit of available photolithography
US5273609A (en) 1990-09-12 1993-12-28 Texas Instruments Incorporated Method and apparatus for time-division plasma chopping in a multi-channel plasma processing equipment
DE4241045C1 (de) 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
US5296410A (en) 1992-12-16 1994-03-22 Samsung Electronics Co., Ltd. Method for separating fine patterns of a semiconductor device
JPH08195380A (ja) * 1995-01-13 1996-07-30 Sony Corp コンタクトホールの形成方法
JP3685832B2 (ja) * 1995-02-28 2005-08-24 ソニー株式会社 半導体装置の製造方法
JPH0997833A (ja) * 1995-07-22 1997-04-08 Ricoh Co Ltd 半導体装置とその製造方法
US5879853A (en) * 1996-01-18 1999-03-09 Kabushiki Kaisha Toshiba Top antireflective coating material and its process for DUV and VUV lithography systems
US5741626A (en) * 1996-04-15 1998-04-21 Motorola, Inc. Method for forming a dielectric tantalum nitride layer as an anti-reflective coating (ARC)
GB9616225D0 (en) 1996-08-01 1996-09-11 Surface Tech Sys Ltd Method of surface treatment of semiconductor substrates
EP0822582B1 (en) * 1996-08-01 2003-10-01 Surface Technology Systems Plc Method of etching substrates
US5895740A (en) 1996-11-13 1999-04-20 Vanguard International Semiconductor Corp. Method of forming contact holes of reduced dimensions by using in-situ formed polymeric sidewall spacers
US6114216A (en) * 1996-11-13 2000-09-05 Applied Materials, Inc. Methods for shallow trench isolation
US5907775A (en) * 1997-04-11 1999-05-25 Vanguard International Semiconductor Corporation Non-volatile memory device with high gate coupling ratio and manufacturing process therefor
US6187685B1 (en) 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
US6218288B1 (en) * 1998-05-11 2001-04-17 Micron Technology, Inc. Multiple step methods for forming conformal layers
US6100014A (en) * 1998-11-24 2000-08-08 United Microelectronics Corp. Method of forming an opening in a dielectric layer through a photoresist layer with silylated sidewall spacers
KR100829288B1 (ko) 1998-12-11 2008-05-13 서페이스 테크놀로지 시스템스 피엘씨 플라즈마 처리장치
US6162733A (en) * 1999-01-15 2000-12-19 Lucent Technologies Inc. Method for removing contaminants from integrated circuits
US6368974B1 (en) 1999-08-02 2002-04-09 United Microelectronics Corp. Shrinking equal effect critical dimension of mask by in situ polymer deposition and etching
JP2002110654A (ja) * 2000-10-04 2002-04-12 Sony Corp 半導体装置の製造方法
JP2002129337A (ja) * 2000-10-24 2002-05-09 Applied Materials Inc 気相堆積方法及び装置
US6656282B2 (en) 2001-10-11 2003-12-02 Moohan Co., Ltd. Atomic layer deposition apparatus and process using remote plasma
US6750150B2 (en) 2001-10-18 2004-06-15 Macronix International Co., Ltd. Method for reducing dimensions between patterns on a photoresist
KR100400230B1 (ko) * 2001-11-26 2003-10-01 삼성전자주식회사 점착방지막을 갖는 초소형 기계 구조체 및 그 제조 방법
KR100448714B1 (ko) 2002-04-24 2004-09-13 삼성전자주식회사 다층 나노라미네이트 구조를 갖는 반도체 장치의 절연막및 그의 형성방법
US7105442B2 (en) * 2002-05-22 2006-09-12 Applied Materials, Inc. Ashable layers for reducing critical dimensions of integrated circuit features
US20030235998A1 (en) * 2002-06-24 2003-12-25 Ming-Chung Liang Method for eliminating standing waves in a photoresist profile
US7035696B1 (en) * 2002-07-03 2006-04-25 Ahsoon Technologies, Inc. Method and apparatus for poly gate CD control
US20040010769A1 (en) * 2002-07-12 2004-01-15 Macronix International Co., Ltd. Method for reducing a pitch of a procedure
CN1226455C (zh) 2002-07-19 2005-11-09 联华电子股份有限公司 预清除用氟化碳反应气体的蚀刻工艺后残留聚合物的方法
US7169695B2 (en) * 2002-10-11 2007-01-30 Lam Research Corporation Method for forming a dual damascene structure
US7090967B2 (en) * 2002-12-30 2006-08-15 Infineon Technologies Ag Pattern transfer in device fabrication
US6780708B1 (en) 2003-03-05 2004-08-24 Advanced Micro Devices, Inc. Method of forming core and periphery gates including two critical masking steps to form a hard mask in a core region that includes a critical dimension less than achievable at a resolution limit of lithography
US6829056B1 (en) * 2003-08-21 2004-12-07 Michael Barnes Monitoring dimensions of features at different locations in the processing of substrates
US7250371B2 (en) * 2003-08-26 2007-07-31 Lam Research Corporation Reduction of feature critical dimensions
JP2005116690A (ja) * 2003-10-06 2005-04-28 Toshiba Corp 半導体装置の製造方法
KR100549204B1 (ko) * 2003-10-14 2006-02-02 주식회사 리드시스템 실리콘 이방성 식각 방법
US7012027B2 (en) 2004-01-27 2006-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Zirconium oxide and hafnium oxide etching using halogen containing chemicals
US6864184B1 (en) * 2004-02-05 2005-03-08 Advanced Micro Devices, Inc. Method for reducing critical dimension attainable via the use of an organic conforming layer
JP4550507B2 (ja) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20060032833A1 (en) * 2004-08-10 2006-02-16 Applied Materials, Inc. Encapsulation of post-etch halogenic residue
US7053003B2 (en) * 2004-10-27 2006-05-30 Lam Research Corporation Photoresist conditioning with hydrogen ramping
US7282441B2 (en) * 2004-11-10 2007-10-16 International Business Machines Corporation De-fluorination after via etch to preserve passivation
US20060134917A1 (en) 2004-12-16 2006-06-22 Lam Research Corporation Reduction of etch mask feature critical dimensions
US7271107B2 (en) * 2005-02-03 2007-09-18 Lam Research Corporation Reduction of feature critical dimensions using multiple masks
US20070026682A1 (en) * 2005-02-10 2007-02-01 Hochberg Michael J Method for advanced time-multiplexed etching
US7491647B2 (en) * 2005-03-08 2009-02-17 Lam Research Corporation Etch with striation control
US7241683B2 (en) * 2005-03-08 2007-07-10 Lam Research Corporation Stabilized photoresist structure for etching process
US7049209B1 (en) * 2005-04-01 2006-05-23 International Business Machines Corporation De-fluorination of wafer surface and related structure
KR100810303B1 (ko) * 2005-04-28 2008-03-06 삼성전자주식회사 휴대단말기의 데이터 표시 및 전송방법
US7695632B2 (en) * 2005-05-31 2010-04-13 Lam Research Corporation Critical dimension reduction and roughness control
US7273815B2 (en) * 2005-08-18 2007-09-25 Lam Research Corporation Etch features with reduced line edge roughness

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6905800B1 (en) * 2000-11-21 2005-06-14 Stephen Yuen Etching a substrate in a process zone
WO2006030581A1 (ja) * 2004-09-17 2006-03-23 Renesas Technology Corp. 半導体装置の製造方法

Also Published As

Publication number Publication date
ATE540425T1 (de) 2012-01-15
CN101523567A (zh) 2009-09-02
TW200836260A (en) 2008-09-01
US7309646B1 (en) 2007-12-18
CN101523567B (zh) 2013-07-10
JP2010506428A (ja) 2010-02-25
US20080083502A1 (en) 2008-04-10
EP2074648A4 (en) 2011-05-18
EP2074648A1 (en) 2009-07-01
JP5081917B2 (ja) 2012-11-28
KR101411797B1 (ko) 2014-06-24
US8172948B2 (en) 2012-05-08
WO2008045764A1 (en) 2008-04-17
EP2074648B1 (en) 2012-01-04
KR20090091292A (ko) 2009-08-27

Similar Documents

Publication Publication Date Title
TWI420594B (zh) 去氟化製程
JP4886513B2 (ja) フィーチャ微小寸法の低減
US7271107B2 (en) Reduction of feature critical dimensions using multiple masks
JP5254351B2 (ja) 酸化物スペーサを使用したピッチ低減
US7241683B2 (en) Stabilized photoresist structure for etching process
JP5070196B2 (ja) エッチングプロセスのための安定化したフォトレジスト構成
KR101711669B1 (ko) 측벽 형성 공정
KR101442269B1 (ko) 무한 선택적 포토레지스트 마스크 식각
US8864931B2 (en) Mask trimming
US20060240661A1 (en) Method of preventing damage to porous low-K materials during resist stripping
US7789991B1 (en) Lag control
TWI467654B (zh) 在多孔low-k介電層中形成特徵部的方法及設備
US20060134917A1 (en) Reduction of etch mask feature critical dimensions
WO2007133442A1 (en) Pitch reduction

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees