KR101555397B1 - 포토레지스트 마스크 전처리를 갖는 플라즈마 프로세스 - Google Patents

포토레지스트 마스크 전처리를 갖는 플라즈마 프로세스 Download PDF

Info

Publication number
KR101555397B1
KR101555397B1 KR1020107025516A KR20107025516A KR101555397B1 KR 101555397 B1 KR101555397 B1 KR 101555397B1 KR 1020107025516 A KR1020107025516 A KR 1020107025516A KR 20107025516 A KR20107025516 A KR 20107025516A KR 101555397 B1 KR101555397 B1 KR 101555397B1
Authority
KR
South Korea
Prior art keywords
mask
phase
deposition
gas
feature
Prior art date
Application number
KR1020107025516A
Other languages
English (en)
Other versions
KR20110014989A (ko
Inventor
동호 허
지수 김
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20110014989A publication Critical patent/KR20110014989A/ko
Application granted granted Critical
Publication of KR101555397B1 publication Critical patent/KR101555397B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

포토레지스트 (PR) 마스크를 통해 유전체층에 피쳐를 에칭하는 방법이 제공된다. 상기 PR 마스크가 193 ㎚ 이하의 파장을 가진 레이저 광을 사용하여 패터닝된다. 희가스 플라즈마로 상기 PR 마스크가 전처리된 다음에, 복수 사이클의 플라즈마 프로세스가 제공된다. 각 사이클은 상기 PR 마스크 위에, 상기 PR 마스크의 상면 및 마스크 피쳐의 측벽을 덮는 증착층을 증착하는 증착 페이즈, 및 상기 PR 마스크 위에 증착된 증착층을 성형하는 성형 페이즈를 포함한다.

Description

포토레지스트 마스크 전처리를 갖는 플라즈마 프로세스{PLASMA PROCESS WITH PHOTORESIST MASK PRETREATMENT}
본 발명은 반도체 디바이스의 형성에 관한 것이다. 더욱 상세히 설명하면, 본 발명은 포토레지스트 (photoresist; PR) 마스크를 통해 에칭층에 피쳐 (feature) 를 에칭하는 것에 의한 반도체 디바이스의 형성에 관한 것이다.
반도체 웨이퍼 처리 동안, 주지의 패터닝 및 에칭 프로세스를 이용하여 반도체 디바이스의 피쳐가 웨이퍼에 한정된다. 이들 프로세서에서, 포토레지스트 (PR) 재료는 웨이퍼 상에 증착된 다음에 레티클에 의해 필터링된 광으로 노광된다. 레티클은 광이 레티클을 통해 전파되는 것을 차단하는 전형적인 피쳐 형상으로 패터닝된 유리판이어도 된다.
레티클을 통해 통과한 후, 포토레지스트 재료의 표면에 광이 접촉한다. 광이 포토레지스트 재료의 화학적 조성을 변화시켜서 현상액이 포토레지스트 재료의 일부분을 제거할 수 있다. 포지티브 포토레지스트 재료인 경우, 노광된 영역이 제거되고, 네거티브 포토레지스트 재료인 경우에는, 비노광된 영역이 제거된다. 그 후에, 포토레지스트 재료에 의해 더 이상 보호되지 않는 영역으로부터 그 밑에 있는 재료를 제거하기 위해 웨이퍼가 에칭되고, 그에 의해 원하는 피쳐가 웨이퍼에 한정된다.
193 ㎚ 의 파장을 가진 불화 아르곤 (ArF) 엑시머 레이저는 서브 0.04 ㎛ 디바이스와 같은 대부분의 고집적 회로를 제조하는데 사용되었다. 액침 리소그래피 (ArF 리소그래피) 와 결합된 이러한 기술은 110 ㎚ 노드 이하의 프로세스를 가능하게 한다. 패터닝 화상의 초점 심도 (피사계 심도) 제한 때문에 이러한 소형 회로 피쳐는 더 높은 해상도를 요구할수록 더 얇은 포토레지스트를 요구한다. 예를 들어, 비트라인과 같은, 특정 DRAM 프로세스용 ArF 리소그래피는 100 ㎚ 보다 작은 두께를 갖는 매우 얇은 포토레지스트를 사용한다. 또한, 포토레지스트 재료가 더 연화되고 더 약해져서, 이러한 얇고 연화된 포토레지스트 마스크는 패터닝된 포토레지스트 마스크가 제공된 후의 플라즈마 프로세스 동안 쉽게 그리고 바람직스럽지 않게 에칭되거나 손상될 수 있다.
전술한 바를 본 발명의 목적에 따라 달성하기 위해, 포토레지스트 (PR) 마스크를 통해 유전체층의 피쳐를 에칭하는 방법이 제공된다. 193 ㎚ 이하의 파장을 가진 레이저 광을 사용하여 PR 마스크를 패터닝한다. 희가스 플라즈마로 PR 마스크를 전처리한 다음에, 복수 사이클의 플라즈마 프로세스를 제공하다. 각 사이클은 PR 마스크 위에, PR 마스크의 상면 및 마스크 피쳐의 측벽을 덮는 증착층을 증착하는 증착 페이즈, 및 PR 마스크 위에 증착된 증착층을 성형하는 성형 페이즈 (shaping phase) 를 포함한다.
본 발명의 일 양태에 있어서, 희가스는 Ar 을 포함할 수도 있다. PR 마스크를 전처리하는 단계는 희가스를 제공하는 단계, 희가스로부터 플라즈마를 형성하는 단계, 및 희가스의 유동을 중단시키는 단계를 포함할 수도 있다. 플라즈마를 형성하는 단계에서, 60 ㎒ 이상의 고주파가 제공될 수도 있다. 본 발명의 일 양태에 있어서, 플라즈마를 형성하는 단계는 50 ㎒ 보다 작은 주파수를 갖는 RF 에너지를 제공하지 않는다.
본 발명의 다른 양태에 있어서, 유전체층의 피쳐를 포토레지스트 (PR) 마스크를 통해 에칭하는 장치가 제공된다. PR 마스크는 193 ㎚ 이하의 파장을 가진 레이저 광을 사용하여 패터닝된다. 상기 장치는 플라즈마 처리 챔버를 포함한다. 플라즈마 처리 챔버는 플라즈마 처리 챔버 인클로저를 형성하는 챔버 벽, 플라즈마 처리 챔버 인클로저 내에서 기판을 지지하는 기판 지지체, 플라즈마 처리 챔버 인클로저 내의 압력을 조절하는 압력 조절기, 플라즈마를 유지하기 위해 플라즈마 처리 챔버 인클로저에 전력을 제공하는 적어도 하나의 전극, 플라즈마 처리 챔버 인클로저에 가스를 제공하는 가스 유입구, 및 플라즈마 처리 챔버 인클로저로부터 가스를 배기하는 가스 유출구를 포함한다. 또한, 장치는 가스 유입구와 유체 연결된 가스 공급원을 포함하고, 상기 가스 공급원은 에칭 가스 공급원, 증착 페이즈 가스 공급원, 성형 페이즈 가스 공급원, 및 전처리 가스 공급원을 포함한다. 제어기는 가스 공급원과 적어도 하나의 전극에 제어가능하게 연결된다. 제어기는 적어도 하나의 프로세서, 및 (a) 희가스 플라즈마로 PR 마스크를 전처리하고, 전처리 가스 공급원으로부터 희가스를 제공하기 위한 컴퓨터 판독가능한 코드, 희가스로부터 플라즈마를 형성하기 위한 컴퓨터 판독가능한 코드, 및 희가스의 유동을 중단시키기 위한 컴퓨터 판독가능한 코드를 포함하는 컴퓨터 판독가능한 코드 및 (b) 각각의 사이클이 증착 페이즈 및 성형 페이즈를 포함하는 복수의 사이클을 제공하기 위한 컴퓨터 판독가능한 코드를 포함하는 컴퓨터 판독가능한 매체를 포함한다. 컴퓨터 판독가능한 코드 (b) 는 증착 페이즈 가스 공급원으로부터 증착 페이즈 가스를 제공하기 위한 컴퓨터 판독가능한 코드, PR 마스크 위에, PR 마스크의 상면 및 마스크 피쳐의 측벽을 덮는 증착층을 증착하기 위해 증착 페이즈 가스로부터 플라즈마를 형성하기 위한 컴퓨터 판독가능한 코드, 증착 페이즈 가스의 유동을 중단시키기 위한 컴퓨터 판독가능한 코드, 성형 페이즈 가스 공급원으로부터 성형 페이즈 가스를 제공하기 위한 컴퓨터 판독가능한 코드, PR 마스크 위에 증착된 증착층을 성형하기 위해 성형 페이즈 가스 공급원으로부터 플라즈마를 형성하기 위한 컴퓨터 판독가능한 코드, 및 성형 페이즈 가스의 유동을 중단시키기 위한 컴퓨터 판독가능한 코드를 포함한다.
본 발명의 이들 특징 및 다른 특징은 다음의 도면과 관련하여 본 발명의 상세한 설명에서 보다 상세히 후술될 것이다.
본 발명은 동일한 참조부호가 동일한 엘리먼트를 지칭하는 첨부 도면에서 제한적이 아니라 예시적으로 설명된다:
도 1 은 본 발명의 일 실시형태에 따른 PR 마스크 전처리를 갖는 플라즈마 프로세스의 고레벨 흐름도이다.
도 2a 내지 도 2d 는 본 발명의 일 실시예에 따른 멀티-사이클 플라즈마 프로세스를 이용한 유전체층의 피쳐 형성의 단면도를 개략적으로 예시한다.
도 3a 내지 도 3d 는 본 발명의 실시형태에 따른 멀티-사이클, 2-상 (phase) 플라즈마 프로세스의 실시예를 개략적으로 예시한다.
도 4 는 PR 마스크 전처리, 후속의 플라즈마 프로세스, 스트리핑 (stripping) 에 사용될 수도 있는 플라즈마 처리 챔버의 개략도이다.
도 5a 내지 도 5b 는 본 발명의 실시형태에 사용된 제어기를 구현하는데 적합한 컴퓨터 시스템을 예시한다.
도 6 은 본 발명의 일 실시형태에 따른 PR 마스크 전처리의 상세 흐름도이다.
도 7a 내지 도 7d 는 본 발명의 일 실시예에 따른 멀티-사이클 플라즈마 프로세스를 이용하여 처리된 마스크 피쳐의 개략 단면도이다.
도 8a 내지 도 8d 는 본 발명의 다른 실시예에 따른 멀티-사이클 플라즈마 프로세스를 이용하여 처리된 마스크 피쳐의 개략 단면도이다.
도 9a 내지 도 9d 는 본 발명의 또 다른 실시예에 따른 멀티-사이클 플라즈마 프로세스를 이용하여 처리된 마스크 피쳐 및 ARL 의 개략 단면도이다.
이하, 본 발명은 첨부 도면에 예시된 바와 같이 그 몇몇 바람직한 실시형태를 참조하여 상세하게 설명될 것이다. 다음의 설명에서, 수많은 구체적인 세부사항들이 본 발명의 완전한 이해를 제공하기 위해 개시된다. 그러나, 당업자에게는, 본 발명이 이러한 구체적인 세부사항 중 일부 또는 전부 없이도 실시될 수도 있다는 것이 명백할 것이다. 다른 실시예에서, 공지된 프로세스 단계 및/또는 구조는 본 발명을 불필요하게 애매하게 하지 않기 위해 상세하게 설명되지 않았다.
이해를 용이하게 하기 위해, 도 1 은 본 발명의 일 실시형태에 따른 PR 마스크 전처리를 갖는 플라즈마 프로세스의 고레벨 흐름도이다. 패터닝된 포토레지스트 (PR) 마스크는 에칭층 위에 제공된다 (단계 102).
도 2a 는 본 발명의 일 실시형태에 따라 처리된 스택 (200) 의 일 실시예의 단면도이다. 스택 (200) 은 웨이퍼 (210) 위에 형성된 배리어층 (212) 위에 형성된 에칭층 (220) 을 포함한다. 본 실시예에서, 층 (208) 은 배리어층 (212) 및 웨이퍼 (210) 사이에 배치된다. 층 (208) 이 웨이퍼 (210) 에 형성된 것으로 도시되어 있지만, 에칭층 (220) 과 웨이퍼 (210) 사이에 형성된 층들이 얼마든지 존재할 수도 있다. 본 실시예에서, 배리어층 (212) 은 탄화 규소 (SiC) 층일 수도 있고 또는 SiN 일 수도 있다. 에칭층 (220) 은 캘리포니아 새너제이 소재의 노벨러스에서 제조된 CORALTM; 캘리포니아 샌타클래라 소재의 어플라이드 머티리얼스에서 제조된 Black DiamondTM; 네덜란드, 에이에스엠 인터내쇼날 엔.브이. 에서 제조된 AuroraTM; 캘리포니아 샌타클래라, 스미토모 케미컬 어메리카 인코포레이티드에서 입수가능한 Sumika Film®; 뉴저지 모리스타운 소재의 얼라이드 시그날에서 제조된 HOSPTM; 다우 케미칼 캄파니에서 제조된 SiLKTM 또는 진보된 다공성 SiLK; 트리콘에서 제조된 OrionR FlowfillTM; 및 제이에스알 가부시끼가이샤에서 제조된 LKDTM 를 포함하는, 유기실리케이트 (organosilicate) 및 다공성 유전체와 같은 로우-k 유전체일 수도 있다.
마스크 피쳐 (224) 의 형성은 에칭층 (220) 위에 반사방지층 (antireflective layer; ARL) (216) 을 형성함으로써 수행될 수도 있다. ARL (216) 은 스핀-온 (spin-on) 증착에 의해 형성될 수도 있다. 포토레지스트 마스크 (232) 는 ARL (216) 위에 제공된다 (단계 102). 포토레지스트층을 패터닝된 광으로 노광시킨 다음에 포토레지스트층 (232) 을 현상함으로써 포토레지스트 마스크 (232) 가 패터닝되어 포토레지스트층에 마스크 피쳐 (224) 를 획득할 수도 있다. 액침 리소그래피 (ArF 리소그래피 기술) 와 결합된 ArF (193 ㎚) 포토레지스트를 사용하여 PR 마스크를 형성하는 것이 바람직하다. ArF PR 은 서브 0.04 ㎛ 디바이스와 같은 대부분의 고집적 회로를 제조하는데 적합하다. 더욱 일반적으로는, 193 ㎚ 이하의 파장을 가진 레이저 광을 사용하여 PR 마스크 (232) 가 패터닝될 수도 있다.
ArF PR 마스크가 얇고 약하기 때문에, 후속의 플라즈마 프로세스는 전형적으로 PR 마스크의 손상 또는 위글링 (wiggling) 을 방지하기 위해 저이온 에너지를 갖는 고밀도 플라즈마를 사용한다. 전형적으로, 본 출원인은 PR 마스크 형상 및/또는 프로파일을 제어하는 것이 가능한 멀티-사이클 2-상 플라즈마 프로세스를 이용한다. 그러나, 이러한 고밀도 저에너지 플라즈마에서, PR 재료의 용매의 배기를 증가시키도록 다수의 저에너지 이온이 PR 마스크에 충격을 가하여, PR 마스크의 "블리스터링 (blistering)" (박리) 을 야기한다. 블리스터링된 PR 마스크는 플라즈마 프로세스 동안 PR 재료 (입자) 가 플라즈마로 방출되기 쉬워서, 웨이퍼를 오염시키고 그 결과 집적 회로를 오염시키는 것으로 생각된다. 193 ㎚ ArF PR 을 사용하는 플라즈마 에칭 프로세스에서의 오염 입자 문제 및 바람직하지 않은 입자의 공급원은 오랜 연구가 되어 왔고, 본 출원인은 결국 PR 마스크의 블리스터링이 입자 문제의 주요 원인이었다는 것을 발견하였다. 또한, 본 출원인은 특정 조건 하에서 희가스 플라즈마로 PR 마스크를 전처리하는 것이 후속의 플라즈마 프로세스 또는 프로세스들에서의 PR 마스크의 블리스터링을 예기치 않게 감소시켰다는 것을 발견하였다.
따라서, 본 발명의 일 실시형태에 의하면, 블리스터링 및 그 결과로서 생기는 입자 문제를 감소시키거나 또는 최소화하기 위하여, 도 1 에 도시된 바와 같이, 스택 (200) 이 멀티-사이클 플라즈마 프로세스 (단계 106) 에 의해 처리되기 전에 희가스 플라즈마로 PR 마스크 (232) 가 전처리된다 (단계 104). 희가스는 순아르곤 (pure argon; Ar) 이 바람직하나, 헬륨 (He), 크세논 (Xe) 또는 다른 희가스가 사용될 수도 있다.
전처리 (단계 104) 후, 멀티 사이클 플라즈마 프로세스 (단계 106) 가 동일 플라즈마 챔버 내에서 행해지는 것이 바람직하며 이점이 있다. 멀티-사이클 플라즈마 프로세스 (단계 106) 는 복수의 사이클을 제공하고, 각 사이클은 증착 페이즈 (108) 및 성형 페이즈 (110) 를 포함한다. 증착 페이즈 (108) 는 PR 마스크 위에 증착층을 증착하고, 상기 증착층은 PR 마스크의 상면 및 마스크 피쳐의 측벽을 적어도 덮는다. 성형 페이즈 (110) 는 PR 마스크 위에 증착된 증착층을 성형한다. 멀티-사이클 플라즈마 프로세스 (106) 후에, 추가의 에칭 프로세스 (들) 가 수행될 수도 있고 (단계 112), PR 마스크가 임의의 스트리핑 또는 애싱 프로세스를 이용하여 스트리핑될 수도 있다 (단계 114).
도 2b 내지 도 2d 에 도시된 본 발명의 일 실시예에서, 피쳐 (234) 는 멀티-사이클 플라즈마 프로세스 (106) 에 의해 에칭층 (220) 으로 선택적으로 에칭된다. 도 3a 는 본 실시예의 멀티-사이클 플라즈마 프로세스 (선택적 에칭 프로세스 (106a)) 를 개략적으로 예시한다. 도 3a 에 도시된 바와 같이, 증착 페이즈 (108) 는 PR 마스크 (232) 에 대하여 에칭층 (220) 을 선택적으로 에칭하는 증착-에칭 페이즈 (108a) 이고 PR 마스크 (232) 위 및 에칭된 피쳐 (234) 의 측벽에 증착층 (폴리머) 을 증착한다. 도 2b 는 증착-에칭 페이즈 (108a) 후에 스택 (200) 의 단면도를 개략적으로 예시한다. 하나 이상의 에칭 사이클은 도 2b 에 도시된 구조를 획득하기 위해 미리 수행되었을 수도 있다. 증착-에칭 페이즈 (108a) 는 에칭된 피쳐 (234) 의 측벽 위 및 포토레지스트 마스크 (232) 위에 폴리머층 (236) 을 증착하는 동안, 피쳐 (234)의 일부를 에칭한다. 이러한 페이즈는 포토레지스트 마스크 (232) 를 에칭하지 않고 그 대신에 포토레지스트 마스크 (232) 위에 폴리머층 (236) 을 형성하여 에칭층 (220) 을 에칭하기 때문에, 이러한 증착-에칭 페이즈는 무한 선택도를 제공하는 것이 바람직하다.
본 실시예에서, 도 3a 에 도시된 바와 같이, 성형 페이즈 (110) 는 증착된 폴리머 (236) 를 제거하는 폴리머 세정 페이즈 (110a) 이다. 도 2c 는 폴리머 세정 페이즈 (110a) 후의 스택 (200) 의 단면도이다. 2-상 프로세스의 하나 이상의 사이클은 도 2c 에 도시된 구조를 획득하기 위해 미리 수행되었을 수도 있다. 폴리머 세정 페이즈 (110a) 는 에칭된 피쳐 (234) 의 측벽 및 마스크 피쳐 (224) 에 증착된 폴리머 (236) 를 제거한다. 바람직한 실시형태에서, 에칭층 (220) 은 폴리머 세정 페이즈 (110a) 동안 에칭층 (220) 이 에칭되지 않는다. 다른 실시형태에서, 폴리머 세정 페이즈 (110a) 는 피쳐 (234) 의 저면 (bottom) 에서 에칭층 (220) 을 에칭할 수도 있다.
멀티-사이클 플라즈마 프로세스 (106a) 는 10 내지 100 사이클 동안 수행되는 것이 바람직하다. 더욱 바람직하게는, 멀티-사이클 플라즈마 프로세스 (106a) 는 15 내지 50 사이클 동안 수행된다. 가장 바람직하게는, 멀티-사이클 플라즈마 프로세스가 약 20 사이클 동안 수행된다.
도 2d 는 선택적 에칭 프로세스 (단계 106a) 가 완료된 후의 스택 (200) 의 단면도이다. 본 실시예에서, 피쳐 (234) 는 에칭층 (220) 을 통하여 전적으로 에칭된다. 무한 선택도를 제공하여, 포토레지스트 마스크 (232) 는 에칭되지 않았다.
그 다음에, 포토레지스트 마스크 (232) 는 스트리핑된다 (도 1, 단계 114).
에칭된 피쳐 (234) 의 측벽은 수직인 것이 바람직하다. 수직 측벽은 하부에서부터 상부까지 피쳐의 저면과 88°내지 90°사이의 각도를 이루는 측벽인 것이 바람직하다. 폴리머 세정 페이즈 (단계 110a) 없이, 연속된 증착-에칭 페이즈 (108a) 는 피쳐 (234) 의 측벽에 폴리머를 계속 더 추가할 것이라는 것에 주목해야 한다. 그 결과, 수직 측벽 대신에 테이퍼형 측벽이 생성되어 피쳐의 폭이 감소될 것이다. 이러한 프로세스는 중단 에칭을 야기하게 될 것이고 에칭의 깊이를 제한하게 될 것이다.
에칭층 (220) 은 유전체층인 것이 바람직하다. 더욱 바람직하게는, 에칭층은 로우-k 유전체층이다. 유전체층은 로우-k 산화 규소 기반 유전체층일 수도 있다. 본 발명의 바람직한 실시형태의 일 실시예에서, 기판 (210) 은 실리콘 웨이퍼이고 유전체 에칭층 (220) 은 OSG (organosilicate glass) 또는 Coral 이다. 바람직한 실시형태에서, 배리어층 (212) 은 SiC 이다. 마스크는 ArF (193 ㎚ PR) 포토레지스트를 사용하여 형성된다 (단계 104). 바람직한 실시형태에서, ARC층은 저부 반사방지막 (BARC) 이다.
도 4 는 에칭 및 스트리핑에 사용될 수도 있는 플라즈마 처리 챔버 (400) 의 단면도이다. 플라즈마 처리 챔버 (400) 는 감금링 (402), 상부 전극 (404), 하부 전극 (408), 가스 공급원 (410), 및 배기 펌프 (420) 를 포함한다. 가스 공급원 (410) 은 에칭 가스 공급원 (412), 증착 페이즈 가스 공급원 (414), 성형 페이즈 가스 공급원 (416), 및 전처리 가스 공급원 (418) 을 포함할 수도 있다. 플라즈마 처리 챔버 (400) 내에서, 기판 (210) 은 하부 전극 (408) 위에 위치 결정된다. 하부 전극 (408) 은 기판 (210) 을 유지하기 위한 적합한 기판 척고정 기구 (예를 들면, 정전형, 기계적 클램핑 등) 와 일체화된다. 리액터 상부 (428) 는 하부 전극 (408) 바로 가까이에 대향하게 배치된 상부 전극 (404) 과 일체화된다. 상부 전극 (404), 하부 전극 (408), 및 감금링 (402) 은 한정된 플라즈마 체적 (440) 을 한정한다. 가스가 가스 공급원 (410) 에 의해 한정된 플라즈마 체적 (440) 에 공급되고 배기 펌프 (420) 에 의해 한정된 플라즈마 체적 (440) 으로부터 감금링 (402) 및 배기 포트를 통해 배기된다. 제 1 RF 공급원 (444) 은 상부 전극 (404) 에 전기적으로 연결된다. 제 2 RF 공급원 (448) 은 하부 전극 (408) 에 전기적으로 연결된다. 챔버 벽 (452) 은 감금링 (402), 상부 전극 (404), 및 하부 전극 (408) 을 둘러싸고 있다. 제 1 RF 공급원 (444) 및 제 2 RF 공급원 (448) 양쪽은 27 ㎒ 전력 공급원, 60 ㎒ 전력 공급원, 및 2 ㎒ 전력 공급원을 포함할 수도 있다. 예를 들면, 캘리포니아 프리몬트 소재의 램 리써치 코퍼레이션 (LAM Research CorporationTM) 에 의해 제조된, Exelan® Series 와 같은 램 리써치 코퍼레이션의 유전체 에칭 시스템이 본 발명의 바람직한 실시형태로 사용될 수도 있다. RF 전력의 전극과의 연결의 상이한 조합이 가능하다. 본 발명의 바람직한 실시형태에서, 60 ㎒ 전력 공급원만이 하부 전극에 연결된 제 2 RF 전력 공급원 (448) 으로서 전처리에 사용되고, 상부 전극은 접지된다. 제어기 (435) 는 RF 공급원 (444, 448), 배기 펌프 (420), 및 가스 공급원 (410) 에 제어가능하게 연결된다. 이러한 디바이스는 챔버의 압력, 가스 유동, 가스 조합, RF 전력, 및 각 페이즈에 대한 시간 기간을 조절할 수 있다.
도 5a 및 도 5b 는 본 발명의 실시형태에서 사용된 제어기 (435) 를 구현하는데 적합한 컴퓨터 시스템 (500) 을 예시한다. 도 5a 는 컴퓨터 시스템의 하나의 가능한 물리적 형태를 도시한다. 물론, 컴퓨터 시스템은 집적 회로, 인쇄 회로 기판, 및 소형의 휴대용 디바이스로부터 거대한 슈퍼 컴퓨터까지의 범위에 이르는 다수의 물리적 형태를 가질 수도 있다. 컴퓨터 시스템 (500) 은 모니터 (502), 디스플레이 (504), 하우징 (506), 디스크 드라이브 (508), 키보드 (510), 및 마우스 (512) 를 포함한다. 디스크 (514) 는 컴퓨터 시스템 (500) 으로 및 컴퓨터 시스템 (500) 으로부터 데이터를 전송하는데 사용되는 컴퓨터-판독가능한 매체이다.
도 5b 는 컴퓨터 시스템 (500) 용 블록도의 일 실시예이다. 시스템 버스 (520) 에 부착된 것은 다양한 종류의 서브시스템이다. 프로세서(들) (522) (중앙 처리 유닛, 또는 CPU 라고도 칭함) 는 메모리 (523) 를 포함하는 저장 디바이스에 결합된다. 메모리 (524) 는 랜덤 액세스 메모리 (RAM) 및 리드 온리 메모리 (ROM) 를 포함한다. 당업계에서 주지된 바와 같이, ROM 은 CPU 로 데이터 및 명령들을 단방향성으로 전송하도록 동작하고 RAM 은 전형적으로 데이터 및 명령들을 양방향성으로 전송하는데 사용된다. 이들 타입의 메모리 양쪽은 후술되는 컴퓨터-판독가능한 매체 중 어떤 적합한 것을 포함할 수도 있다. 또한, 고정 디스크 (526) 는 CPU (522) 에 양방향성으로 결합되고; 추가의 데이터 저장 용량을 제공하고 또한 후술되는 컴퓨터-판독가능한 매체 중 어떤 임의의 것을 포함할 수도 있다. 고정 디스크 (526) 는 프로그램, 데이터 등을 저장하는데 사용될 수도 있고 전형적으로는 주기억 장치보다 느린 보조 기억 매체 (하드 디스크 등) 이다. 적절한 경우에, 고정 디스크 (526) 내에서 유지된 정보가 메모리 (524) 내의 가상 메모리로서 표준 방식으로 일체화될 수도 있다는 것을 알 수 있다. 이동식 디스크 (514) 는 후술되는 컴퓨터-판독가능한 매체의 형태를 취할 수도 있다.
또한, CPU (522) 는 디스플레이 (504), 키보드 (510), 마우스 (512), 및 스피커 (530) 와 같은 다양한 입출력 디바이스에 결합된다. 일반적으로, 입출력 디바이스는 비디오 디스플레이, 트랙 볼, 마우스, 키보드, 마이크로폰, 터치 감지 디스플레이, 변환기 카드 판독기, 자기 또는 종이 테이프 판독기, 태블릿, 스타일러스, 음성 또는 필기 인식기, 생체 인식 판독기, 또는 다른 컴퓨터 중 어떤 임의의 것일 수도 있다. CPU(522) 는 옵션으로 네트워크 인터페이스 (540) 를 사용하여 다른 컴퓨터 또는 전기 통신 네트워크에 결합될 수도 있다. 이러한 네트워크 인터페이스에 의해, CPU 는 네트워크로부터 정보를 수신할 수도 있고, 또는 상기 설명한 방법 단계를 수행하는 동안에 정보를 네트워크에 출력할 수도 있다고 생각할 수 있다. 또한, 본 발명의 방법 실시형태는 CPU (522) 단독으로 실행될 수도 있고 또는 처리의 일부를 공유하는 원격 CPU 와 함께 인터넷과 같은 네크워크로 실행될 수도 있다.
또한, 본 발명의 실시형태는 또한 다양한 컴퓨터 구현 동작을 수행하기 위한 컴퓨터 코드를 갖는 컴퓨터-판독가능한 매체를 갖는 컴퓨터 저장 제품에 관한 것이다. 매체 및 컴퓨터 코드는 본 발명의 목적을 위해 특별히 설계되고 구성된 것일 수도 있고, 또는 컴퓨터 소프트웨어 분야의 당업자에게 주지되고 이용가능한 종류일 수도 있다. 실재하는 컴퓨터-판독가능한 매체의 예는, 제한되지 않고, 하드 디스크, 플로피 디스크, 및 자기 테이프와 같은 자기 매체; CD-ROM 및 홀로그래피 디바이스와 같은 광학 매체; 플롭티컬 디스크와 같은 광 자기 매체; 및 특정 용도의 집적 회로 (ASIC), 프로그램가능한 논리 디바이스 (PLD) 및 ROM 및 RAM 디바이스와 같은, 프로그램 코드를 저장 및 실행하도록 특별히 구성된 하드웨어 디바이스를 포함한다. 컴퓨터 코드의 예는 컴파일러에 의해 생성되는 것과 같은 기계 코드, 및 인터프리터를 사용하는 컴퓨터에 의해 실행되는 고레벨 코드를 포함하는 파일을 포함한다. 또한, 컴퓨터 판독가능한 매체는 반송파에 포함된 컴퓨터 데이터 신호에 의해 전송되고 프로세서에 의해 실행가능한 명령의 시퀀스를 나타내는 컴퓨터 코드일 수도 있다.
도 6 은 PR 마스크의 전처리 (단계 104) 의 상세한 프로세스 흐름을 개략적으로 예시한다. 전형적으로, PR 마스크가 그 위에 패터닝된 층들의 스택을 갖는 기판 (210) 은 상기 설명한 플라즈마 처리 챔버 (400)와 같은 플라즈마 처리 챔버 내에 배치된다 (단계 132). 희가스가 공급되고 (단계 134), 플라즈마가 희가스로부터 형성되어 (단계 136) PR 마스크를 처리한 다음에, 희가스의 유동이 중단된다 (단계 138). 프로세스 가스 또는 다른 플라즈마 조건 파라미터를 변경시킴으로써, 플라즈마를 소멸시키지 않고 후속의 멀티-사이클 플라즈마 프로세스가 수행될 수도 있다.
저이온 에너지를 가진 고밀도 플라즈마를 제공하여 PR 마스크가 전처리 동안 에칭되거나 손상되지 않도록 하는 것이 바람직하지만, PR 마스크가 다수의 저에너지 이온에 의해 "타격"을 받아서 부드럽거나 또는 얇은 PR 마스크가 더 단단해져서 더욱 고형 구조를 갖게 된다. 전처리 가스는 Ar 을 함유하는 것이 바람직하다. 더욱 바람직하게는, 전처리 가스는 오직 Ar 만을 함유한다. 예를 들면, 100 내지 1,000 sccm Ar 가스, 바람직하게는 700 sccm Ar 가스가 제공될 수도 있다. 다른 방법으로는, 전처리 가스는 Ar, He, 및/또는 Xe, 또는 그 혼합물을 함유할 수도 있다.
전처리 가스로부터 플라즈마를 형성할 때 (단계 136), 60 ㎒ 이상의 고주파가 100 내지 500 W 의 전력 범위에서 제공될 수도 있다. 전력은 300 W 에서 설정되는 것이 바람직하다. 플라즈마 형성 단계 136 은 50 ㎒ 보다 작은 주파수를 갖는 RF 에너지를 제공하지 않는다. 이온 에너지가 직접적으로 제어될 수 없을 때, 300 W 의 전력 범위 및 60 ㎒ 이상의 고주파가 저이온 에너지를 충분히 보장한다. 플라즈마 챔버는 100 mTorr 이상에서 설정될 수도 있다. 압력은 약 200 mTorr 에서 설정되는 것이 바람직하다.
저에너지 희가스 이온이 PR 마스크의 상면을 타격하여 PR 마스크를 가압 및 경화하는 것으로 생각할 수 있다. 이는 물리적 반응을 일으키지만, 즉, 압축에 의해 경화되는 PR 마스크 재료의 속성을 물리적으로 변화시키지만, 화학적 반응을 일으키지는 않는다. PR 마스크를 경화 및/또는 가압함으로써, PR 마스크의 블리스터링이 감소하여서 후속의 멀티-사이클 플라즈마 프로세스에서의 입자 오염을 회피하게 한다.
주요한 실리콘 에칭 프로세스 후에 에칭된 피쳐의 선폭 거칠기 (LWR) 또는 라인에지 거칠기 (LER) 을 감소시키기 위해 실리콘 에칭 프로세스 전에 193 ㎚ PR 에 대해 Ar 플라즈마 전처리를 이용하는 것을 제안하였다는 것에 주목해야 한다. 에칭되는 스택은 Si 기판, SiO2 하드마스크, 저부 반사방지막 (BARC), 및 PR 을 포함한다. 그러나, Ar 플라즈마 전처리는 단지 PR 마스크 및/또는 에칭된 실리콘 피쳐의 형상을 제어할 뿐이고, 고밀도, 저에너지 플라즈마를 이용한 특정 멀티-사이클 2-상 에칭 프로세스 동안의 입자 오염에 관한 어떤 문제도 해결하지 않는다.
상기 설명한 바와 같이, 멀티-사이클 선택적 에칭 프로세스는 복수의 사이클을 포함하고, 각 사이클은 증착 페이즈 (단계 108) 및 성형 페이즈 (단계 110) 를 포함한다. 본 발명의 일 실시형태에 의하면, 증착 페이즈는 50 ㎒ 보다 작은 주파수를 갖는 RF 에너지를 제공하지 않는다. 증착 페이즈는 60 ㎒ 이상의 고주파를 제공하는 것이 바람직하다. 마찬가지로, 본 발명의 일 실시형태에 의하면, 성형 페이즈는 50 ㎒ 보다 작은 주파수를 갖는 RF 에너지를 제공하지 않는다. 성형 페이즈는 60 ㎒ 이상의 고주파를 제공하는 것이 바람직하다.
도 3a 에 도시된 바와 같이, 본 실시예의 멀티-사이클 플라즈마 프로세스 (106) 는 멀티-사이클 플라즈마 프로세스 (106a) 에 의해 에칭층 (220) 에 피쳐를 선택적으로 에칭한다. 증착 페이즈 (108) 는 PR 마스크에 대하여 에칭층을 선택적으로 에칭하고, 에칭된 피쳐의 측벽 및 PR 마스크 위에 폴리머 (증착층) 를 증착하는 증착-에칭 페이즈 (108a) 이다. 성형 페이즈 (110) 는 증착된 폴리머를 제거하는 폴리머 세정 페이즈 (110a) 이다.
이 선택적-에칭 프로세스 (106a) 에서, 증착-에칭 페이즈 (단계 108a) 에 대한 실시예 방법은 다음과 같다: 40 sccm 의 CF4 및 90 sccm 의 H2 의 증착-에칭 페이즈 가스가 제공된다. 챔버 압력은 90 mTorr 로 설정되었다. 27 ㎒ RF 공급원에 의해 1,200 W 가 제공되었고, 2 ㎒ 전력 공급원에 의해 400 W 가 제공되었다. 본 실시예에서, 증착-에칭은 단일 단계로서 동시에 행해진다. 폴리머 세정 페이즈 (단계 110a) 에 대한 실시예 방법은 다음과 같다: 300 sccm 의 O2 의 폴리머 세정 페이즈 가스가 제공된다. 챔버 압력은 250 mTorr 로 설정되었다. 27 ㎒ RF 공급원에 의해 100 W 가 제공되었고, 2 ㎒ 전력 공급원에 의해 전력이 공급되지 않았다.
그 다음에, PR 마스크가 스트리핑된다 (단계 114, 도 1). 마스크 스트리핑의 일 실시예는 10 내지 3,000 sccm 의 O2 의 스트리핑 가스를 제공한다. 챔버 압력은 5 내지 500 mTorr 로 설정되었다. 2 ㎒, 27 ㎒ RF 공급원 또는 2 ㎒ 및 27 ㎒ RF 전력 공급원 양쪽의 조합에 의해 100 내지 1,000 W 가 제공되었다.
도 3b 는 PR 마스크의 임계 치수 (critical dimension; CD) 가 감소되는 멀티-사이클 플라즈마 프로세스 (106b) 의 다른 실시예를 개략적으로 예시한다. 본 실시예에서, 도 7a 에 도시된 바와 같이, 증착 페이즈 (108b) 는 PR 마스크 (312) 위 뿐만 아니라, 마스크 피쳐 (314) 의 저면 (332) 에 증착층 (302) 을 더 증착한다. 성형 페이즈 (110) 는, 도 7b 에 도시된 바와 같이, 그 밑에 있는 유전체층을 에칭하지 않고 측벽 (304) 을 남긴 채 마스크 피쳐의 저면 (332) 으로부터 증착층 (302) 을 제거하여 PR 마스크 (312) 의 CD 를 축소시키는 프로파일 성형 페이즈 (110b) 이다. 도 7c 및 도 7d 에 도시된 바와 같이, 원하는 마스크 피쳐 (314) 의 CD 를 획득할 때까지, 2-상 사이클이 반복된다 그 다음에, 복수의 사이클을 제공함으로써 축소된 CD 를 갖는 성형된 PR 마스크 (312) 를 사용하여 유전체층이 에칭된다 (도 1, 단계 112). 에칭 프로세스 후에 PR 마스크 (312) 가 스트리핑될 수도 있다 (단계 114).
증착 페이즈 (108b) 의 일 실시예는 터보 펌프의 Vat 밸브를 1,000 으로 설정함으로써 확립된, 70 mTorr 의 압력에서 60 sccm Ar, 75 sccm CF4, 및 100 sccm H2 의 화학 작용을 이용한 CF4 증착일 수도 있다. 60 ㎒ RF 공급원은 200 내지 800 와트의 전력을 제공한다. 이러한 페이즈는 약 12 초 동안 유지된다. 성형 페이즈 (110b) 의 일 실시예는 터보 펌프의 Vat 밸브를 1,000 으로 설정함으로써 확립된, 25 mTorr 의 압력에서 87 sccm CF4 의 화학 작용을 이용할 수도 있다. 60 ㎒ RF 공급원은 200 내지 800 와트의 전력을 제공한다. 이러한 페이즈는 약 3 초 동안 유지된다. 증착 페이즈 (108b) 및 그 다음의 성형 페이즈 (110b) 를 이용한 순환식 프로세스가 8 개의 사이클 동안 수행된다.
증착 페이즈 (108b) 및 프로파일 성형 페이즈 (110b) 의 시간 비율을 제어하는 능력은 다른 제어 변수를 제공한다. 적절한 비율은 실질적으로 수직 및 등각의 측벽을 제공한다. 또한, 이러한 증착층은 포토레지스트 마스크를 보호하여 에칭 선택도를 증가시킬 수 있다. 증착 프로파일을 제어하는데 사용될 수 있는 본 발명에 의해 제공된 다른 제어 파라미터는, 사이클의 개수, 전체 증착 시간, 증착/프로파일 성형 시간비, 가스 화학비 (CF4/H2 등) 이다. CF4/H2 대신에 C4F6/O2 또는 CH3F/N2, CF4 대신에 CH3F/O2 또는 CH3F/N2 등과 같은 다른 가스 화학 작용이 이용될 수도 있다.
도 3c 는 PR 마스크가 트리밍된 멀티-사이클 플라즈마 프로세스 (106c) 의 다른 실시예를 개략적으로 예시한다. 본 실시예에서, 증착 페이즈 (108c) 는 PR 마스크 위 뿐만 아니라, 마스크 피쳐의 저면에 증착층을 더 증착한다. 성형 페이즈 (110) 는 마스크 피쳐의 측벽이 트리밍되도록 유전체층을 에칭하지 않고, 마스크 피쳐의 저면 및 측벽으로부터 증착층을 제거하는 트리밍-에칭 페이즈 (110c) 이다.
도 8a 내지 도 8d 는 각 사이클에서 마스크 피쳐 (314) 의 단면도를 개략적으로 예시한다. 도 8a 에 도시된 바와 같이, 패터닝된 마스크 (312) 가 유전체층 위에 형성된다. 전형적으로, ARL (310) 은 유전체층의 상면에 제공되고, 마스크 피쳐 (314) 의 저면 (332) 에서 노출된다. 본 실시예에서, 도 8b 에 도시된 바와 같이, 증착 페이즈 (108c) 는 PR 마스크 (312) 위 뿐만 아니라, 마스크 피쳐 (314) 의 저면 (332) 에 증착층 (330) 을 더 증착한다. 즉, 증착층 (330) 은 마스크 피쳐 (314) 의 측벽 (315) 뿐만 아니라, 마스크 피쳐 (314) 의 저면 (332) 을 덮는다. 증착층은 폴리머일 수도 있다. 각 증착 페이즈 (108c) 에서, 일반적으로, 마스크 (312) 의 상면에 증착된 증착층 (330) 의 두께 및 마스크 피쳐의 저면 (332) 에 증착된 증착층 (330) 의 두께는 마스크 피쳐의 측벽 (315) 에 증착된 증착층의 두께보다 두껍다.
도 8c 에 도시된 바와 같이, 트리밍-에칭 페이즈 (110c) 는 마스크 피쳐의 측벽을 트리밍하도록 유전체층을 에칭하지 않고 마스크 피쳐의 저면 (332) 및 측벽 (315) 으로부터 증착층 (330) 을 제거한다. 마스크 (312) 의 상면 (334) 의 증착층 (330) 이 트리밍-에칭 페이즈 (110c) 에서 실질적으로 제거된다. 증착층 (330) 이 마스크의 상면 및 마스크 피쳐의 저면에서보다 측벽에서 더 얇기 때문에, 증착층 (330) 이 측벽으로부터 제거된 후에 측벽 증착이 마스크 피쳐의 측벽에 오버 에칭된다. 마스크의 상면 및 마스크 피쳐의 저면에 대하여, 트리밍-에칭 페이즈는 이전의 증착 페이즈 (평탄화함) 에서 증착된 증착층만을 제거하고, 마스크 (312) 또는 ARL (310) 을 에칭하지 않는 것이 바람직하다. 2 개의 페이즈를 제어함으로써, 마스크 피쳐의 측벽 (315) 이 트리밍되어 그 CD 가 확대되는 반면, 마스크 (312) 의 두께는 실질적으로 각 사이클에서 유지된다.
도 8d 는 복수 사이클의 마스크 트리밍 프로세스 후에 마스크 피쳐 (314) 의 단면도를 개략적으로 예시한다. 증착 페이즈 (108c) 및 트리밍-에칭 페이즈 (110c) 를 반복함으로써, 마스크 피쳐의 측벽 (315) 이 원하는 프로파일로 조금씩 트리밍된다. 트리밍-에칭 페이즈 (110c) 는 마스크 피쳐의 밀집 영역에 대하여 고립 영역에서의 마스크 피쳐의 측벽 (315) 을 선택적으로 에칭 백 하는 것이 바람직하다. 따라서, 고립 영역에서는 마스크 피쳐의 측벽이 트리밍되는 반면, 밀집 영역에서는 마스크 피쳐가 실질적으로 본래 형상을 유지할 수도 있다.
각 사이클 (멀티-사이클 프로세스) 에서 얇은 증착층을 증착하고 소량의 측벽을 트리밍함으로써 복수의 사이클을 반복하는 것은 두꺼운 증착층을 한번에 증착하고 다량의 측벽을 트리밍하는 것에 비해 여러 가지 이점을 갖는다는 것에 주목해야 한다. 우선, 멀티-사이클 프로세스가 더 나은 프로파일 조정을 제공한다. 두꺼운 폴리머층을 증착하는 단일의 긴 증착 단계는 소위 "빵 덩어리 (bread-loaf)" 프로파일을 제조하기 쉽고 극단의 경우에는 피쳐가 오히려 핀치오프 될 수도 있다. 한편, 단일의 긴 에칭 단계는 패싯화 프로파일 (faceted profile) 을 생성할 것이다. 복수 사이클의 다른 증착 단계 및 에칭 단계는 최소로 또는 빵 덩어리화 없이 더 일직선의 측벽을 갖는 더 나은 프로파일 제어를 부여한다. 또한, 폴리머층은 고밀도화, 층간분리 (delamination) 의 최소화, 스트리에이션 (striation), 또는 블리스터링 (박리) 된다. 예를 들면, 1,000 Å 보다 큰 두꺼운 증착층은 마스크 피쳐의 에지에서 특히 마스크로부터 박리되기 쉽다. 또한, 디자인 룰의 요구로 인해 본래 마스크의 CD 를 변화시키는 것은 바람직하지 않고 또는 실용적이지 않다는 것에 주목해야 한다.
추가의 페이즈가 각 사이클에 추가될 수도 있다. 멀티-사이클 마스크 트리밍 프로세스는 적어도 3 개의 사이클을 포함하는 것이 바람직하다. 더욱 바람직하게는, 이러한 마스크 트리밍 프로세스는 4 개 내지 5 개의 사이클을 포함한다.
본 발명의 일 실시형태에 의하면, 증착 페이즈 (단계 108c) 는 탄화 수소 성분을 포함하는 증착 가스를 사용한다. 증착 가스는 C2H4 를 포함하는 것이 바람직하다. 더욱 바람직하게는, 증착 가스는 N2 와 같은 캐리어 가스를 더 포함한다. 트리밍-에칭 페이즈 (단계 110c) 는 O2 를 포함하는 에칭 가스를 사용한다.
증착 페이즈 (단계 108c) 에서의 증착 가스의 일 실시예는 100 내지 500 sccm C2H4, 100 내지 500 sccm N2, 및 100 내지 200 sccm Ar 조정 가스의 유동을 제공한다. 증착 페이즈가 일반적으로 중앙보다 웨이퍼의 에지에서 더 많이 증착되기 때문에, Ar 조정 가스는 웨이퍼의 에지부에 선택적으로 제공되어 증착 프로세스의 균일성을 제어할 수도 있다. 압력이 350 내지 750 mTorr 로 설정된다. 기판은 0 내지 60 ℃ 의 온도에서, 예를 들면, 약 20 ℃ 에서 유지된다. 제 2 RF 공급원은 60 ㎒ 의 주파수에서 200 내지 400 와트를 공급한다. 다른 실시예에서, 증착 페이즈는 60 ㎒ 의 주파수에서 740 mTorr 의 압력 및 200 와트 또는 400 와트의 전력으로 500 sccm C2H4, 150 sccm N2, 및 150 sccm Ar 조정 가스의 유동을 챔버에 제공한다.
트리밍-에칭 페이즈 (단계 110c) 의 일 실시예는 100 내지 1,000 sccm O2 를 제공한다. 본 실시예에서, O2 는 조정 가스 없이 선택적 에칭 페이즈 동안에 제공된 유일한 가스이다. O2 조정 가스는 트리밍-에칭 페이즈에서 사용될 수도 있고 프로세스 및 화학 작용에 따라 에지 또는 중앙부에서 제공될 수 있다. 350 내지 750 mTorr 의 압력이 챔버에 제공된다. 제 2 RF 공급원이 60 ㎒ 의 주파수에서 200 내지 400 와트를 제공한다. 예를 들면, 하나의 바람직한 실시형태에 의하면, 트리밍-에칭 페이즈는 60 ㎒ 의 주파수에서 750 sccm O2 의 유동, 740 mTorr 의 압력, 및 200 와트의 전력을 챔버에 제공한다. 다른 바람직한 실시형태에 의하면, 트리밍-에칭 페이즈는 60 ㎒ 의 주파수에서 750 sccm O2 의 유동, 740 mTorr 의 압력, 및 400 와트의 전력을 챔버에 제공한다. 또 다른 바람직한 실시형태에 의하면, 트리밍-에칭 페이즈는 60 ㎒ 의 주파수에서 200 sccm O2 의 유동, 380 mTorr 의 압력, 및 200 와트의 전력을 챔버에 제공한다.
도 1 로 되돌아와서, 마스크의 트리밍 후에, 트리밍된 마스크를 통해 유전체층으로 피쳐가 에칭된다 (단계 112). 그 다음에, 마스크 (312) 및 ARL (310) 이 스트리핑된다 (단계 114).
도 3d 는 마스크를 트리밍하고 마스크 피쳐의 저면에 형성된 반사방지층 (ARL) 을 또한 개구하는 멀티-사이클 플라즈마 프로세스 (106) 의 또 다른 실시예이다. 본 실시예에서, 도 9a 에 도시된 바와 같이, 패터닝된 마스크 (312) 가 유전체층 위의 ARL (310) 위에 형성된다. 전형적으로는, ARL (310) 은 유기 BARC (311) 및 무기 DARC (313) 를 포함한다. BARC (311) 는 마스크 피쳐의 저면 (332) 에서 노출된다.
본 실시예에서, 성형 페이즈 (110) 는, 도 9b 에 도시된 바와 같이, 마스크 피쳐 (314) 의 저면 (332) 의 ARL (310) 을 먼저 에칭하고 각 사이클에서 PR 마스크 (312) 를 트리밍하는 트리밍-에칭 페이즈 (110d) 이다. 즉, 본 실시예에서, 트리밍-에칭 페이즈 (110d) 는 증착-에칭 페이즈 (108d) 이전에 수행된다. 트리밍-에칭 페이즈 (110d) 에서, ARL (310) 은 부분적으로 에칭되고 1 개의 사이클에서 완전히 제거되지 않는다. 또한, 도 9b 에 도시된 바와 같이, 마스크 (312) 의 상면 (334) 및 마스크 피쳐 (314) 의 측벽 (315) 이 부분적으로 제거된다. 그 다음에, 도 9c 에 도시된 바와 같이, 증착-에칭 페이즈 (108d) 가 PR 마스크 (312) 위에 증착층 (330) 을 증착하고 각 사이클에서 마스크 피쳐 (314) 의 저면 (332) 에서 ARL (310) 을 더 에칭한다. 마스크 피쳐 (314) 의 저면 (332) 에서 ARL (310) 을 더 에칭하는 동안, 증착층 (330) 이 마스크 피쳐 (314) 의 측벽 (315) 뿐만 아니라 마스크 피쳐 (314) 의 상면 (334) 을 덮는다. 마스크 트리밍 및 ARL 개구 프로세스는 2 개 이상의 사이클, 바람직하게는 적어도 3 개의 사이클을 포함한다. 더욱 바람직하게는, 이 프로세스는 4 개 내지 12 개의 사이클을 포함한다. 가장 바람직하게는, 상기 프로세스는 6 개 내지 7 개의 사이클을 반복한다.
본 발명의 일 실시형태에 의하면, 각 증착-에칭 페이즈 (108d) 는, 도 9c 에 도시된 바와 같이, 마스크 (312) 의 상면 (334) 에, 이전의 트리밍-에칭 페이즈 (110d) 에 의해 제거된 마스크의 두께와 실질적으로 동일한 두께를 갖는 증착층 (330) 을 증착한다. 즉, 각 사이클의 종단에서, 마스크 (312) 의 본래 두께가 증착층 (330) 에 의해 실질적으로 유지된다. 그러나, 마스크 피쳐 (314) 의 측벽에 대해, 마스크 피쳐 (314) 의 측벽 (315) 의 증착층 (330) (특히 고립 영역에서) 이 이전의 트리밍-에칭 페이즈 (110d) 동안 손실된 측벽을 완전히 회복하기에 충분하지 않다. 따라서, 도 9c 에 도시된 바와 같이, 멀티-사이클 플라즈마 프로세스 (106d) 는 마스크의 측벽을 감소시키는 반면 마스크의 본래 두께를 실질적으로 유지하여, 마스크의 최종 트리밍으로 된다. 또한, 마스크 피쳐의 저면 (332) 에서의 ARL (310) 은 트리밍-에칭 페이즈 (110d) 및 증착-에칭 페이즈 (108d) 동안 에칭된다. 따라서, 도 9d 에 도시된 바와 같이, 트리밍-에칭 페이즈 (110d) 및 증착-에칭 페이즈 (108d) 를 반복함으로써, 마스크 피쳐 (314) 의 측벽 (315) 이 원하는 프로파일로 조금씩 트리밍되는 반면, 마스크 피쳐 (314) 의 저면 (332) 에서의 ARL (310) 은 유전체층의 후속의 에칭 프로세스 동안 개구된다.
도 1 로 되돌아가서, 멀티-사이클 플라즈마 프로세스 (단계 106) 에 의한 트리밍 및 개구 후에, 트리밍된 마스크를 통해 유전체층으로 피쳐가 에칭되고 ARL 이 개구된다 (단계 112). PR 마스크가 스트리핑될 수도 있다 (단계 114).
본 발명의 일 실시형태에 의하면, 트리밍-에칭 페이즈 (단계 110d) 는 NF3 를 포함하는 트리밍-에칭 가스를 사용한다. 더욱 바람직하게는, 트리밍-에칭 가스는 N2 및/또는 Ar 과 같은 캐리어 가스를 더 포함한다. 본 실시형태에서, 증착-에칭 페이즈 (단계 118d) 는 CF4 를 포함하는 증착-에칭 가스를 사용하고, 증착층으로서 폴리머 재료를 증착한다. 증착-에칭 가스는 CF4, H2 및 Ar 의 조성물, 또는 CF4, H2, N2 및 Ar 의 조성물일 수도 있다. NF3 를 함유하는 트리밍-에칭 가스는 밀집 영역보다 고립 영역에서 높은 에칭 레이트를 가질 수도 있어서, 고립 영역에서의 마스크 피쳐의 측벽은 밀집 영역에서의 마스크 피쳐의 측벽보다 더 많이 감소된다. 증착층은 폴리머가 포함된 탄화 수소 (주성분으로서 탄화 수소를 함유하는 폴리머) 일 수도 있다.
트리밍-에칭 페이즈 (단계 110d) 의 일 실시예는 100 내지 500 sccm, 바람직하게는 300 sccm NF3 의 유동을 제공한다. 압력은 140 mTorr 로 설정된다. 기판은 20 ℃ 의 온도에서 유지된다. 제 2 RF 공급원은 60 ㎒ 의 주파수에서 400 와트를 공급하는 것이 바람직하다. 다른 실시형태에서, 제 2 RF 공급원은, 웨이퍼 및 애플리케이션에 따라, 2 ㎒ 의 주파수에서 100 내지 500 와트, 또는 27 ㎒ 의 주파수에서 100 내지 500 와트를 제공할 수도 있다. 바람직한 실시형태에서, NF3 는 캐리어 또는 조정 가스 없이 트리밍-에칭 가스의 유일한 성분이다. 각 트리밍-에칭 페이즈에서, NF3-함유 트리밍-에칭 가스가 챔버로 도입된 후에, 상기 설명한 바와 같이, 트리밍-에칭 플라즈마가 그로부터 형성되어 마스크를 선택적으로 트리밍하고 ARL 을 에칭한다. 트리밍-에칭 가스의 유동이 중단되어 마스크 피쳐의 측벽이 사이클 동안 원하는 양만큼 감소된다. 감소된 측벽의 양이, 주어진 설정에 대한 트리밍-에칭 페이즈의 시간 기간만큼, ARL 의 두께 뿐만 아니라, 가스 유속, 압력, 및 RF 전력과 같은 파라미터가 제어될 수도 있다.
증착-에칭 페이즈 (단계 108d) 의 일 실시예는 증착-에칭 가스로서의 20 내지 200 sccm CF4 및 20 내지 200 sccm H2 와 같은 CF4 함유 가스, 및 20 내지 200 sccm N2 및/또는 50 내지 500 sccm Ar 을 함유하는 캐리어 가스를 제공한다. 증착-에칭 가스는 120 sccm Ar 의 캐리어 가스와 함께, 60 sccm CF4, 70 sccm H2, 30 sccm N2 를 포함하는 것이 바람직하다. 40 내지 200 mTorr, 바람직하게는 80 mTorr 의 압력이 챔버에 제공된다. 제 2 RF 공급원은 600 ㎒ 의 주파수에서 100 내지 500 와트, 바람직하게는 400 와트를 제공한다. 다른 실시형태에서, 제 2 RF 공급원은 웨이퍼 및 애플리케이션에 따라, 2 ㎒ 의 주파수에서 100 내지 500 와트, 또는 27 ㎒ 의 주파수에서 100 내지 500 와트를 제공할 수도 있다.
다른 실시형태에서, 각 사이클은 추가의 증착 및/또는 프로파일 성형 페이즈를 더 포함할 수도 있다. 각 증착-에칭 페이즈에서, CF4-함유 에칭 가스가 챔버에 도입된 후에, 상기 설명한 바와 같이 플라즈마가 그로부터 형성되어 증착층을 증착하고 ARL 을 더 에칭한다. CF4-함유 에칭 가스의 유동이 중단되어 증착층이 이전의 트리밍-에칭 페이즈에서 손실된 마스크의 두께를 회복한다. 사이클의 최종 트리밍의 양이, 주어진 설정에 대한 트리밍-에칭 페이즈 및 증착-에칭 페이즈의 시간 기간만큼, ARL 의 두께 뿐만 아니라, 가스 유속, 압력, 및 RF 전력과 같은 파라미터가 제어될 수도 있다. 일반적으로, 트리밍-에칭 페이즈가 길수록 증착-에칭 페이즈가 길다. 사이클의 개수 뿐만 아니라 각 사이클의 2 개의 페이즈를 제어함으로써, 트리밍 및 개구 단계의 종단에서, 마스크 피쳐의 저면에서의 ARL 이 제거되고 고립 영역에서의 피쳐의 측벽이 트리밍되어 후속의 유전체 에칭에서 마이크로 로딩 효과를 보상한다.
이들 실시예에서, 플라즈마 처리 챔버는 챔버의 압력, 가스 유동, 가스 조합, RF 전력, 및 각 페이즈에 대한 시간 기간을 조절하는 것이 가능해야 한다.
본 발명은 수개의 바람직한 실시형태의 관점에서 설명되었지만, 본 발명의 범위 내에 있는 변경, 치환, 및 다양한 대용 균등물이 있다. 또한, 본 발명의 장치 및 방법을 구현하는 많은 다른 방식이 있다는 것에 주목해야 한다. 따라서, 다음의 첨부된 청구항은 본 발명의 범위 및 진정한 사상 내에 있는 이러한 모든 변경, 치환, 및 다양한 대용 균등물을 포함하는 것으로서 해석되는 것으로 의도된다.

Claims (31)

193 ㎚ 이하의 파장을 가진 레이저 광을 사용하여 패터닝되는 포토레지스트 (photoresist; PR) 마스크를 통해 유전체층의 피쳐 (feature) 를 에칭하는 방법으로서,
희가스 플라즈마로 상기 PR 마스크를 전처리하는 단계; 및
복수의 사이클을 제공하는 단계를 포함하고,
각 사이클은,
상기 PR 마스크 위에, 상기 PR 마스크의 상면 및 마스크 피쳐의 측벽을 덮는 증착층을 증착하는 증착 페이즈; 및
상기 PR 마스크 위에 증착된 상기 증착층을 성형하는 성형 페이즈 (shaping phase) 를 포함하고,
반사방지층 (antireflection layer; ARL) 은 패터닝된 PR 마스크 아래의 상기 유전체층 위에 형성되고,
각 사이클에서, 상기 성형 페이즈는 상기 마스크 피쳐의 저면의 ARL 을 먼저 에칭하고 상기 PR 마스크를 트리밍하고, 상기 증착 페이즈는 상기 PR 마스크에 상기 증착층을 증착하고 상기 마스크 피쳐의 저면의 상기 ARL 을 더 에칭하는, 피쳐 에칭 방법.
제 1 항에 있어서,
상기 희가스는 Ar 을 포함하는, 피쳐 에칭 방법.
제 1 항 또는 제 2 항에 있어서,
상기 PR 마스크를 전처리하는 단계는,
상기 희가스를 제공하는 단계;
상기 희가스로부터 플라즈마를 형성하는 단계; 및
상기 희가스의 유동을 중단시키는 단계를 포함하는, 피쳐 에칭 방법.
제 3 항에 있어서,
상기 플라즈마를 형성하는 단계는, 60 ㎒ 이상의 고주파를 제공하는 단계를 포함하는, 피쳐 에칭 방법.
제 4 항에 있어서,
상기 플라즈마를 형성하는 단계는, 50 ㎒ 보다 작은 주파수를 갖는 RF 에너지를 제공하지 않는, 피쳐 에칭 방법.
제 4 항에 있어서,
상기 플라즈마를 형성하는 단계는,
500 W 보다 작은 전력을 제공하는 단계를 더 포함하는, 피쳐 에칭 방법.
제 3 항에 있어서,
상기 희가스의 가스 유동은 100 sccm 이상을 갖는, 피쳐 에칭 방법.
제 1 항 또는 제 2 항에 있어서,
상기 증착 페이즈는 60 ㎒ 이상의 고주파를 제공하는, 피쳐 에칭 방법.
제 8 항에 있어서,
상기 증착 페이즈는 50 ㎒ 보다 작은 주파수를 갖는 RF 에너지를 제공하지 않는, 피쳐 에칭 방법.
제 1 항 또는 제 2 항에 있어서,
상기 성형 페이즈는 60 ㎒ 이상의 고주파를 제공하는, 피쳐 에칭 방법.
제 10 항에 있어서,
상기 성형 페이즈는 50 ㎒ 보다 작은 주파수를 갖는 RF 에너지를 제공하지 않는, 피쳐 에칭 방법.
제 1 항 또는 제 2 항에 있어서,
상기 증착 페이즈는 또한 상기 유전체층 내로 피쳐를 에칭하고, 상기 에칭된 피쳐의 측벽에 상기 증착층을 더 증착하며,
상기 성형 페이즈는 상기 증착층을 제거하는, 피쳐 에칭 방법.
제 12 항에 있어서,
상기 증착 페이즈는 상기 PR 마스크에 대하여 상기 유전체층을 선택적으로 에칭하고,
상기 성형 페이즈는 상기 유전체층에 대하여 상기 측벽의 상기 증착층을 선택적으로 제거하는, 피쳐 에칭 방법.
제 13 항에 있어서,
상기 증착 페이즈의 에칭은 무한 선택도를 제공하여, 상기 포토레지스트 마스크를 제거하지 않는, 피쳐 에칭 방법.
제 1 항 또는 제 2 항에 있어서,
상기 증착 페이즈는 상기 마스크 피쳐의 저면 (bottom) 에 상기 증착층을 더 증착하고,
상기 성형 페이즈는 측벽을 남긴 채, 상기 마스크 피쳐의 저면 아래에 있는 상기 유전체층을 에칭하지 않고 상기 마스크 피쳐의 저면으로부터 상기 증착층을 더 제거하여 상기 PR 마스크의 임계 치수 (critical dimension; CD) 를 축소시키며,
상기 피쳐 에칭 방법은,
상기 복수의 사이클을 제공함으로써 축소된 상기 CD 를 갖는 상기 PR 마스크를 사용하여 상기 유전체층을 에칭하는 단계를 더 포함하는, 피쳐 에칭 방법.
제 1 항 또는 제 2 항에 있어서,
상기 증착 페이즈는 상기 마스크 피쳐의 저면에 상기 증착층을 더 증착하고,
상기 성형 페이즈는 상기 측벽을 트리밍하기 위해 상기 마스크 피쳐의 저면 아래에 있는 상기 유전체층을 에칭하지 않고 상기 마스크 피쳐의 저면 및 측벽으로부터 상기 증착층을 더 제거하며,
상기 피쳐 에칭 방법은,
상기 복수의 사이클을 제공함으로써 트리밍된 상기 PR 마스크를 사용하여 상기 유전체층을 에칭하는 단계를 더 포함하는, 피쳐 에칭 방법.
제 16 항에 있어서,
상기 성형 페이즈는 상기 마스크 피쳐의 밀집 영역에 대하여 고립 영역에서의 상기 마스크 피쳐의 측벽을 선택적으로 에칭 백 하는, 피쳐 에칭 방법.
삭제
193 ㎚ 이하의 파장을 가진 레이저 광을 사용하여 패터닝되는 포토레지스트 (photoresist; PR) 마스크를 통해 유전체층의 피쳐 (feature) 를 에칭하는 장치로서,
플라즈마 처리 챔버,
가스 유입구와 유체 연결된 가스 공급원, 및
상기 가스 공급원 및 적어도 하나의 전극에 제어가능하게 연결된 제어기를 포함하고,
상기 플라즈마 처리 챔버는,
플라즈마 처리 챔버 인클로저를 형성하는 챔버 벽;
상기 플라즈마 처리 챔버 인클로저 내에서 기판을 지지하는 기판 지지체;
상기 플라즈마 처리 챔버 인클로저 내의 압력을 조절하는 압력 조절기;
플라즈마를 유지하기 위해 상기 플라즈마 처리 챔버 인클로저에 전력을 제공하는 적어도 하나의 전극;
상기 플라즈마 처리 챔버 인클로저에 가스를 제공하는 상기 가스 유입구; 및
상기 플라즈마 처리 챔버 인클로저로부터 가스를 배기하는 가스 유출구를 포함하며,
상기 가스 공급원은,
에칭 가스 공급원;
증착 페이즈 가스 공급원;
성형 페이즈 가스 공급원; 및
전처리 가스 공급원을 포함하며,
상기 제어기는,
적어도 하나의 프로세서; 및
컴퓨터 판독가능한 매체를 포함하며,
상기 컴퓨터 판독가능한 매체는,
희가스 플라즈마로 상기 PR 마스크를 전처리하기 위한 컴퓨터 판독가능한 코드; 및
각 사이클이 증착 페이즈 및 성형 페이즈를 포함하는 복수의 사이클을 제공하기 위한 컴퓨터 판독가능한 코드를 포함하며,
상기 전처리하기 위한 컴퓨터 판독가능한 코드는,
상기 전처리 가스 공급원으로부터 희가스를 제공하기 위한 컴퓨터 판독가능한 코드;
상기 희가스로부터 플라즈마를 형성하기 위한 컴퓨터 판독가능한 코드; 및
상기 희가스의 유동을 중단시키기 위한 컴퓨터 판독가능한 코드를 포함하고,
상기 복수의 사이클을 제공하기 위한 컴퓨터 판독가능한 코드는,
상기 증착 페이즈 가스 공급원으로부터 증착 페이즈 가스를 제공하기 위한 컴퓨터 판독가능한 코드;
상기 PR 마스크 위에, 상기 PR 마스크의 상면 및 마스크 피쳐의 측벽을 덮는 증착층을 증착하기 위해 상기 증착 페이즈 가스로부터 플라즈마를 형성하기 위한 컴퓨터 판독가능한 코드;
상기 증착 페이즈 가스의 유동을 중단시키기 위한 컴퓨터 판독가능한 코드;
상기 성형 페이즈 가스 공급원으로부터 성형 페이즈 가스를 제공하기 위한 컴퓨터 판독가능한 코드;
상기 PR 마스크 위에 증착된 상기 증착층을 성형하기 위해 상기 성형 페이즈 가스로부터 플라즈마를 형성하기 위한 컴퓨터 판독가능한 코드; 및
상기 성형 페이즈 가스의 유동을 중단시키기 위한 컴퓨터 판독가능한 코드를 포함하고,
반사방지층 (antireflection layer; ARL) 은 패터닝된 PR 마스크 아래의 상기 유전체층 위에 형성되고,
각 사이클에서, 상기 성형 페이즈는 상기 마스크 피쳐의 저면의 ARL 을 먼저 에칭하고 상기 PR 마스크를 트리밍하고, 상기 증착 페이즈는 상기 PR 마스크에 상기 증착층을 증착하고 상기 마스크 피쳐의 저면의 상기 ARL 을 더 에칭하는, 피쳐 에칭 장치.
삭제
삭제
삭제
삭제
삭제
삭제
삭제
삭제
삭제
삭제
삭제
삭제
KR1020107025516A 2008-05-13 2009-05-07 포토레지스트 마스크 전처리를 갖는 플라즈마 프로세스 KR101555397B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/120,059 US8277670B2 (en) 2008-05-13 2008-05-13 Plasma process with photoresist mask pretreatment
US12/120,059 2008-05-13

Publications (2)

Publication Number Publication Date
KR20110014989A KR20110014989A (ko) 2011-02-14
KR101555397B1 true KR101555397B1 (ko) 2015-09-23

Family

ID=41316586

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020107025516A KR101555397B1 (ko) 2008-05-13 2009-05-07 포토레지스트 마스크 전처리를 갖는 플라즈마 프로세스

Country Status (5)

Country Link
US (1) US8277670B2 (ko)
KR (1) KR101555397B1 (ko)
CN (1) CN102027578B (ko)
TW (1) TWI496212B (ko)
WO (1) WO2009140139A2 (ko)

Families Citing this family (501)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8283255B2 (en) * 2007-05-24 2012-10-09 Lam Research Corporation In-situ photoresist strip during plasma etching of active hard mask
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP2010272758A (ja) * 2009-05-22 2010-12-02 Hitachi High-Technologies Corp 被エッチング材のプラズマエッチング方法
US8298959B2 (en) * 2009-06-03 2012-10-30 Applied Materials, Inc. Method and apparatus for etching
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN102117737B (zh) * 2009-12-30 2015-01-07 中国科学院微电子研究所 减小半导体器件中ler的方法及半导体器件
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
JP5589692B2 (ja) * 2010-09-08 2014-09-17 富士通セミコンダクター株式会社 半導体装置の製造方法
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US20130267097A1 (en) * 2012-04-05 2013-10-10 Lam Research Corporation Method and apparatus for forming features with plasma pre-etch treatment on photoresist
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9082719B2 (en) * 2012-10-19 2015-07-14 Infineon Technologies Ag Method for removing a dielectric layer from a bottom of a trench
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9142417B2 (en) 2012-12-14 2015-09-22 Lam Research Corporation Etch process with pre-etch transient conditioning
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
JP2015079793A (ja) * 2013-10-15 2015-04-23 東京エレクトロン株式会社 プラズマ処理方法
US9343308B2 (en) * 2013-10-28 2016-05-17 Asm Ip Holding B.V. Method for trimming carbon-containing film at reduced trimming rate
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
GB201322931D0 (en) 2013-12-23 2014-02-12 Spts Technologies Ltd Method of etching
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
JP6331452B2 (ja) 2014-02-19 2018-05-30 愛知製鋼株式会社 有機膜のエッチング方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
CN105355538A (zh) * 2014-08-21 2016-02-24 北京北方微电子基地设备工艺研究中心有限责任公司 一种刻蚀方法
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
JP6362488B2 (ja) * 2014-09-09 2018-07-25 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6600480B2 (ja) * 2015-04-20 2019-10-30 東京エレクトロン株式会社 被処理体を処理する方法
US9922839B2 (en) * 2015-06-23 2018-03-20 Lam Research Corporation Low roughness EUV lithography
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US20170178899A1 (en) 2015-12-18 2017-06-22 Lam Research Corporation Directional deposition on patterned structures
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
CN108885977B (zh) * 2016-03-04 2023-08-08 东京毅力科创株式会社 在集成方案的各个阶段期间进行图案化的修整方法
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
CN107611026B (zh) * 2016-07-11 2020-10-13 北京北方华创微电子装备有限公司 一种深硅刻蚀工艺
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
WO2018048925A1 (en) * 2016-09-06 2018-03-15 Tokyo Electron Limited Method of quasi atomic layer etching
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10727075B2 (en) * 2017-12-22 2020-07-28 Applied Materials, Inc. Uniform EUV photoresist patterning utilizing pulsed plasma process
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
CN108417583B (zh) 2018-03-09 2021-10-29 惠科股份有限公司 一种阵列基板的制造方法和阵列基板
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11028480B2 (en) 2018-03-19 2021-06-08 Applied Materials, Inc. Methods of protecting metallic components against corrosion using chromium-containing thin films
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20200130475A (ko) * 2018-04-03 2020-11-18 램 리써치 코포레이션 인 시츄 (in situ) 역 마스크 패터닝
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
WO2019209401A1 (en) 2018-04-27 2019-10-31 Applied Materials, Inc. Protection of components from corrosion
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11043381B2 (en) * 2019-01-27 2021-06-22 Taiwan Semiconductor Manufacturing Company, Ltd. Directional patterning method
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
CN113795908A (zh) * 2019-04-08 2021-12-14 应用材料公司 用于修改光刻胶轮廓和调整临界尺寸的方法
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
EP3959356A4 (en) 2019-04-26 2023-01-18 Applied Materials, Inc. METHODS FOR PROTECTING AEROSPACE ELEMENTS AGAINST CORROSION AND OXIDATION
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
JP7493400B2 (ja) 2019-09-13 2024-05-31 東京エレクトロン株式会社 エッチング方法、プラズマ処理装置、及び基板処理システム
US11476123B2 (en) * 2019-09-13 2022-10-18 Tokyo Electron Limited Etching method, plasma processing apparatus, and substrate processing system
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
WO2022005696A1 (en) 2020-07-03 2022-01-06 Applied Materials, Inc. Methods for refurbishing aerospace components
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2024024922A1 (ja) * 2022-07-29 2024-02-01 東京エレクトロン株式会社 基板処理方法及び基板処理装置

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060172540A1 (en) 2005-02-03 2006-08-03 Jeffrey Marks Reduction of feature critical dimensions using multiple masks
US20070181530A1 (en) * 2006-02-08 2007-08-09 Lam Research Corporation Reducing line edge roughness

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4253888A (en) * 1978-06-16 1981-03-03 Matsushita Electric Industrial Co., Ltd. Pretreatment of photoresist masking layers resulting in higher temperature device processing
US6326307B1 (en) * 1999-11-15 2001-12-04 Appllied Materials, Inc. Plasma pretreatment of photoresist in an oxide etch process
SG152920A1 (en) * 2002-10-11 2009-06-29 Lam Res Corp A method for plasma etching performance enhancement
US7022611B1 (en) * 2003-04-28 2006-04-04 Lam Research Corporation Plasma in-situ treatment of chemically amplified resist
US7241683B2 (en) * 2005-03-08 2007-07-10 Lam Research Corporation Stabilized photoresist structure for etching process
US7427458B2 (en) 2005-06-30 2008-09-23 Lam Research Corporation System and method for critical dimension reduction and pitch reduction
US7390753B2 (en) * 2005-11-14 2008-06-24 Taiwan Semiconductor Mfg. Co., Ltd. In-situ plasma treatment of advanced resists in fine pattern definition
US7309646B1 (en) * 2006-10-10 2007-12-18 Lam Research Corporation De-fluoridation process
US7838426B2 (en) * 2007-08-20 2010-11-23 Lam Research Corporation Mask trimming
US8753804B2 (en) * 2008-03-11 2014-06-17 Lam Research Corporation Line width roughness improvement with noble gas plasma

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060172540A1 (en) 2005-02-03 2006-08-03 Jeffrey Marks Reduction of feature critical dimensions using multiple masks
US20070181530A1 (en) * 2006-02-08 2007-08-09 Lam Research Corporation Reducing line edge roughness

Also Published As

Publication number Publication date
TWI496212B (zh) 2015-08-11
WO2009140139A2 (en) 2009-11-19
US8277670B2 (en) 2012-10-02
US20090286400A1 (en) 2009-11-19
CN102027578A (zh) 2011-04-20
WO2009140139A8 (en) 2010-12-09
KR20110014989A (ko) 2011-02-14
TW200952071A (en) 2009-12-16
WO2009140139A3 (en) 2010-02-25
CN102027578B (zh) 2013-03-20

Similar Documents

Publication Publication Date Title
KR101555397B1 (ko) 포토레지스트 마스크 전처리를 갖는 플라즈마 프로세스
KR101534883B1 (ko) 마스크 트리밍
US7785484B2 (en) Mask trimming with ARL etch
KR101353239B1 (ko) 피치 감소
US7081407B2 (en) Method of preventing damage to porous low-k materials during resist stripping
KR101160102B1 (ko) 가스 화학물 및 탄화 수소 첨가의 주기적 조절을 이용하는 플라즈마 스트리핑 방법
KR101711669B1 (ko) 측벽 형성 공정
US7910489B2 (en) Infinitely selective photoresist mask etch
US20060134917A1 (en) Reduction of etch mask feature critical dimensions
KR20070116076A (ko) 에칭 프로세스를 위한 안정화된 포토레지스트 구조
TWI405265B (zh) 均勻控制的蝕刻
WO2009085597A2 (en) Cd bias loading control with arc layer open
US7049052B2 (en) Method providing an improved bi-layer photoresist pattern
KR20070046095A (ko) 유전층 에칭 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20180904

Year of fee payment: 4