JPH10241898A - Hdp−cvdチャンバ用のプラズマソース - Google Patents

Hdp−cvdチャンバ用のプラズマソース

Info

Publication number
JPH10241898A
JPH10241898A JP10028509A JP2850998A JPH10241898A JP H10241898 A JPH10241898 A JP H10241898A JP 10028509 A JP10028509 A JP 10028509A JP 2850998 A JP2850998 A JP 2850998A JP H10241898 A JPH10241898 A JP H10241898A
Authority
JP
Japan
Prior art keywords
chamber
coil turn
plasma
central
antenna coil
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP10028509A
Other languages
English (en)
Inventor
Fred C Redeker
シー. レデカー フレッド
Tetsuya Ishikawa
哲也 石川
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH10241898A publication Critical patent/JPH10241898A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • H05H1/4645Radiofrequency discharges
    • H05H1/4652Radiofrequency discharges using inductive coupling means, e.g. coils

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

(57)【要約】 【課題】 基板を処理するためのプラズマシステムにお
ける新規なアンテナコイルを提供すること。 【解決手段】 プラズマシステムは、内部にプラズマ処
理キャビティ16を画成し且つガス導入口300が中央
に配置されたチャンバ本体12と、稼働中に基板の上方
に中央部が密なプラズマ密度プロファイルを形成するよ
うプラズマ処理キャビティに対して適宜に構成されたト
ップアンテナコイル40とを備える。トップアンテナコ
イル40は、中央ガス導入口を囲む中央通路308を有
する。また、稼働中、基板上方に中央部が疎のプラズマ
密度プロファイルを生成するよう、サイドアンテナコイ
ル42がプラズマチャンバに対して構成され配置される
ことが好ましい。トップアンテナコイル40とサイドア
ンテナコイル42とは、互いに共働して、処理される基
板の表面全域にわたり均一なプラズマを形成する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、プラズマ式化学的
気相堆積(PECVD)チャンバに用いられるプラズマ
ソースに関し、特に、略円筒形のプラズマ形態における
径方向のプラズマ密度プロファイルを制御することがで
きるプラズマソースに関するものである。
【0002】
【従来の技術】集積回路を製造する場合に用いられる化
学的気相堆積(CVD)技術において、基板又は基板処
理チャンバにおける化学的堆積反応やエッチング反応、
クリーニング反応を促進するためには、高密度プラズマ
を利用することが有効であることは既に分かっている。
処理面が低エネルギ衝撃(すなわち、電子温度Te〜数
エレクトロンボルト(eV))である場合において、高
密度プラズマ(すなわち、1×1011〜2×1012ions
/cm3)の状態が誘導結合式のプラズマソースを用いるこ
とにより得られることは周知である。
【0003】従来の誘導結合式プラズマソースにおい
て、真空処理チャンバの一部を構成する誘電体(石英)
のドーム又は筒体の外表面の周りに螺旋状のアンテナコ
イル(単に「アンテナ」又は「コイル」ともいう)が巻
かれているのが一般的である。このアンテナコイルには
高周波(以下「RF」という)電流(すなわち、約10
0KHz〜約100MHzの電流)が流される。印加RF電力
により共振モードで動作される場合、アンテナコイル内
を流れるRF電流IRFは、処理チャンバの内部空間内で
軸方向のRF磁界BRFを発生する。プラズマが点火され
たならば(すなわち、ガスが電子の衝突により部分的に
イオン化されたならば)、この磁界BRFは密閉チャンバ
内のガスにRF電子電流を流通させ、ガス内のプラズマ
を高エネルギに維持する。こうして形成された状態は、
一次巻線として機能するアンテナコイルと、それ自体二
次巻線として機能するプラズマとを有するRFトランス
(RFtransformer)として考えることができる。
【0004】基板よりも上方の処理チャンバ内部空間に
おけるこのような誘導結合形プラズマは、不均一な環状
となる傾向があり、処理面の中央部分では低プラズマ密
度となる傾向がある。この「中央部が疎(hollow cente
r)」効果は、処理チャンバにおける誘導体カバーの上
部部分上にトップアンテナコイルないしはカバーアンテ
ナコイルを設けることにより低減され得る。従来、この
ようなトップアンテナコイルは、略螺旋状に巻かれてお
り、チャンバの上部を貫通して配置されたガス導入口と
関連しては用いられていなかった。その一例が、米国特
許第5,401,350号明細書(発明の名称「誘導結合式プラ
ズマシステムにおける均一性の改良のためのコイル構
成」)に開示されている。チャンバ上部を貫通して中央
に配置されたガス導入口は、堆積の均一化を促進するこ
とが分っている。中央ガス供給方式を用いたチャンバの
一つとしては、アプライド・マテリアルズ・インコーポ
レイテッドにより入手可能なUltima(登録商標)
HDP−CVDチャンバがある。このチャンバは、チャ
ンバに供給される電力のチューニングを可能とし且つプ
ラズマの均一化を促進するために、トップコイルとサイ
ドコイルとを用いている。
【0005】
【発明が解決しようとする課題】本発明の目的は、チャ
ンバ内に配置された基板の上方で生成される磁界の均一
性を改善するPECVDチャンバ用の改良型トップアン
テナコイルを提供することにある。また、本発明の別の
目的は、チャンバ内に配置された基板の上方で維持され
るプラズマの全域において均一性が改善されるHDP−
CVDチャンバを提供することにある。
【0006】
【課題を解決するための手段】本発明は、チャンバで処
理される基板の上方において「中央部が密(center-pea
ked)」のプラズマ密度プロファイルを形成すべく、プ
ラズマ処理チャンバに隣接して配置され且つ構成された
プラズマ処理チャンバ用のトップアンテナコイルを提供
するものである。このアンテナは、更に、基板の上方の
チャンバ内に一以上の処理ガスを導入するガス導入口が
通る中央通路を有するよう構成されている。アンテナの
中央通路は、環状のコイルターン(coil turn:コイル
の一巻き分の部分)又は環状のループによって形成され
る。
【0007】また、本発明は、チャンバ内で処理される
基板の上方において「中央部が疎」のプラズマ密度プロ
ファイルを生成するよう構成されたサイドアンテナコイ
ルと、本発明のトップアンテナコイルとを組み合わせた
HPD−CVDチャンバを提供している。RF電力は、
個々独立のRF電源のそれぞれから、或は、単一のRF
電源における電力スプリッタ回路網を通して、トップア
ンテナコイルとサイドアンテナコイルとに供給され、ト
ップアンテナコイル及びサイドアンテナコイルによるR
F磁界の重合せが特定の用途に対して調整されて、処理
されるべき基板の表面全域にわたる均一なプラズマ密度
(従って、均一な堆積やエッチング)が与えられ得るよ
うになっている。
【0008】本発明は、また、プラズマ密度プロファイ
ルが過度に不均一となることなく、陽性(electroposit
ive)又は陰性(electronegative)のプラズマを用いて
操作することを可能とする。加えて、本発明は、広範囲
な均一密度操作性を有し且つ使用者が広範囲にわたるプ
ロセス状態で密度プロファイルを変えることができるプ
ラズマソースを提供する。
【0009】本発明の他の利点や特徴は、以下の好適な
実施形態の説明や特許請求の範囲から明かとなろう。
【0010】本発明の上述した特徴、利点及び目的が得
られる態様を更に詳細に理解できるようにするために、
上で概説した本発明のより詳細な説明を添付図面に記載
された実施形態に沿って以下で行う。
【0011】なお、添付図面は本発明の典型的な実施形
態を示したに過ぎず、従って本発明の範囲を制限するも
のと解してはならず、他の等価的な有効な実施形態も許
容するものである。
【0012】
【発明の実施の形態】本発明は、上部及び側壁部を有す
る処理チャンバ(好ましくはチャンバ側壁部及び上部に
それぞれ周方向及び中央に配置された1つ以上のガス導
入口を有する処理チャンバ)内でプラズマを生成するた
めのアンテナを提供するものである。アンテナの好適な
実施形態は、中央通路を画成する環状の中央コイルター
ンと、この中央コイルターンと同心に整列された環状の
外側コイルターンと、中央コイルターン及び外側コイル
ターンの間で延びる導体とを備えている。導体はコイル
ターン間で径方向に又は円弧状に延設されるのが好まし
い。別の実施形態によるアンテナは、第1及び第2のリ
ード線が延びる単一のループ状ターンを提供している。
以下、これらのアンテナについて、基板の処理を均一に
行うためのプラズマを発生すべく本発明によるアンテナ
を用いたCVDチャンバに関連して詳細に述べる。
【0013】図1は、CVD基板処理システムの概略断
面図である。石英のような誘電体材料やAl2O3のよう
なセラミック等から作られた略円筒形のドーム10が、
真空チャンバ本体12に取り付けられている。円筒形ド
ーム10の一端にはフランジがあり、このフランジはO
リング49Aと接し、このOリング49Aによってチャ
ンバ本体12と真空シールを形成する。円形の誘電体プ
レート14が、ドーム10の他端をシールし、それによ
って、プラズマ処理が行われる密閉処理空間(プラズマ
処理キャビティ)16を形成している。円筒形ドーム1
0と誘電体プレート14との組合せもドームと一般に呼
ばれている。両者は、適当に配置されたアンテナにより
チャンバ内に結合されるRF電力に対して透過性を有し
ている。
【0014】プラズマ処理キャビティ16内には、処理
中に基板を保持する静電チャック18がある。静電チャ
ック18の外縁部は、静電チャック18を囲む誘電体製
のカラー又はリング22によって、プラズマと静電チャ
ック18との間の電流の漏洩、及び、プラズマの腐食効
果から保護されている。誘電体カラー又はリング22を
越えた位置に環状プレート24と円筒形のライナ26と
があり、これらは、チャンバ本体12の内面の残りの部
分を処理中にプラズマから保護している。環状プレート
24とライナ26とは、特定の処理用途や他の設計上の
事由に応じて、誘電体材料(例えば、石英、Al2O3、
その他のセラミック)又は導電体材料(例えば、炭化珪
素、グラファイト、炭素繊維材料又はアルミニウム等)
のいずれかから形成される。この実施形態において、誘
電体プレート14がAl2O3又はAlNから作られた場
合、プレート24及びライナ26は、静電チャック18
に供給されるRFバイアス電流のためのリターンパスの
ための十分な導電性エリアを提供するために、導電体材
料から作られる。
【0015】静電チャック18は、金属製のペディスタ
ル28を備え、その上面には誘電体層ないしは絶縁体層
20が形成されている。静電チャック18の上方で生ず
るプラズマに対して静電チャック18にRFバイアス電
圧を印加することにより、誘電体層20を横切って結果
的に形成される静電界が静電チャック18の上面に基板
をしっかりと保持する。この実施形態において、冷却ガ
ス(例えば、ヘリウム)が、基板とペディスタル28と
の間の伝熱を助けるために、基板の裏面に対して、静電
チャック本体内の導管(図示せず)を通して供給され
る。
【0016】チャンバの底部における可動プラットホー
ム32に連結されたピン30が、静電チャック18を貫
通する穴34を通って上方に延びており、これらのピン
30は、プラズマ処理を行う前に静電チャック18上に
基板を下ろし、また、その後に静電チャック18から基
板を持ち上げるために用いられる。チャンバの下方に配
置された空圧式装置又はモータ装置(図示せず)が可動
プラットホーム32を上下動させる。
【0017】RF電力は、1つ以上のアンテナ、すなわ
ち、トップアンテナコイル40と、付加的なサイドアン
テナコイル42とにより、プラズマ処理キャビティ16
に供給される。両アンテナコイル40,42は、銅線か
ら作られるのが好適であるが、他の良導性の材料から作
られてもよい。トップアンテナコイル40は、誘電体プ
レート14の上面に隣接して配置された平坦な形状のコ
イルであり、誘電体プレート14を貫通してガス導入口
300を配置することができるよう構成されている。サ
イドアンテナコイル42は、円筒形ドーム10の外壁の
周りに巻かれた円筒形状のコイルである。RF電力は、
アンテナ接続子44aを通してトップアンテナコイル4
0に供給されると共に、アンテナ接続子46aを通して
サイドアンテナコイル42に供給される。RF電力は、
図示するように、スプリッタ71及び2つの整合回路網
72,73を介して単一のRF電源70から供給されて
もよいし、或はまた2つの別個独立のRF電源から供給
されてもよい。アンテナコイル40,42は、それぞ
れ、アンテナ接続子44b,46bを介して接地されて
いる。
【0018】絶縁性のカバープレート48が、その底部
に形成された溝50内に配置されたトップアンテナコイ
ル40を覆っている。溝50は、トップアンテナコイル
40と同じ形状を有すると共に、カバープレート48が
誘電体プレート14上に配置された場合にトップアンテ
ナコイル40を完全に収容する。トップアンテナコイル
40と誘電体プレート14との間にはファラデーシール
ド75(導電性の非磁性金属から成る)が配置されてい
る。カバープレート48は、チャンバに対し、所定の固
定位置でトップアンテナコイル40を機械的に保持し、
該コイル40のターンを電気的に絶縁してターン同士間
のアーキングが生じないようにしている。カバープレー
ト48は、蓋体を加熱するために加熱要素52を含むこ
とができる。カバープレート48の真上の隣接位置には
空隙54及び冷却用のアセンブリ56があり、このアセ
ンブリ56は、加熱されたカバープレート48により放
散された熱のヒートシンクとして機能する。加熱要素5
2及び伝熱用ないしは冷却用のアセンブリ56は、稼働
中において、カバープレート48と誘電体プレート14
の温度を上下し所定のレベルに維持するために用いられ
る。
【0019】円筒形のスリーブ45が円筒形ドーム10
を囲んでおり、このスリーブ45の内面には溝43が形
成されており、サイドアンテナコイル42のターンを保
持するようになっている。スリーブ45は、チャンバに
対するターンの機械的安定性及び位置決めを与えるもの
であり、コイルターン間を絶縁してアーキングを防止し
ている。サイドアンテナコイル42と円筒形ドーム10
との間には、導電性の非磁性金属から成るファラデーシ
ールド74が配置されており、これはトップコイルのフ
ァラデーシールド75と同様に、電流の流通を防止する
ようスロットが形成されている。
【0020】スリーブ45の底部の近傍にはヒータ要素
49があり、このヒータ要素49は、プラズマプロセス
を安定化させるために円筒形ドーム10の壁体を直接加
熱することができるようになっている。スリーブ45の
上側に配置されスリーブ45の上面に接している伝熱用
カラー51が、チャンバの上部における冷却用アセンブ
リ56までの熱流の経路を形成することにより、加熱さ
れたスリーブのためのヒートシンクを提供している。例
えば、プロセスによっては、チャンバ内に導入された前
駆ガスがドーム10の壁面ではなく基板に付くよう、チ
ャンバ壁を加熱(例えば200℃に加熱)することが望
ましい場合がある。この実施形態において、誘電体スリ
ーブ45とカバープレート48とはアルミナ(Al2O
3)のようなセラミックから作られているが、この技術
分野において知られている他の材料を用いてもよい。
【0021】以下で更に詳細に述べるが、トップアンテ
ナコイル40は、キャビティ16の中央領域17aを囲
む外周領域17bと比較した場合、中央領域17aに比
較的多量のRF電力を供給する。トップアンテナコイル
40は、それのみが用いられ場合に、基板20の表面の
上方に中央部が密のプラズマ密度プロファイルが形成さ
れるよう、構成され且つ位置決めされている。これに対
して、サイドアンテナコイル42は、キャビティ16の
外周領域17bに比較的により多量のRF電力を供給す
る。サイドアンテナコイル42は、それのみが使用され
た場合に、基板20の表面の上方に中央部が疎のプラズ
マ密度プロファイルが形成されよう構成され且つ位置決
めされている。2つのアンテナコイル40,42が両者
とも使用され、RF電力がそれらに適正に分配されたな
らば、それらの重合せないしはベクトル和は、基板20
の上面全域にわたり均一なプラズマ密度プロファイルを
形成する。このような構成が好ましいが、トップアンテ
ナコイル40が、基板20の表面全域にわたり均一なプ
ラズマ密度となるよう寸法決めされてもよい。
【0022】アンテナコイル40,42はRF電源(こ
の実施形態では単一のRF電源70)により駆動され
る。好ましくは50オームの出力インピーダンスを有す
るRF電源70は、50オームの同軸ケーブル62を介
して電力スプリッタ71、そして2本の出力ライン68
a,68bを介して2つのRF整合回路網72,73に
接続されている。なお、ライン68aがRF整合回路網
72に接続され、ライン68bがRF整合回路網73に
接続されている。
【0023】一般的に、RF整合回路網72,73は、
1つ以上の可変のリアクタンス素子(例えば、インダク
タ又はキャパシタ)を含んでおり、これにより各RF整
合回路網のインピーダンスが調節されて電力スプリッタ
71とアンテナコイル40,42の間で整合状態が確立
され、もってチャンバ内のプラズマに供給されるRF電
力が最大化される。RF整合回路網72,73内のRF
検出回路は、チャンバ内に伝えられる電力をモニタし、
整合状態を確立し維持する制御信号をそこから発する。
【0024】プラズマ処理システムにおいて用いられる
RF整合回路網72,73のデザイン及び構成は、当業
者にとり周知である。適当なRF整合回路網としては、
コリンズ等による米国特許第5,392,018号明細書に開示
されたものがある。なお、この米国特許明細書の内容は
参照することで本願明細書に組み込まれたものとする。
【0025】電力スプリッタ71は、単一のRF電源7
0からのRF電力を2つの負荷に分割すると共に、負荷
における電流間又は電圧間の所望の位相関係を維持す
る。適当なRF電力スプリッタ71は、コリンズ等によ
る米国特許第5,349,313号明細書に開示されたものがあ
る。この米国特許明細書の内容も参照することで本願明
細書に組み込まれたものとする。
【0026】再度、図1に沿って説明すると、ガスノズ
ルないしはガス導入口300は、静電チャック18の上
方の中心に配置されており、誘電体プレート14を貫通
して延びている。トップアンテナコイル40には、ガス
導入口300が通る中央通路が設けられている。ここで
述べているトップアンテナコイル40の形態は、上述し
たように、サイドアンテナコイル42と共働して役立つ
よう用いられるのが好適である。しかしながら、トップ
アンテナコイル40はサイドアンテナコイル42から独
立して用いられてもよい。
【0027】基板の寸法が大きくなるにつれて、ガスの
分配や均一なプラズマ密度を得ることは更に困難とな
る。蓋体を貫通して配置されたガス導入口300は、図
2〜図4に示す形態のトップアンテナコイルと共働し
て、大きな基板、例えば300mmの基板上に均一なガス
分配及びプラズマ密度を提供する。また、トップアンテ
ナコイル40は、上述し図示したように円筒形ドーム1
0の周りに配置されたサイドアンテナコイル42と共働
して、大きな基板上のプラズマ密度の均一化を促進す
る。しかし、大きな基板も小さな基板も本発明によるト
ップアンテナコイル40で処理できるものである。
【0028】図2は、トップアンテナコイル40の一実
施形態の平面図を概略的に示しており、このコイル40
は2本の環状コイルターン、すなわち中央コイルターン
302と同心の外側コイルターン304とを有してい
る。環状のコイルターン302,304は、処理領域
(プラズマ処理キャビティ)16内に電力を誘導的に結
合して基板の上方にプラズマを生成する複数の径方向に
延びる導体306によって接続されている。中央コイル
ターン302は、トップアンテナコイル40内に導入口
用の中央通路308を画成しており、この中央通路30
8を通してガス導入口300がチャンバ内に延びてい
る。RF電源316及びRF整合回路網318を含むR
F発生システムは、アンテナ接続部310によりRF電
力を中央コイルターン302に供給するようになってお
り、外側コイルターン304はアース接続部312によ
り接地されている。トップアンテナコイル40は、銅線
やその他の容易に成形できる高電導性の材料から作られ
ることが好ましい。
【0029】図3は、トップアンテナコイル40の別の
実施形態を概略的に示す平面図である。このトップアン
テナコイル40は、図2の実施形態と同様に、2本の同
心の環状コイルターン302,304を有しているが、
中央コイルターン302と外側コイルターン304の間
を連結する導体306は、径方向ではなく、円弧状に延
びている。
【0030】図4は、ガス導入口300が通る中央通路
308を画成するトップアンテナコイル40の他の実施
形態を示す平面図である。この実施形態において、部分
的なリング又はループ状のコイル309が中央通路30
8の周りに、好ましくは静電チャック18と同心に配置
されている。ループ状コイル309の直径は、特定の基
板寸法について所望のプラズマプロファイルを最大化す
るよう選定され得るものである。例えば、ループ直径が
小さい場合は、中央部が密のプラズマが生成され、その
ようなコイル308は、チャンバの円筒形ドーム10の
周りに配置された別個に制御されるサイドアンテナコイ
ル42と共働して有効に用いられる。基板のエッジに近
い直径を有するループ型コイル309、例えば、200
mmの基板に関連して用いられる100mmループにより、
カスプ状のプラズマ密度プロファイルが確立される。
【0031】図2〜図4に示すトップアンテナコイル4
0の各々は上述したように独立にバイアスが加えら
れ、、サイドアンテナコイル42及びトップアンテナコ
イル40の両方に供給される電力が、基板の全面の上方
で均一なプラズマ密度プロファイルを生成するよう調整
されるようにすることができる。また、各アンテナコイ
ル40,42に供給される電力は、処理領域(プラズマ
処理キャビティ)16内により多くのエネルギ或はより
少ないエネルギを結合させてプラズマ密度プロファイル
を変更するよう、増減されてもよい。更に、上記のトッ
プアンテナコイル40は、サイドアンテナコイル42な
しで用いられてもよい。
【0032】以上、本発明の好適な実施形態について説
明したが、本発明の基本的な概念、或は特許請求の範囲
により特定される概念から逸脱することなく、本発明の
他の実施形態を創案することができる。
【図面の簡単な説明】
【図1】本発明のトップアンテナコイル40を用いたプ
ラズマ式CVD処理システムを示す概略断面図である。
【図2】環状の中央コイルターンと、同心の外側コイル
ターンとを有する本発明によるトップアンテナコイルの
一実施形態を示す概略図である。
【図3】互いに同心の環状コイルターンを有するトップ
アンテナコイルの他の実施形態を示す概略図である。
【図4】単一のループ状のターンを有するトップアンテ
ナコイルの別の実施形態を示す概略図である。
【符号の説明】
10…円筒形ドーム、12…チャンバ本体、14…誘電
体プレート、16…プラズマ処理キャビティ、18…静
電チャック、28…ペディスタル、40…トップアンテ
ナコイル、42…サイドアンテナコイル、48…カバー
プレート、70…RF(高周波)電源、72,73…整
合回路網、300…ガス導入口、302…中央コイルタ
ーン、304…外側コイルターン、306…導体、30
8…中央通路、310,312…アンテナ接続部、31
4…RF電源、318…RF整合回路網。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 石川 哲也 アメリカ合衆国, カリフォルニア州, サンタ クララ, ブラッサム ドライヴ 873

Claims (11)

    【特許請求の範囲】
  1. 【請求項1】 処理チャンバ内でプラズマを生成するた
    めのアンテナであって、 当該アンテナを貫通する中央通路を画成する中央コイル
    ターンと、 前記中央コイルターンの周りに配置された外側コイルタ
    ーンと、 前記中央コイルターン及び前記外側コイルターンの間に
    延設された複数の導体と、を具備するアンテナ。
  2. 【請求項2】 前記導体は、前記中央コイルターンと前
    記外側コイルターンとの間を直線状に延びている請求項
    1に記載のアンテナ。
  3. 【請求項3】 前記導体は、前記中央コイルターンと前
    記外側コイルターンとの間を円弧状に延びている請求項
    1に記載のアンテナ。
  4. 【請求項4】 (a)プラズマ処理キャビティを画成す
    る上部及び側壁部と、前記上部の中央に配置されたガス
    導入口とを備えるチャンバ本体、及び、(b)前記チャ
    ンバ本体の前記上部に取り付けられたトップアンテナで
    あって、当該トップアンテナに中央通路を画成する中央
    コイルターンと、前記中央コイルターンと同心に整列さ
    れた外側コイルターンと、前記中央コイルターン及び前
    記外側コイルターンの間に延設された複数の導体とを備
    える前記トップアンテナ、を具備する、基板を処理する
    ためのチャンバ。
  5. 【請求項5】 前記ガス導入口が前記トップアンテナの
    前記中央通路を通っている請求項4に記載のチャンバ。
  6. 【請求項6】 前記導体は、前記中央コイルターンと前
    記外側コイルターンとの間を直線状に延びている請求項
    4に記載のチャンバ。
  7. 【請求項7】 前記導体は、前記中央コイルターンと前
    記外側コイルターンとの間を円弧状に延びている請求項
    4に記載のチャンバ。
  8. 【請求項8】 (c)稼働中に基板の上方で中央部が疎
    のプラズマ密度プロファイルを生成するよう前記プラズ
    マ処理キャビティに対して配置され構成されているサイ
    ドアンテナを更に具備する請求項4に記載のチャンバ。
  9. 【請求項9】 (d)高周波電源と、(e)前記高周波
    電源に接続された高周波電力スプリッタと、を更に具備
    する請求項8に記載のチャンバ。
  10. 【請求項10】 (a)プラズマ処理キャビティを画成
    する上部及び側壁部と、前記上部の中央に配置されたガ
    ス導入口とを備えるチャンバ本体、及び、(b)前記チ
    ャンバ本体の前記上部に取り付けられたトップアンテナ
    であって、当該トップアンテナを通る中央通路を画成す
    るループコイルと、前記ループコイルから延びる第1の
    リード線と、前記ループコイルから延びる第2のリード
    線とを備える前記トップアンテナ、を具備する、基板を
    処理するためのチャンバ。
  11. 【請求項11】 前記ガス導入口は前記トップアンテナ
    の前記中央通路を通っている請求項10に記載のチャン
    バ。
JP10028509A 1997-02-10 1998-02-10 Hdp−cvdチャンバ用のプラズマソース Pending JPH10241898A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/795169 1997-02-10
US08/795,169 US5800621A (en) 1997-02-10 1997-02-10 Plasma source for HDP-CVD chamber

Publications (1)

Publication Number Publication Date
JPH10241898A true JPH10241898A (ja) 1998-09-11

Family

ID=25164884

Family Applications (1)

Application Number Title Priority Date Filing Date
JP10028509A Pending JPH10241898A (ja) 1997-02-10 1998-02-10 Hdp−cvdチャンバ用のプラズマソース

Country Status (6)

Country Link
US (2) US5800621A (ja)
EP (1) EP0860855A1 (ja)
JP (1) JPH10241898A (ja)
KR (1) KR100639843B1 (ja)
SG (1) SG71083A1 (ja)
TW (1) TW360913B (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000340513A (ja) * 1999-05-05 2000-12-08 Applied Materials Inc 基板処理チャンバ用アンテナコイルアセンブリ
JP2002100615A (ja) * 2000-09-26 2002-04-05 Tokyo Electron Ltd プラズマ装置
JP2004266268A (ja) * 2003-02-14 2004-09-24 Tokyo Electron Ltd プラズマ発生装置およびプラズマ発生方法ならびにリモートプラズマ処理装置
JP2008517430A (ja) * 2004-10-15 2008-05-22 アドバンスト・エナジー・インダストリーズ・インコーポレイテッド プラズマ放電デバイス中の誘電性構成要素の熱管理
JP2010520955A (ja) * 2007-02-28 2010-06-17 アプライド マテリアルズ インコーポレイテッド 大面積基板に堆積するための装置及び方法
JP2011014542A (ja) * 2003-02-14 2011-01-20 Tokyo Electron Ltd プラズマ発生装置およびリモートプラズマ処理装置

Families Citing this family (228)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3257328B2 (ja) * 1995-03-16 2002-02-18 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US6902683B1 (en) * 1996-03-01 2005-06-07 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
JP3640478B2 (ja) * 1996-09-20 2005-04-20 アネルバ株式会社 プラズマ処理装置
JPH10134996A (ja) * 1996-10-31 1998-05-22 Nec Corp プラズマ処理装置
US6063679A (en) * 1997-12-09 2000-05-16 Advanced Micro Devices, Inc. Spacer formation for graded dopant profile having a triangular geometry
US6194038B1 (en) * 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
US6207586B1 (en) * 1998-10-28 2001-03-27 Lucent Technologies Inc. Oxide/nitride stacked gate dielectric and associated methods
JP2000331993A (ja) * 1999-05-19 2000-11-30 Mitsubishi Electric Corp プラズマ処理装置
US6262538B1 (en) * 1999-08-26 2001-07-17 International Business Machines Corporation High density plasma tool with adjustable uniformity and stochastic electron heating for reduced gas cracking
US6227140B1 (en) * 1999-09-23 2001-05-08 Lam Research Corporation Semiconductor processing equipment having radiant heated ceramic liner
US6346476B1 (en) 1999-09-27 2002-02-12 Taiwan Semiconductor Manufacturing Company Method for enhancing line-to-line capacitance uniformity of plasma enhanced chemical vapor deposited (PECVD) inter-metal dielectric (IMD) layers
DE19955671B4 (de) * 1999-11-19 2004-07-22 Muegge Electronic Gmbh Vorrichtung zur Erzeugung von Plasma
KR20010062209A (ko) 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
US6423653B1 (en) 2000-01-11 2002-07-23 Taiwan Semiconductor Manufacturing Company Reduction of plasma damage for HDP-CVD PSG process
US6413359B1 (en) * 2000-04-04 2002-07-02 K2 Keller Consulting Plasma reactor with high selectivity and reduced damage
US6364958B1 (en) * 2000-05-24 2002-04-02 Applied Materials, Inc. Plasma assisted semiconductor substrate processing chamber having a plurality of ground path bridges
US6335288B1 (en) 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
US6803222B2 (en) * 2000-11-22 2004-10-12 Kao Corporation Alkaline proteases
US7285758B2 (en) * 2000-12-12 2007-10-23 Tokyo Electron Limited Rapid thermal processing lamp and method for manufacturing the same
US7510664B2 (en) 2001-01-30 2009-03-31 Rapt Industries, Inc. Apparatus and method for atmospheric pressure reactive atom plasma processing for shaping of damage free surfaces
US7591957B2 (en) * 2001-01-30 2009-09-22 Rapt Industries, Inc. Method for atmospheric pressure reactive atom plasma processing for surface modification
US20020160620A1 (en) * 2001-02-26 2002-10-31 Rudolf Wagner Method for producing coated workpieces, uses and installation for the method
US6447651B1 (en) 2001-03-07 2002-09-10 Applied Materials, Inc. High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers
US6660177B2 (en) 2001-11-07 2003-12-09 Rapt Industries Inc. Apparatus and method for reactive atom plasma processing for material deposition
US7074298B2 (en) * 2002-05-17 2006-07-11 Applied Materials High density plasma CVD chamber
US7354501B2 (en) * 2002-05-17 2008-04-08 Applied Materials, Inc. Upper chamber for high density plasma CVD
US7147749B2 (en) 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US7137353B2 (en) * 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7166166B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7166200B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US6837966B2 (en) * 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US7204912B2 (en) 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US6768828B2 (en) * 2002-11-04 2004-07-27 Little Optics Inc. Integrated optical circuit with dense planarized cladding layer
KR100488348B1 (ko) * 2002-11-14 2005-05-10 최대규 플라즈마 프로세스 챔버 및 시스템
KR100772740B1 (ko) 2002-11-28 2007-11-01 동경 엘렉트론 주식회사 플라즈마 처리 용기 내부재
US20060137613A1 (en) * 2004-01-27 2006-06-29 Shigeru Kasai Plasma generating apparatus, plasma generating method and remote plasma processing apparatus
US7371992B2 (en) 2003-03-07 2008-05-13 Rapt Industries, Inc. Method for non-contact cleaning of a surface
JP4369264B2 (ja) * 2003-03-25 2009-11-18 東京エレクトロン株式会社 プラズマ成膜方法
WO2004095532A2 (en) 2003-03-31 2004-11-04 Tokyo Electron Limited A barrier layer for a processing element and a method of forming the same
WO2004095530A2 (en) 2003-03-31 2004-11-04 Tokyo Electron Limited Adjoining adjacent coatings on an element
US7081414B2 (en) * 2003-05-23 2006-07-25 Applied Materials, Inc. Deposition-selective etch-deposition process for dielectric film gapfill
US7205240B2 (en) 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
US20040261718A1 (en) * 2003-06-26 2004-12-30 Kim Nam Hun Plasma source coil for generating plasma and plasma chamber using the same
US7304263B2 (en) * 2003-08-14 2007-12-04 Rapt Industries, Inc. Systems and methods utilizing an aperture with a reactive atom plasma torch
KR100584122B1 (ko) * 2004-03-25 2006-05-29 에이피티씨 주식회사 플라즈마 소스코일을 갖는 플라즈마 챔버 및 이를 이용한웨이퍼 식각방법
US7229931B2 (en) 2004-06-16 2007-06-12 Applied Materials, Inc. Oxygen plasma treatment for enhanced HDP-CVD gapfill
US7087536B2 (en) 2004-09-01 2006-08-08 Applied Materials Silicon oxide gapfill deposition using liquid precursors
US7552521B2 (en) 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
US20060154494A1 (en) 2005-01-08 2006-07-13 Applied Materials, Inc., A Delaware Corporation High-throughput HDP-CVD processes for advanced gapfill applications
US7601242B2 (en) 2005-01-11 2009-10-13 Tokyo Electron Limited Plasma processing system and baffle assembly for use in plasma processing system
US7329586B2 (en) * 2005-06-24 2008-02-12 Applied Materials, Inc. Gapfill using deposition-etch sequence
US7525787B2 (en) 2005-09-30 2009-04-28 Lam Research Corporation Electrostatic chuck assembly with dielectric material and/or cavity having varying thickness, profile and/or shape, method of use and apparatus incorporating same
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US8226769B2 (en) * 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
US9275887B2 (en) 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
GB0616131D0 (en) * 2006-08-14 2006-09-20 Oxford Instr Plasma Technology Surface processing apparatus
US20080142483A1 (en) * 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
US7939422B2 (en) * 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
TW200830941A (en) * 2007-01-15 2008-07-16 Jehara Corp Plasma generating apparatus
US20080236490A1 (en) * 2007-03-29 2008-10-02 Alexander Paterson Plasma reactor with an overhead inductive antenna and an overhead gas distribution showerhead
TWI404165B (zh) * 2007-04-02 2013-08-01 Sosul Co Ltd 基材支撐裝置及包含該裝置之電漿蝕刻裝置
US8435379B2 (en) * 2007-05-08 2013-05-07 Applied Materials, Inc. Substrate cleaning chamber and cleaning and conditioning methods
US8512509B2 (en) * 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
CN102197714A (zh) * 2008-10-21 2011-09-21 应用材料股份有限公司 清洁腔室及工艺所用的等离子体源
US8729440B2 (en) * 2009-03-02 2014-05-20 Harris Corporation Applicator and method for RF heating of material
JP4621287B2 (ja) * 2009-03-11 2011-01-26 株式会社イー・エム・ディー プラズマ処理装置
US20100297854A1 (en) * 2009-04-22 2010-11-25 Applied Materials, Inc. High throughput selective oxidation of silicon and polysilicon using plasma at room temperature
WO2011143062A2 (en) * 2010-05-12 2011-11-17 Applied Materials, Inc. Confined process volume pecvd chamber
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8497211B2 (en) 2011-06-24 2013-07-30 Applied Materials, Inc. Integrated process modulation for PSG gapfill
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
TWI646869B (zh) 2011-10-05 2019-01-01 美商應用材料股份有限公司 對稱電漿處理腔室
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
CN104106128B (zh) 2012-02-13 2016-11-09 应用材料公司 用于基板的选择性氧化的方法和设备
US9412579B2 (en) * 2012-04-26 2016-08-09 Applied Materials, Inc. Methods and apparatus for controlling substrate uniformity
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
CN102814305B (zh) * 2012-08-03 2015-04-08 京东方科技集团股份有限公司 用于刻蚀工艺前清洁腔室的装置及方法
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US11069553B2 (en) * 2016-07-07 2021-07-20 Lam Research Corporation Electrostatic chuck with features for preventing electrical arcing and light-up and improving process uniformity
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10910195B2 (en) 2017-01-05 2021-02-02 Lam Research Corporation Substrate support with improved process uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP2022127248A (ja) 2021-02-19 2022-08-31 東京エレクトロン株式会社 誘導結合プラズマ励起用アンテナ、誘導結合プラズマ励起用アンテナユニット及びプラズマ処理装置

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4968918A (en) * 1987-07-06 1990-11-06 Kanebo, Ltd. Apparatus for plasma treatment
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
KR100238627B1 (ko) * 1993-01-12 2000-01-15 히가시 데쓰로 플라즈마 처리장치
US5401350A (en) * 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
JP3471385B2 (ja) * 1993-03-26 2003-12-02 靖浩 堀池 プラズマ処理装置
US5824158A (en) * 1993-06-30 1998-10-20 Kabushiki Kaisha Kobe Seiko Sho Chemical vapor deposition using inductively coupled plasma and system therefor
US5531834A (en) * 1993-07-13 1996-07-02 Tokyo Electron Kabushiki Kaisha Plasma film forming method and apparatus and plasma processing apparatus
KR100276736B1 (ko) * 1993-10-20 2001-03-02 히가시 데쓰로 플라즈마 처리장치
JP3172759B2 (ja) * 1993-12-02 2001-06-04 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US5435881A (en) * 1994-03-17 1995-07-25 Ogle; John S. Apparatus for producing planar plasma using varying magnetic poles
US5522934A (en) * 1994-04-26 1996-06-04 Tokyo Electron Limited Plasma processing apparatus using vertical gas inlets one on top of another
JP2641390B2 (ja) * 1994-05-12 1997-08-13 日本電気株式会社 プラズマ処理装置
JP3140934B2 (ja) * 1994-08-23 2001-03-05 東京エレクトロン株式会社 プラズマ装置
JPH0878191A (ja) * 1994-09-06 1996-03-22 Kobe Steel Ltd プラズマ処理方法及びその装置
JP2770753B2 (ja) * 1994-09-16 1998-07-02 日本電気株式会社 プラズマ処理装置およびプラズマ処理方法
DE69510427T2 (de) * 1994-10-31 1999-12-30 Applied Materials, Inc. Plasmareaktoren zur Halbleiterscheibenbehandlung
JP3805808B2 (ja) * 1995-03-20 2006-08-09 株式会社東芝 高周波放電処理装置
JPH08288272A (ja) * 1995-04-18 1996-11-01 Sony Corp ヘリコン波プラズマcvd方法
EP0756309A1 (en) * 1995-07-26 1997-01-29 Applied Materials, Inc. Plasma systems for processing substrates

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000340513A (ja) * 1999-05-05 2000-12-08 Applied Materials Inc 基板処理チャンバ用アンテナコイルアセンブリ
JP4540797B2 (ja) * 1999-05-05 2010-09-08 アプライド マテリアルズ インコーポレイテッド 基板処理チャンバ及び基板処理チャンバ用のアンテナコイルアセンブリ
JP2002100615A (ja) * 2000-09-26 2002-04-05 Tokyo Electron Ltd プラズマ装置
JP2004266268A (ja) * 2003-02-14 2004-09-24 Tokyo Electron Ltd プラズマ発生装置およびプラズマ発生方法ならびにリモートプラズマ処理装置
JP4588329B2 (ja) * 2003-02-14 2010-12-01 東京エレクトロン株式会社 プラズマ発生装置およびリモートプラズマ処理装置
JP2011014542A (ja) * 2003-02-14 2011-01-20 Tokyo Electron Ltd プラズマ発生装置およびリモートプラズマ処理装置
JP2008517430A (ja) * 2004-10-15 2008-05-22 アドバンスト・エナジー・インダストリーズ・インコーポレイテッド プラズマ放電デバイス中の誘電性構成要素の熱管理
JP2010520955A (ja) * 2007-02-28 2010-06-17 アプライド マテリアルズ インコーポレイテッド 大面積基板に堆積するための装置及び方法

Also Published As

Publication number Publication date
EP0860855A1 (en) 1998-08-26
KR19980071217A (ko) 1998-10-26
US5944902A (en) 1999-08-31
SG71083A1 (en) 2000-03-21
US5800621A (en) 1998-09-01
TW360913B (en) 1999-06-11
KR100639843B1 (ko) 2006-12-19

Similar Documents

Publication Publication Date Title
US5800621A (en) Plasma source for HDP-CVD chamber
JP3017944B2 (ja) 電子的に可変な密度プロファイルを有するプラズマ源
US7426900B2 (en) Integrated electrostatic inductive coupling for plasma processing
JP5518174B2 (ja) プラズマを生成する方法又はプラズマチャンバの操作方法
KR100265866B1 (ko) 반도체 제조장치
KR100663874B1 (ko) 유도구조및플라스마간의용량성전류의위상과역위상부분이평형을이루는유도구조에의해플라스마가여기되는고주파수플라스마처리방법
US6679981B1 (en) Inductive plasma loop enhancing magnetron sputtering
JP3691528B2 (ja) 高密度プラズマcvd及びエッチングリアクタ
US7771562B2 (en) Etch system with integrated inductive coupling
JP6442463B2 (ja) 環状のバッフル
JP2625072B2 (ja) 電磁rf結合を用いたプラズマ反応装置及びその方法
JP2007317661A (ja) プラズマ反応器
JPH0888220A (ja) プラズマ促進材料処理用の誘導結合型高密度プラズマリアクタ
JP2002502550A (ja) 半導体ウエハ処理システムでのワークピースへのパワーの結合を改善する装置
JP2009528676A (ja) プラズマエッチングチャンバのための統合型の容量および誘導電源
US6192829B1 (en) Antenna coil assemblies for substrate processing chambers
JP2003502824A (ja) 複数の小型内部誘導アンテナを有するプラズマリアクター
JP2002520492A (ja) フィードスルー重複コイル
US20030057845A1 (en) Plasma processing apparatus
JP3254069B2 (ja) プラズマ装置
JPH1064697A (ja) プラズマ処理装置
KR20010112958A (ko) 고밀도 플라즈마 반응기
US20040163595A1 (en) Plasma processing apparatus
JPH10134995A (ja) プラズマ処理装置及びプラズマ処理方法
US20030037879A1 (en) Top gas feed lid for semiconductor processing chamber

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050124

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080108

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080326

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080507

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20081021