KR19980071217A - Hdp-cvd 챔버용 플라즈마 소오스 - Google Patents

Hdp-cvd 챔버용 플라즈마 소오스 Download PDF

Info

Publication number
KR19980071217A
KR19980071217A KR1019980003784A KR19980003784A KR19980071217A KR 19980071217 A KR19980071217 A KR 19980071217A KR 1019980003784 A KR1019980003784 A KR 1019980003784A KR 19980003784 A KR19980003784 A KR 19980003784A KR 19980071217 A KR19980071217 A KR 19980071217A
Authority
KR
South Korea
Prior art keywords
chamber
coil winding
antenna
central
plasma
Prior art date
Application number
KR1019980003784A
Other languages
English (en)
Other versions
KR100639843B1 (ko
Inventor
프레드 씨. 레데커
데쯔야 이시가와
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR19980071217A publication Critical patent/KR19980071217A/ko
Application granted granted Critical
Publication of KR100639843B1 publication Critical patent/KR100639843B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • H05H1/4645Radiofrequency discharges
    • H05H1/4652Radiofrequency discharges using inductive coupling means, e.g. coils

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

기판을 처리하기 위한 플라즈마 시스템이 개시되며, 그 안에서 플라즈마 공동을 한정하고 중심적으로 배치된 가스 주입구를 갖는 챔버 몸체와 동작 중에 상기 기판 상부에 중심부-피크형 플라즈마 밀도 프로파일을 생성시키기 위해 플라즈마 공동에 관한 위치에 구성된 상부 안테나를 포함한다. 상기 상부 안테나는 중심적으로 위치된 가스 주입구를 둘러싸는 중앙 통로를 갖는다. 바람직하게 측면부 안테나는 동작 도중 기판의 상부에 오목한 중심부의 플라즈마 밀도 프로파일을 생성하기위해 플라즈마 챔버에 대해 구성 및 위치 설정된다. 상부 및 측면부 안테나는 중심적으로 위치된 가스 주입구와 함께, 처리될 기판의 표면 상부에 직접 균일한 플라즈마를 제공한다.

Description

HDP-CVD 챔버용 플라즈마 소오스
본 발명은 플라즈마 강화 화학적 기상 증착(PECVD) 챔버에서 사용하기 위한 플라즈마 소오스에 관한 것이며, 보다 구체적으로는 일반적으로 실린더형 플라즈마 형상의 방사상 플라즈마 밀도 프로파일로 제어될 수 있는 플라즈마 소오스에 관한 것이다.
기판 또는 기판 제조 챔버내에서의 강화 화학적 기상 증착, 에칭 또는 세척 반응에 대해 높은 밀도의 플라즈마를 사용하는 것은, 집적 회로를 제조하는 데에 사용되는 화학적 기상 증착(CVD)에서 유용함이 알려졌다. 높은 밀도의 플라즈마( 즉, 1×1011내지 2 ×1012이온/Cm3)에서 처리 표면의 낮은 에너지 충격(즉 전자 온도 Te내지 수 전자 볼트 또는 eV)이 유도적으로 커플링된 플라즈마 소오스의 사용에 의해 달성되는 것이 잘 공지되었다.
종래 기술의 유도성 플라즈마 소오스에 있어서, 헬리컬 안테나 코일은 일반적으로 유전체(수정) 돔의 외부 표면 또는 진공 처리 챔버의 일부를 형성하는 실린더를 둘러싼다. RF 전류(즉 100KHz 내지 약 100MHz)는 상기 안테나 코일을 통해 흐른다. 인가된 RF 전력을 사용한 공진 모드에서 동작될 때, 안테나 코일에서 순환하는 RF 전류(IRF)는 처리 챔버의 진공 안테나 코일내에서 축방향 RF 자장(BRF)을 발생시킨다. 플라즈마가 점화되면( 즉, 전극 대립에 의해 가스가 부분적으로 이온화되면,) 이러한 자장(BRF)은 봉입된 챔버내의 가스내에서 순환하는 RF 전극 전류를 유도하여, 가스내의 높은 에너지를 유지한다. 형성된 구성으로 제 1 권선으로 동작하는 안테나 코일과 제 2 권선으로 동작하는 플라즈마 자신을 갖는 RF 트랜스포머가 고려될 수 있다.
기판 상부의 처리 챔버 체적내의 이같이 유도적으로 커플링된 플라즈마는 비균일적이고 환형의 모양이 되는 경향이 있으며, 처리 표면의 중심부 상부에서 낮은 플라즈마 밀도를 갖는다. 이러한 오목한 중심부 효과는, 상기 처리 챔버의 절연 커버의 상부 부분 위에 상부 또는 커버 안테나 코일를 제공하므로써, 완화될 수 있다. 종래의 기술에서 이같은 상부 안테나 코일은 일반적으로 나선형으로 권선되어 상기 챔버의 상부를 통하여 배치된 가스 주입구와 함께 사용되지는 않는다. 일 실시예는, Coil Configuration for Improved Uniformity in Inductively Couple Plasma System으로 등록된 미국 특허 번호 5,401,350호에 개시되어 있다. 중심적으로 위치되고 챔버의 상부를 관통하여 배치된 가스 주입구는 균일한 증착을 증가시키기 위해 발견되었다. 중앙 가스 공급을 사용하는 한 챔버는 어플라이드 머티어리얼사 제품 UltimaTMDP-CVD 챔버이다. 이러한 챔버는 챔버로 전달되는 전력의 동조를 가능케 하는 상부 및 측면부 코일을 사용하고, 플라즈마의 균일도를 강화시킨다.
본 발명의 목적은 챔버에 위치된 기판의 상부에서 발생되는 자기장의 균일도를 향상시키는 PECVD 챔버용 향상된 상부 안테나 코일을 제공하는 것이다. 본 발명의 다른 목적은 상기 챔버에 위치된 기판의 상부에서 유지되는 향상된 플라즈마의 균일도를 갖는 HDP-CVD 챔버를 제공하는 것이다.
도 1은 본 발명의 상부 안테나 코일을 사용하는 플라즈마 강화 CVD 처리 시스템을 도시한 개략적 단면도.
도 2는 본 발명에 따른 내부 코일 및 동심 외부 코일 권선을 갖는 상부 안테나 코일의 일실시예를 개략적으로 도시한 도면.
도 3은 본 발명에 따른 동심 환형 코일 권선을 갖는 상부 안테나 코일의 다른 실시예를 개략적으로 도시한 도면.
도 4는 본 발명에 따른 단일 루프 권선을 권선을 갖는 상부 안테나 코일의 다른 실시예를 개략적으로 도시한 도면.
*도면의 주요부분에 대한 부호의 설명*
10 : 실린더형 돔 16 : 플라즈마 공동
40 : 상부 안테나 코일 42 : 측면부 안테나 코일
70 : RF 전력 발생기 71 : 전력 분배기
72, 73 : RF 매칭 네트워크 300 : 가스 주입구
302 : 중앙 코일 304 : 외부 코일
본 발명은, 챔버에서 처리되는 기판의 상부에서 중심부-피크의 플라즈마 밀도 프로파일을 생성하기 위해, 플라즈마 챔버에 인접하여 구성 및 배치된 플라즈마 챔버용 상부 안테나 코일을 제공한다. 상기 안테나는 추가로 중앙 통로를 갖도록 구성되며, 상기 중앙 통로를 통해 가스 주입구가 상기 기판 상부의 챔버 내부로 하나 이상의 처리 가스를 전달한다. 안테나 내의 상기 중앙 통로는 환형의 코일 권선 또는 환형의 루프로 형성된다.
본 발명은 추가로 챔버내에서 처리되는 기판의 상부에서의 오목한 중심부의 플라즈마 밀도 프로파일을 생성하도록 구성된 측면부 안테나와 본 발명의 상부 안테나 코일을 결합시키는 HPD-CVD 챔버를 제공한다. 상부 안테나 코일과 측면부 안테나 코일로부터의 RF 자기장 중첩을 조정하기 위해 단일의 RF 전력 소오스 상의 전력 분배 네트워크를 통하거나 분리된 RF 전력 소오스로부터 상부 안테나 및 측면부 안테나에 RF 전력이 인가되는 것은, 처리될 기판의 표면을 통한 균일한 플라즈마 밀도( 및 균일한 증착과 에칭)를 제공하기 위한 특정 응용에 대해 조정될수 있다.
본 발명은 또한 플라즈마 밀도 프로파일에서의 과도한 불균일에 처하지 않고 양전기 또는 음전기의 플라즈마를 사용하여 동작하는 것을 허용한다. 게다가, 본 발명은 균일한 밀도 동작의 넓은 창을 갖는 플라즈마 소오스를 제공하고 사용자가 넓은 범위의 처리 조건에 대해 밀도 프로파일을 변화시키는 것을 가능하게 한다.
다른 장점 및 특징은 바람직한 실시예에 관한 아래의 상세한 설명 및 청구항에서 명백하게 될 것이다.
상기 간략하게 요약된 본 발명에 관한 상기 언급된 특징, 장점 및 목적은 도면에서 설명된 실시예를 참조로하여 본 발명에 관한 상세하고 보다 구체적인 설명에서 달성되고 이해될수 있다.
그러나, 도면은 단지 본 발명에 관한 특정한 실시예를 설명하는 것이며, 이에 따라 본 발명은 동일한 다른 효과적인 실시예를 허용하기 때문에 발명의 범위가 한정되는 것은 아니다.
실시예
본 발명은 상부 및 측부벽과, 바람직하게 상기 챔버의 측면부 및 상부에 각각 주변 및 중심적으로 위치된 하나 이상의 가스 주입구를 구비한 처리 챔버 내에서 플라즈마를 발생시키기 위한 안테나를 제공한다. 상기 안테나의 바람직한 실시예는 안테나를 관통하는 중앙 통로를 한정하는 중앙의 환형 코일 권선, 상기 중앙 환형 권선에 동심적으로 정렬된 외부 환형 코일 권선 및 상기 중앙의 환형 코일 권선과 상기 외부 환형 코일 권선 사이에서 연장된 복수개의 도전체를 포함한다. 상기 도전체는 바람직하게 상기 코일 권선들 사이에서 방사상 경로 또는 아치형 경로로 연장된다. 상기 안테나의 대안적인 실시예는 단일의 루프식 권선에서 연장된 제 1 및 제 2 리드를 구비한 루프 턴을 제공한다. 상기 안테나는 기판의 균일한 처리를 위한 플라즈마를 발생시키기 위해 본 발명의 안테나를 사용하는 CVD 챔버와 결합하여 아래에서 상세히 설명된다.
도 1은 CVD 기판 처리 시스템의 개략적인 단면도이다. 예를 들어 수정, 세라믹, Al2O3등과 같은 유전 물질로 이루어진 실린더형 돔(10)은 일반적으로 진공 챔버 몸체(12)상에 장착된다. 실린더형 돔(10)의 일측 단부에는 상기 챔버 몸체(12)와 접하고 O링(49A)의 도움으로 진공 밀봉부를 형성하는 플렌지가 존재한다. 원형의 절연 플레이트(14)는 상기 돔(10)의 다른 단부를 밀봉하며, 이에 의해, 플라즈마 처리가 일어나는 밀봉된 처리 공동(16)이 형성된다. 상기 실린더형 돔(10) 및 절연 플레이트(14) 조합은 또한 일반적으로 돔으로 언급된다. 이러한 것들 모두 아래에서 설명되는 적절하게 위치된 안테나에 의해 상기 챔버 내부로 커플링되는 RF 전력이 투과할 수 있다.
플라즈마 처리 공동(16) 내부에 처리 도중에 기판을 붙잡는 정전 척 어셈블리(18)가 존재한다. 상기 정전척(18)의 외주변은 상기 정전척(18)을 둘러싸는 유전체 고리(collar) 또는 링(22)에 의해 정전척과 플라즈마 사이에서의 전류 누설과 침식 효과로부터 보호된다. 상기 유전체 고리 또는 링(22)을 넘어서 챔버 몸체(12)의 나머지 내부 표면을 동작 도중에 플라즈마로부터 보호하는 환형의 플레이트(24) 및 실린더형 모양의 라이너(26)가 존재한다. 상기 환현의 플레이트(24) 및 라이너(26)는 특정 처리 응용 및 다른 설계상 고려 사항에 의존하여 유전 물질(예를 들어 수정, Al2O3, 또는 다른 세라믹) 또는 도전 물질(예를 들어 실리콘 카바이드, 흑연, 카본 파이버 물질 또는 알루미늄 등)로 이루어진다. 유전체 플레이트(14)가 Al2O3또는 AlN 로 이루어진 상술한 실시예에 있어서, 플레이트(24)와 라이너(24)는 모두 정전 척(18)에 인가되는 RF 바이어스 전류를 위한 궤환 경로를 위한 충분한 도전 영역을 제공하기 위하여 도전 물질로 이루어진다.
상기 정전 척(18)은 그 상부 표면상에 형성된 유전체/절연체 층(20)을 갖는 금속 페데스탈(28)을 포함한다. 상기한 것을 형성하는 플라즈마에 대해 RF바이어스 전압을 정전 척(18)에 인가하므로써, 유전체 층(20)을 가로질러 형성되는 결과적인 정전기장은 정전 척의 상부에 대향하여 단단히 기판을 붙잡는다. 상술한 실시예에 있어서, 냉각 가스(예를 들어 헬륨)가 정전 척 몸체 내부의 도관(도시되지 않음)을 통해 기판의 후면에 제공되어 기판과 상기 페데스탈(28) 사이에서의 열 이동을 용이하게 한다.
챔버의 바닥부의 이동 가능한 플랫폼에 연결된 핀(30)은 정전척(18)을 통과하는 홀(34)을 통해 상향으로 연장되며, 플라즈마를 생성하기에 앞서 정전 척상으로 상기 기판을 하강시키고 그후에 정전 척으로부터 기판을 들어올리는 데 사용된다. 챔버 아래에 위치된 공기 또는 모터 어셈블리(도시되지 않음)는 상기 이동 가능한 플랫폼(32)을 상승 및 하강시킨다.
RF 전력이 하나 이상의 안테나, 즉 상부 안테나 코일(40) 및 선택적 측면부 안테나 코일(42)을 통해 플라즈마 공동(16)에 제공된다. 양 안테나 코일 모두(40,42)는 바람직하게 구리 와이어로 이루어지나, 임의의 높은 도전성 물질로 이루어질 수 있다. 상부 안테나 코일(40)은 상기 유전체 플레이트(14)의 상부측에 인접하여 위치되고 가스 주입구(300)가 상기 유전체 플레이트(14)를 통해 배치되는 것을 허용하도록 구성되는 평면 코일이다. 측면부 안테나 코일(42)은 실린더형 돔(10)의 외부 벽 주위에 권선된 실린더형으로 형성된 코일이다. RF 전력은 안테나 접속(44a)을 통해 상부 안테나 코일(40)에 인가되고, 안테나 접속(46a)을 통해 측면부 안테나 코일(42)에 인가된다. 상기 RF 전력은 분배기(71) 및 두 개의 매칭 네트워크(72,73)를 통해 도시된 바와 같은 단일의 RF 전력 소오스로부터 또는 두 개의 분리된 RF 전력 공급원으로부터 공급될 수 있다. 상기 안테나 코일(40,42)은 다른 안테나 접속(44b,46b)을 통해 각각 접지된다.
절연 커버 플레이트(48)가 유전체 플레이트(14)상에 위치될 때, 절연 커버 플레이트(48)는, 상부 안테나 코일(49)과 같은 모양을 가지며 상기 안테나 코일(40)을 완전히 포함하는 자신의 바닥 표면에 형성된 홈(50)내의 상부 안테나 코일(40)을 덮는다. 패러데이 실드(75)(도전성의 비자화 물질로 이루어진)는 상기 상부 코일(40)과 유전체 플레이트(14) 사이에 배치된다. 커버 플레이트(48)는 기계적으로 상부 안테나 코일(40)을 챔버에 대해 고정된 위치로 유지하고, 권선들 사이에서의 아아킹이 발생하지 않도록 코일의 권선을 전기적으로 분리시킨다. 커버 플레이트(48)는 리드를 가열하기 위한 가열 엘리먼트(52)를 포함할 수 있다. 에어 갭 및 가열된 커버 플레이트(48)에 의해 방출되는 열에 대한 히트 싱크로서 동작하는 냉각 어셈블리가 커버 플레이트(48)의 바로 상부 및 그에 인접하여 존재한다. 가열 엘리먼트(52) 및 열 전달 어셈블리(56)는 가열 또는 냉각시키는 데 사용되며, 동작 도중에 미리 결정된 레벨로 상기 커버 플레이트(48) 및 유전체 플레이트(14)의 온도를 유지한다.
실린더형 슬리브(45)는 실린더형 돔(10)을 둘러싸고 측면부 안테나 코일(42)의 권선을 붙잡기 위한 슬리브(45)의 내부 표면에 형성된 홈을 포함한다. 슬리브(45)는 기계적 안정성 및 챔버에 대해 권선의 위치 설정을 제공하고, 아아킹을 방지하는 절연을 코일의 권선들 사이에 제공한다. 도전성의 비자성 물질로 이루어진 패러데이 실드(74)는 상기 측면부 코일(42)과 실린더형 돔(10) 사이에 배치되며, 상부 코일 패러데이 실드(75)와 유사한 전류 순환을 방지하기 위해 슬로팅된다.
상기 슬리브(45) 근처에, 플라즈마 처리를 안정화시키기 위해 실린더형 돔(10)의 벽을 간접적으로 가열하는 가열 엘리먼트(49)가 존재한다. 상기 슬리브(45)의 상부에 접하여 슬리브의 상측에 위치한 가열 유도 고리(51)는 챔버 상부의 냉각 어셈블리(56)까지의 열 흐름 경로를 생성시키므로써 가열된 슬리브를 위한 히트 싱크를 제공한다. 예를 들어, 어떤 처리에 있어서, 챔버로 유입된 전구물질 가스가 돔(10)의 벽 보다는 기판에 증착하도록 챔버 벽을 가열하는 것(약 200℃까지 가열)이 바람직하다. 바람직한 실시예에 있어서, 유전체 슬리브(45) 및 커버 플레이트(48)는 예를 들어 알루미나 또는 Al2O3와 같은 세라믹으로 이루지나, 기술 분야에서 공지된 다른 물질도 사용될 수 있다.
아래에서 자세히 설명될 상부 안테나 코일(40)은 중심부 영역(17a)를 둘러싸는 외주변 영역(17b)과 비교되는 공동(16)의 중심부 영역(17a)에 비례적으로 많은 양의 RF 전력을 전달한다. 저절로 사용된다면, 상부 안테나 코일(40)은 기판의 표면 상부에서 중심부-피크형(center-peaked) 플라즈마 밀도 프로파일을 형성하기 위해 구성 및 위치된다. 두 개의 안테나(40,42)가 함께 동작하여 RF 전력이 적절하게 그들 사이에서 분할될 때, 그들의 중첩 또는 벡터 합은 상기 기판(20)의 상부를 가로질러 균일한 플라즈마 밀도 프로파일을 생성한다. 이러한 구성이 바람직한 동안에, 상부 코일(40)은 기판의 표면을 가로질러 균일한 플라즈마 밀도를 생성하도록 크기 제어된다.
안테나 코일(40,42)은 본 실시예에서는 단일의 RF발생기(70)를 포함하는 RF 전력 소오스로부터 구동된다. 50Ω의 출력 임피던스를 가지는 RF 발생기(70)는 50Ω의 동축 케이블(62)을 통해 전력 분배기(71)에 접속되고 이어 두 개의 출력 라인(68a,68b)을 경유하여 두 개의 RF 매칭 네트워크(72,73)에 접속되며, 하나는 상기 매칭 네트워크(72)에 접속되고 다른 하나는 매칭 네트워크(73)에 접속된다.
일반적으로, RF 매칭 네트워크(72,73)는, 전력 분배기(71)와 안테나 코일(40,42) 사이의 매칭 조건을 달성하여 그에 의해 챔버내의 플라즈마에 전달되는 RF 전력을 최대화하기 위해, 각각의 RF 매칭 네트워크의 임피던스를 조절할 수 있는 하나 이상의 가변 용량성 엘리먼트(예를 들어 인덕터 또는 캐패시터)를 포함한다. RF 매칭 네트워크(72,73)내의 RF 검출 회로는 챔버로 전달된 전력을 모니터링하고 그것으로부터 매칭 조건을 달성하고 유지하는 제어 신호를 발생시킨다.
플라즈마 처리 시스템에서 사용되는 RF 매칭 네트워크(72,73)의 설계 및 구조는 기술 분야의 당업자에게는 잘 알려져있다. 적합한 RF 매칭 네트워크는 본 발명에서 참조문으로 사용한, 콜린즈 등에게 허여된 미국 특허 번호 제 5,392,018호에서 개시되었다.
전력 분배기(71)는 부하에서의 전류 또는 전압 사이의 바람직한 위상 관계를 유지하면서 단일 RF 발생기(70)로부터의 RF 전력을 두 개의 부하로 분배한다. 적합한 RF 전력 분배기(71)는 본 발명에서 참조문으로 사용한, 콜린즈 등에게 허여된 미국 특허 번호 제 5,349,313호에서 개시되었다.
도 1을 다시 참조하여, 가스 노즐(300) 또는 다른 가스 주입구는 정전 척 어셈블리(18) 상부에 집중되며, 유전체 플레이트(14)를 통해 연장된다. 상부 안테나(40)에는 가스 주입구(300)를 통과하는 중앙 통로가 제공된다. 바람직하게, 여기에 개시된 상부 안테나 코일(40) 구조는 상술한 바와 같은 측면부 안테나 코일(42)과 함께 유용하게 사용된다. 그러나, 상기 상부 안테타 코일(40)은 또한 측면부 안테나 코일(42)과는 독립적으로 사용될 수 있다.
기판 크기가 증가함에 따라, 가스 분배 및 균일한 플라즈마 밀도를 달성하는 것은 더 어려워진다. 도 2 내지 도 4에 도시된 상부 안테나 코일 구조와 함께 리드를 통해 배치된 가스 주입구(300)는 넓은 기판, 예를 들어 300mm의 기판에 대해 균일한 가스 분배 및 플라즈마 밀도를 제공한다. 도시 및 상술된 바와 같이 실린더형 돔(10) 주위에 위치된 측면부 안테나 코일(42)과 함께, 상부 안테나 코일(40)은 큰 기판에 대해 균일한 플라즈마 밀도를 증가시킨다. 그러나 큰 기판 및 작은 기판 모두는 본 발명에 따른 상부 안테나 코일(40)을 사용하여 처리될 수 있다.
도 2는 두 개의 환형 코일 권선, 즉 중앙 코일 권선(302) 및 외부 동심 코일 권선(304)을 갖는 상부 안테나 코일(40) 구조의 일 실시예를 도시한 평면도를 개략적으로 도시한다. 환형 코일 권선들(302 및 304)은 기판 상부에 플라즈마를 발생시키기 위해 처리 영역(16)에 개별적으로 전력을 커플링시키는 방사상으로 연장된 복수개의 도전체(306)에 접속된다. 상기 중앙 코일 권선(302)은 챔버로 연장된 가스 주입구가 관통하는 상부 안테나 코일(40)내의 중앙 주입 통로(308)를 한정한다. RF소오스(316) 및 RF 매칭 네트워크(318)를 포함하는 RF 발생 시스템은 RF전력을 안테나 접속(310)을 사용하여 중앙 코일 권선(302)에 제공하며, 외부 코일 권선(304)은 접지 접속(312)을 사용하여 접지된다. 바람직하게, 상부 안테나 코일(40)은 구리 와이어 또는 쉽게 형태 형성될 수 있는 높은 도전성의 다른 물질로 이루어진다.
도 3은, 중앙 코일 권선(302) 및 외부 코일 권선(304)가 방사상 경로 대신에 아치형 경로를 통해 연장되는 것을 제외하고는 도 2에 도시된 실시예와 유사한 동심의 환형 코일 권선(302 및 304)을 구비한 상부 안테나 코일(40)의 대안적인 실시예에 대한 평면도를 개략적으로 도시한다.
도 4는 가스 주입구(300)가 관통하여 연장될 수 있는 중앙 통로(308)를 한정하는 상부 안테나 코일(40) 구조에 대한 다른 실시예의 평면도를 도시한다. 이러한 실시예에 있어서, 부분 링 또는 루프 형태의 코일(309)이 상기 중앙 통로(308) 주위에, 바람직하게 정전 척(18)과 동심적 배열로 배치된다. 상기 루프(309)의 직경은 특정 기판 크기에 대해 바람직한 플라즈마 프로파일을 최대화하도록 선택될 수 있다. 예를 들어, 작은 루프 직경은, 중심부 피크형 플라즈마를 발생시키고, 챔버의 실린더형 돔(10) 주변에 위치되어 독립적으로 제어된 측면부 안테나 코일(42)과 함께 유용하게 사용될 수 있다. 첨예한 플라즈마 밀도 프로파일은 기판의 에지에 접하는 직경을 갖는 루프 형태의 코일(309), 예를 들어 200mm의 기판과 관련하여 사용되는 100mm 루프에 의해 형성될 수 있다.
도 2 내지 도 4에 도시된 각각의 상부 안테나 코일(40)은, 측면부 안테나 코일(42) 및 상부 안테나 코일(40) 모두에 인가되는 전력이 기판 전체 표면 상부에 균일한 플라즈마 밀도 프로파일을 발생시키기 위해 조작될 수 있도록 상술한 바와 같이 독립적으로 바이어싱될 수 있다. 각각의 안테나(40,42)에 제공되는 전력은 또한 처리 영역에 많은 또는 적은 에너지를 결합시키기 위해 증가 또는 감소될 수 있으며, 이는 플라즈마 밀도 프로파일을 변경시킨다. 게다가, 상술한 상부 안테나 코일(40)은 측면부 안테나 코일(42) 없이 사용될 수 있다.
이상에서는 본 발명의 양호한 일 실시예에 따라 본 발명이 설명되었지만, 첨부된 청구 범위에 의해 한정되는 바와 같은 본 발명의 사상을 일탈하지 않는 범위 내에서 다양한 변형이 가능함은 본 발명이 속하는 기술 분야의 당업자에게는 명백하다.
플라즈마 공동을 한정하고 중심적으로 배치된 가스 주입구를 갖는 챔버 몸체를 포함하고, 중심적으로 위치된 가스 주입구를 둘러싸는 중앙 통로를 갖는 상부 안테나를 동작 중에 상기 기판 상부에 중심부-피크형 플라즈마 밀도 프로파일을 생성시키기 위해 플라즈마 공동에 관한 위치에 구성하고, 바람직하게 측면부 안테나를 동작 도중 기판의 상부에 오목한 중심부의 플라즈마 밀도 프로파일을 생성하기위해 플라즈마 챔버에 대해 구성 및 위치 설정하므로써, 균일한 플라즈마 밀도 프로파일을 생성할 수 있다.

Claims (17)

  1. 처리 챔버내에서 플라즈마를 발생시키기 위한 안테나에 있어서,
    상기 안테나를 통과하는 중앙 통로를 한정하는 중앙 코일;
    상기 중앙 코일 주변에 배치된 외부 코일; 및
    상기 중앙 코일 권선과 상기 외부 코일 권선 사이에서 연장되는 복수개의 도전체를 포함하는 것을 특징으로 하는 안테나.
  2. 제 1 항에 있어서, 상기 도전체는 상기 중앙 코일 권선과 상기 외부 코일 권선 사이의 직선 경로로 연장되는 것을 특징으로 하는 안테나.
  3. 제 1 항에 있어서, 상기 도전체는 상기 중앙 코일 권선과 상기 외부 코일 권선 사이에서 아치형 경로로 연장되는 것을 특징으로 하는 안테나.
  4. 기판을 처리하기 위한 챔버에 있어서,
    플라즈마 공동을 한정하는 상부 및 측부벽과 챔버의 상부에 중심적으로 위치된 가스 주입구를 포함하는 챔버 몸체; 및
    챔버 몸체의 상부에 장착된 상부 안테나를 포함하며, 상기 상부 안테나는 상기 상부 안테나를 통과하는 중앙 통로를 한정하는 중앙 코일 권선, 상기 중앙 코일 권선에 동심적으로 정렬된 외부 코일 권선 및 상기 중앙 코일 권선과 상기 외부 권선 사이에서 연장된 복수개의 인덕터를 구비하는 것을 특징으로 하는 챔버.
  5. 제 4 항에 있어서, 가스 주입구는 상기 상부 안테나의 중앙 통로를 통과하는 것을 특징으로 하는 챔버.
  6. 제 4 항에 있어서, 상기 인덕터는 상기 중앙 코일 권선과 상기 외부 코일 권선 사이에서 방사상 경로로 연장되는 것을 특징으로 하는 챔버.
  7. 제 4 항에 있어서, 상기 인덕터는 상기 중앙 코일 권선과 상기 외부 코일 권선 사이에서 아치형 경로로 연장되는 것을 특징으로 하는 챔버.
  8. 제 4 항에 있어서, 동작 도중에 기판의 상부에 오목한 중심부의 플라즈마 밀도 프로파일을 생성하기 위해 플라즈마 공동에 대해 구성 및 위치된 측면부 안테나를 더 포함하는 것을 특징으로 하는 챔버.
  9. 제 8 항에 있어서, RF 전력 발생기; 및
    상기 RF 발생기에 접속된 RF 전력 분배기를 더 포함하는 것을 특징으로 하는 챔버.
  10. 기판을 처리하기 위한 챔버에 있어서,
    플라즈마 공동을 한정하는 상부 및 측부벽과 챔버의 상부에 중심적으로 위치된 가스 주입구를 포함하는 챔버 몸체; 및
    챔버 몸체의 상부에 장착된 상부 안테나를 포함하며, 상기 상부 안테나는 상기 상부 안테나를 통과하는 중앙 통로를 한정하는 루프 코일, 상기 루프 코일로부터 연장된 제 1 리드 및 상기 루프 코일로부터 연장된 제 2 리드를 구비하는 것을 특징으로 하는 챔버.
  11. 제 10 항에 있어서, 상기 가스 주입구는 상기 상부 안테나의 중앙 통로를 통과하는 것을 특징으로 하는 챔버.
  12. 제 10 항에 있어서, 동작 도중에 기판의 상부에 오목한 중심부의 플라즈마 밀도 프로파일을 생성하기 위해 플라즈마 공동에 대해 구성 및 위치된 측면부 안테나를 더 포함하는 것을 특징으로 하는 챔버.
  13. 제 12 항에 있어서, RF 전력 발생기; 및
    상기 RF 발생기를 상기 상부 안테나와 상기 측면부 안테나에 접속시키는 RF 전력 분배기를 더 포함하는 것을 특징으로 하는 챔버.
  14. 기판을 처리하기 위한 챔버에 있어서,
    플라즈마 공동을 한정하는 상부 및 측부벽과 챔버의 상부에 중심적으로 위치된 가스 주입구를 포함하는 챔버 몸체;
    챔버 몸체의 상부에 장착된 상부 안테나를 포함하며, 상기 상부 안테나는 상기 상부 안테나를 통과하는 중앙 통로를 한정하는 중앙 코일 권선, 상기 중앙 코일 권선에 동심적으로 정렬된 외부 코일 권선 및 상기 중앙 코일 권선과 상기 외부 권선 사이에서 연장된 복수개의 인덕터;
    동작 도중에 기판의 상부에 오목한 중심부의 플라즈마 밀도 프로파일을 생성하기 위해 플라즈마 공동에 대해 구성 및 위치된 측면부 안테나;
    RF 전력 발생기; 및
    상기 RF 발생기를 상기 상부 안테나와 상기 측면부 안테나에 접속시키는 RF 전력 분배기를 포함하는 것을 특징으로 하는 챔버.
  15. 제 14 항에 있어서, 상기 인덕터는 상기 중앙 코일 권선과 상기 외부 코일 권선 사이에서 방사상 경로로 연장되는 것을 특징으로 하는 챔버.
  16. 제 14 항에 있어서, 상기 인덕터는 상기 중앙 코일 권선과 상기 외부 코일 권선 사이에서 아치형 경로로 연장되는 것을 특징으로 하는 챔버.
  17. 플라즈마 공동을 한정하는 상부 및 측부벽과 챔버의 상부에 중심적으로 위치된 가스 주입구를 포함하는 챔버 몸체;
    챔버 몸체의 상부에 장착된 상부 안테나를 포함하며, 상기 상부 안테나는 상기 상부 안테나를 통과하는 중앙 통로를 한정하는 루프 코일, 상기 루프 코일로부터 연장된 제 1 리드 및 상기 루프 코일 권선으로부터 연장된 제 2 리드를 구비하며;
    동작 도중에 기판의 상부에 오목한 중심부의 플라즈마 밀도 프로파일을 생성하기 위해 플라즈마 공동에 대해 구성 및 위치된 측면부 안테나;
    RF 전력 발생기; 및
    상기 RF 발생기를 상기 상부 안테나와 상기 측면부 안테나에 접속시키는 RF 전력 분배기를 포함하는 것을 특징으로 하는 챔버.
KR1019980003784A 1997-02-10 1998-02-10 Hdp-cvd챔버용플라즈마소오스 KR100639843B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/795169 1997-02-10
US08/795,169 US5800621A (en) 1997-02-10 1997-02-10 Plasma source for HDP-CVD chamber
US8/795,169 1997-02-10

Publications (2)

Publication Number Publication Date
KR19980071217A true KR19980071217A (ko) 1998-10-26
KR100639843B1 KR100639843B1 (ko) 2006-12-19

Family

ID=25164884

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019980003784A KR100639843B1 (ko) 1997-02-10 1998-02-10 Hdp-cvd챔버용플라즈마소오스

Country Status (6)

Country Link
US (2) US5800621A (ko)
EP (1) EP0860855A1 (ko)
JP (1) JPH10241898A (ko)
KR (1) KR100639843B1 (ko)
SG (1) SG71083A1 (ko)
TW (1) TW360913B (ko)

Families Citing this family (234)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3257328B2 (ja) 1995-03-16 2002-02-18 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US6902683B1 (en) * 1996-03-01 2005-06-07 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
JP3640478B2 (ja) * 1996-09-20 2005-04-20 アネルバ株式会社 プラズマ処理装置
JPH10134996A (ja) * 1996-10-31 1998-05-22 Nec Corp プラズマ処理装置
US6063679A (en) * 1997-12-09 2000-05-16 Advanced Micro Devices, Inc. Spacer formation for graded dopant profile having a triangular geometry
US6194038B1 (en) * 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
US6207586B1 (en) * 1998-10-28 2001-03-27 Lucent Technologies Inc. Oxide/nitride stacked gate dielectric and associated methods
US6192829B1 (en) 1999-05-05 2001-02-27 Applied Materials, Inc. Antenna coil assemblies for substrate processing chambers
JP2000331993A (ja) * 1999-05-19 2000-11-30 Mitsubishi Electric Corp プラズマ処理装置
US6262538B1 (en) * 1999-08-26 2001-07-17 International Business Machines Corporation High density plasma tool with adjustable uniformity and stochastic electron heating for reduced gas cracking
US6227140B1 (en) * 1999-09-23 2001-05-08 Lam Research Corporation Semiconductor processing equipment having radiant heated ceramic liner
US6346476B1 (en) 1999-09-27 2002-02-12 Taiwan Semiconductor Manufacturing Company Method for enhancing line-to-line capacitance uniformity of plasma enhanced chemical vapor deposited (PECVD) inter-metal dielectric (IMD) layers
DE19955671B4 (de) * 1999-11-19 2004-07-22 Muegge Electronic Gmbh Vorrichtung zur Erzeugung von Plasma
KR20010062209A (ko) 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
US6423653B1 (en) 2000-01-11 2002-07-23 Taiwan Semiconductor Manufacturing Company Reduction of plasma damage for HDP-CVD PSG process
US6413359B1 (en) * 2000-04-04 2002-07-02 K2 Keller Consulting Plasma reactor with high selectivity and reduced damage
US6364958B1 (en) * 2000-05-24 2002-04-02 Applied Materials, Inc. Plasma assisted semiconductor substrate processing chamber having a plurality of ground path bridges
US6335288B1 (en) 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
JP4598253B2 (ja) * 2000-09-26 2010-12-15 東京エレクトロン株式会社 プラズマ装置
US6803222B2 (en) * 2000-11-22 2004-10-12 Kao Corporation Alkaline proteases
US7285758B2 (en) * 2000-12-12 2007-10-23 Tokyo Electron Limited Rapid thermal processing lamp and method for manufacturing the same
US7510664B2 (en) 2001-01-30 2009-03-31 Rapt Industries, Inc. Apparatus and method for atmospheric pressure reactive atom plasma processing for shaping of damage free surfaces
US7591957B2 (en) * 2001-01-30 2009-09-22 Rapt Industries, Inc. Method for atmospheric pressure reactive atom plasma processing for surface modification
US20020160620A1 (en) * 2001-02-26 2002-10-31 Rudolf Wagner Method for producing coated workpieces, uses and installation for the method
US6447651B1 (en) 2001-03-07 2002-09-10 Applied Materials, Inc. High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers
US6660177B2 (en) 2001-11-07 2003-12-09 Rapt Industries Inc. Apparatus and method for reactive atom plasma processing for material deposition
US7074298B2 (en) * 2002-05-17 2006-07-11 Applied Materials High density plasma CVD chamber
US7354501B2 (en) * 2002-05-17 2008-04-08 Applied Materials, Inc. Upper chamber for high density plasma CVD
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US7166200B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US7137353B2 (en) 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US6837966B2 (en) * 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US7204912B2 (en) 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US7166166B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US6768828B2 (en) * 2002-11-04 2004-07-27 Little Optics Inc. Integrated optical circuit with dense planarized cladding layer
KR100488348B1 (ko) * 2002-11-14 2005-05-10 최대규 플라즈마 프로세스 챔버 및 시스템
US7780786B2 (en) 2002-11-28 2010-08-24 Tokyo Electron Limited Internal member of a plasma processing vessel
JP4588329B2 (ja) * 2003-02-14 2010-12-01 東京エレクトロン株式会社 プラズマ発生装置およびリモートプラズマ処理装置
JP5312411B2 (ja) * 2003-02-14 2013-10-09 東京エレクトロン株式会社 プラズマ発生装置およびリモートプラズマ処理装置
US20060137613A1 (en) * 2004-01-27 2006-06-29 Shigeru Kasai Plasma generating apparatus, plasma generating method and remote plasma processing apparatus
US7371992B2 (en) 2003-03-07 2008-05-13 Rapt Industries, Inc. Method for non-contact cleaning of a surface
JP4369264B2 (ja) * 2003-03-25 2009-11-18 東京エレクトロン株式会社 プラズマ成膜方法
US7291566B2 (en) 2003-03-31 2007-11-06 Tokyo Electron Limited Barrier layer for a processing element and a method of forming the same
JP4597972B2 (ja) 2003-03-31 2010-12-15 東京エレクトロン株式会社 処理部材上に隣接するコーティングを接合する方法。
US7081414B2 (en) * 2003-05-23 2006-07-25 Applied Materials, Inc. Deposition-selective etch-deposition process for dielectric film gapfill
US7205240B2 (en) 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
US20040261718A1 (en) * 2003-06-26 2004-12-30 Kim Nam Hun Plasma source coil for generating plasma and plasma chamber using the same
US7304263B2 (en) * 2003-08-14 2007-12-04 Rapt Industries, Inc. Systems and methods utilizing an aperture with a reactive atom plasma torch
KR100584122B1 (ko) * 2004-03-25 2006-05-29 에이피티씨 주식회사 플라즈마 소스코일을 갖는 플라즈마 챔버 및 이를 이용한웨이퍼 식각방법
US7229931B2 (en) 2004-06-16 2007-06-12 Applied Materials, Inc. Oxygen plasma treatment for enhanced HDP-CVD gapfill
US7087536B2 (en) 2004-09-01 2006-08-08 Applied Materials Silicon oxide gapfill deposition using liquid precursors
US20060081185A1 (en) * 2004-10-15 2006-04-20 Justin Mauck Thermal management of dielectric components in a plasma discharge device
US7552521B2 (en) 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
US20060154494A1 (en) 2005-01-08 2006-07-13 Applied Materials, Inc., A Delaware Corporation High-throughput HDP-CVD processes for advanced gapfill applications
US7601242B2 (en) 2005-01-11 2009-10-13 Tokyo Electron Limited Plasma processing system and baffle assembly for use in plasma processing system
US7329586B2 (en) * 2005-06-24 2008-02-12 Applied Materials, Inc. Gapfill using deposition-etch sequence
US7525787B2 (en) * 2005-09-30 2009-04-28 Lam Research Corporation Electrostatic chuck assembly with dielectric material and/or cavity having varying thickness, profile and/or shape, method of use and apparatus incorporating same
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US8226769B2 (en) 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
US9275887B2 (en) 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
GB0616131D0 (en) * 2006-08-14 2006-09-20 Oxford Instr Plasma Technology Surface processing apparatus
US20080142483A1 (en) * 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
US7939422B2 (en) * 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
TW200830941A (en) * 2007-01-15 2008-07-16 Jehara Corp Plasma generating apparatus
WO2008106542A1 (en) * 2007-02-28 2008-09-04 Applied Materials, Inc. Apparatus and method for deposition over large area substrates
US20080236490A1 (en) * 2007-03-29 2008-10-02 Alexander Paterson Plasma reactor with an overhead inductive antenna and an overhead gas distribution showerhead
WO2008120946A1 (en) * 2007-04-02 2008-10-09 Sosul Co., Ltd. Apparatus for supporting substrate and plasma etching apparatus having the same
US8435379B2 (en) * 2007-05-08 2013-05-07 Applied Materials, Inc. Substrate cleaning chamber and cleaning and conditioning methods
US8512509B2 (en) * 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
JP2012506620A (ja) * 2008-10-21 2012-03-15 アプライド マテリアルズ インコーポレイテッド チャンバをクリーニングするプラズマ発生源とプロセス
US8729440B2 (en) * 2009-03-02 2014-05-20 Harris Corporation Applicator and method for RF heating of material
JP4621287B2 (ja) * 2009-03-11 2011-01-26 株式会社イー・エム・ディー プラズマ処理装置
US20100297854A1 (en) * 2009-04-22 2010-11-25 Applied Materials, Inc. High throughput selective oxidation of silicon and polysilicon using plasma at room temperature
WO2011143062A2 (en) * 2010-05-12 2011-11-17 Applied Materials, Inc. Confined process volume pecvd chamber
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8497211B2 (en) 2011-06-24 2013-07-30 Applied Materials, Inc. Integrated process modulation for PSG gapfill
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
TW201325326A (zh) 2011-10-05 2013-06-16 Applied Materials Inc 電漿處理設備及其基板支撐組件
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
JP6254098B2 (ja) 2012-02-13 2017-12-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板の選択性酸化のための方法および装置
US9412579B2 (en) * 2012-04-26 2016-08-09 Applied Materials, Inc. Methods and apparatus for controlling substrate uniformity
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
CN102814305B (zh) * 2012-08-03 2015-04-08 京东方科技集团股份有限公司 用于刻蚀工艺前清洁腔室的装置及方法
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US11069553B2 (en) * 2016-07-07 2021-07-20 Lam Research Corporation Electrostatic chuck with features for preventing electrical arcing and light-up and improving process uniformity
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10910195B2 (en) 2017-01-05 2021-02-02 Lam Research Corporation Substrate support with improved process uniformity
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP2022127248A (ja) 2021-02-19 2022-08-31 東京エレクトロン株式会社 誘導結合プラズマ励起用アンテナ、誘導結合プラズマ励起用アンテナユニット及びプラズマ処理装置

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4968918A (en) * 1987-07-06 1990-11-06 Kanebo, Ltd. Apparatus for plasma treatment
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
KR100238627B1 (ko) * 1993-01-12 2000-01-15 히가시 데쓰로 플라즈마 처리장치
US5401350A (en) * 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
JP3471385B2 (ja) * 1993-03-26 2003-12-02 靖浩 堀池 プラズマ処理装置
US5824158A (en) * 1993-06-30 1998-10-20 Kabushiki Kaisha Kobe Seiko Sho Chemical vapor deposition using inductively coupled plasma and system therefor
US5531834A (en) * 1993-07-13 1996-07-02 Tokyo Electron Kabushiki Kaisha Plasma film forming method and apparatus and plasma processing apparatus
JP3172759B2 (ja) * 1993-12-02 2001-06-04 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
KR100276736B1 (ko) * 1993-10-20 2001-03-02 히가시 데쓰로 플라즈마 처리장치
US5435881A (en) * 1994-03-17 1995-07-25 Ogle; John S. Apparatus for producing planar plasma using varying magnetic poles
US5522934A (en) * 1994-04-26 1996-06-04 Tokyo Electron Limited Plasma processing apparatus using vertical gas inlets one on top of another
JP2641390B2 (ja) * 1994-05-12 1997-08-13 日本電気株式会社 プラズマ処理装置
JP3140934B2 (ja) * 1994-08-23 2001-03-05 東京エレクトロン株式会社 プラズマ装置
JPH0878191A (ja) * 1994-09-06 1996-03-22 Kobe Steel Ltd プラズマ処理方法及びその装置
JP2770753B2 (ja) * 1994-09-16 1998-07-02 日本電気株式会社 プラズマ処理装置およびプラズマ処理方法
DE69510427T2 (de) * 1994-10-31 1999-12-30 Applied Materials Inc Plasmareaktoren zur Halbleiterscheibenbehandlung
JP3805808B2 (ja) * 1995-03-20 2006-08-09 株式会社東芝 高周波放電処理装置
JPH08288272A (ja) * 1995-04-18 1996-11-01 Sony Corp ヘリコン波プラズマcvd方法
EP0756309A1 (en) * 1995-07-26 1997-01-29 Applied Materials, Inc. Plasma systems for processing substrates

Also Published As

Publication number Publication date
EP0860855A1 (en) 1998-08-26
KR100639843B1 (ko) 2006-12-19
SG71083A1 (en) 2000-03-21
JPH10241898A (ja) 1998-09-11
TW360913B (en) 1999-06-11
US5800621A (en) 1998-09-01
US5944902A (en) 1999-08-31

Similar Documents

Publication Publication Date Title
KR100639843B1 (ko) Hdp-cvd챔버용플라즈마소오스
US7426900B2 (en) Integrated electrostatic inductive coupling for plasma processing
US10090134B2 (en) Plasma reactor with inductive excitation of plasma and efficient removal of heat from the excitation coil
KR100474752B1 (ko) 전기적으로가변가능한밀도분포를갖는플라즈마소스
KR101094124B1 (ko) 균일한 프로세스 레이트를 발생시키는 안테나
US7771562B2 (en) Etch system with integrated inductive coupling
KR100265866B1 (ko) 반도체 제조장치
US7854213B2 (en) Modulated gap segmented antenna for inductively-coupled plasma processing system
US6682630B1 (en) Uniform gas distribution in large area plasma source
US20040027781A1 (en) Low loss RF bias electrode for a plasma reactor with enhanced wafer edge RF coupling and highly efficient wafer cooling
US6634313B2 (en) High-frequency electrostatically shielded toroidal plasma and radical source
KR20090068233A (ko) 플라즈마 처리 장치 및 방법
US6850012B2 (en) Plasma processing apparatus
KR100726528B1 (ko) 기판 처리챔버용 안테나 코일 조립체
JP2021503686A (ja) 製造プロセスにおける超局所化及びプラズマ均一性制御
US20130292057A1 (en) Capacitively coupled plasma source with rf coupled grounded electrode
KR20100129370A (ko) 대면적 플라즈마를 이용한 연속 기판 처리 시스템
TWI439186B (zh) 化合物電漿來源及利用該來源以解離氣體的方法
JPH0850996A (ja) プラズマ処理装置
US20040163595A1 (en) Plasma processing apparatus
KR20010112958A (ko) 고밀도 플라즈마 반응기
KR20100129368A (ko) 복합 주파수를 이용한 대면적 플라즈마 반응기
TWI717934B (zh) 電漿處理設備
US20030037879A1 (en) Top gas feed lid for semiconductor processing chamber
JP3797975B2 (ja) プラズマ処理装置

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
J201 Request for trial against refusal decision
AMND Amendment
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120927

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20130927

Year of fee payment: 8

LAPS Lapse due to unpaid annual fee