JP2009528676A - プラズマエッチングチャンバのための統合型の容量および誘導電源 - Google Patents

プラズマエッチングチャンバのための統合型の容量および誘導電源 Download PDF

Info

Publication number
JP2009528676A
JP2009528676A JP2008556369A JP2008556369A JP2009528676A JP 2009528676 A JP2009528676 A JP 2009528676A JP 2008556369 A JP2008556369 A JP 2008556369A JP 2008556369 A JP2008556369 A JP 2008556369A JP 2009528676 A JP2009528676 A JP 2009528676A
Authority
JP
Japan
Prior art keywords
plasma
processing chamber
lower electrode
plasma processing
electrode
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2008556369A
Other languages
English (en)
Other versions
JP5215875B2 (ja
Inventor
ディンドサ・ラジンダー
スリニバサン・ムクンド
タケシタ・ケンジ
マラクタノブ・アレクセイ
フィッシャー・アンドレアス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2009528676A publication Critical patent/JP2009528676A/ja
Application granted granted Critical
Publication of JP5215875B2 publication Critical patent/JP5215875B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Health & Medical Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Cleaning In General (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

【解決手段】概して、本発明は、改良チャンバ洗浄機構を提供することによって、これらの要求を満たす。本発明は、エッチング処理のさらなる調整を可能にするために利用されてもよい。一実施形態では、プラズマを生成するよう構成されたプラズマ処理チャンバは、基板を受けるよう構成された下部電極を備えた下部電極アセンブリを備える。プラズマ処理チャンバは、上部電極と、上部電極を囲む誘導コイルとを備えた上部電極アセンブリを備える。誘導コイルは、チャンバ内に規定された領域内でガスをプラズマに変換するよう構成されており、その領域は、下部電極の上面の上方に規定された範囲の外にある。
【選択図】図1A

Description

半導体ベースのデバイス(例えば、集積回路やフラットパネルディスプレイ)の製造では、材料の層が交互に基板表面(例えば、半導体ウエハまたはガラスパネル)上に蒸着されて基板表面からエッチングされてよい。当該分野で周知のように、蒸着された層のエッチングは、プラズマエッチングなど、様々な技術によって実現されてよい。プラズマエッチングでは、基板に対する実際のエッチングは、通例、プラズマ処理チャンバ内で行われる。エッチング処理の際には、プラズマが、適切なエッチャントソースガスから形成され、エッチングマスクによって保護されていないワークピースの領域をエッチングすることで、所望のパターンを残す。
基板のプラズマエッチングの際には、フォトレジストによって形成されたポリマまたはエッチング剤によってエッチングされた材料層からなるエッチング副生成物が、周辺のチャンバハードウェア上に主に堆積する。堆積した副生成物は、基板のエッチングを続けるごとに蓄積していく。基板製造のデバイスの歩留まりを向上させるためには、再生可能なチャンバを維持することが重要であり、それは、1または複数の基板がエッチングされた後に、定期的にチャンバ洗浄処理を行うことによって実現できる。通例、チャンバ洗浄は、各基板のエッチング後に実行される。
半導体基板から誘電材料をエッチングするために、容量結合真空プラズマシステムが用いられることが多い。容量システムは、プラズマ損傷が低く、下層およびフォトレジスト層に対する選択性が高いという利点を有する。しかしながら、基板エッチング後のチャンバの洗浄に容量結合プラズマを用いると、容量結合プラズマは、比較的高いイオンエネルギを有するため、露出した静電チャックに衝撃を与える。静電チャックは、基板エッチング中に基板を支持するために用いられるものであり、露出したチャックに衝撃が与えられることで、静電チャックの寿命が短くなると共に、粒子が生成される。さらに、チャンバ内の上部電極および下部電極によって生成される容量結合プラズマは、主に、静電チャック上方の中央領域に集中するため、周辺のチャンバハードウェアの洗浄には有効でない。周辺のチャンバハードウェアを完全に洗浄するためには、洗浄時間を延長する必要があり、それは、製造のスループットに影響する。現在の容量結合プラズマリアクタでは、各基板の処理(すなわちエッチング)の後のチャンバ洗浄は、一般に、上述の制約の範囲で最適化されている。
以上の点から、静電チャックの寿命を向上させ、基板の歩留まりを改善し、製造スループットを改善する改良チャンバ洗浄機構を提供する方法および装置が求められている。
概して、本発明の実施形態は、改良チャンバ洗浄機構を提供することによって、これらの要求を満たす。また、本発明の実施形態は、エッチング処理のさらなる調整を可能にする。本発明は、処理、装置、またはシステムなど、種々の形態で実施できることを理解されたい。以下では、本発明の実施形態をいくつか説明する。
一実施形態では、プラズマを生成するよう構成されたプラズマ処理チャンバは、基板を受けるよう構成された下部電極を備えた下部電極アセンブリを備える。プラズマ処理チャンバは、上部電極と、上部電極を囲む誘導コイルとを備えた上部電極アセンブリをさらに備える。誘導コイルは、チャンバ内に規定された領域内でガスをプラズマに変換するよう構成されており、その領域は、下部電極の上面の上方に規定された範囲の外にある。
別の実施形態では、プラズマを生成するよう構成されたプラズマ処理チャンバは、基板を受けるよう構成された下部電極を備えた下部電極アセンブリを備える。プラズマ処理チャンバは、さらに、上部容量電極と、上部容量電極の上方に配置された内側誘導コイルおよび外側誘導コイルとを備えた上部電極アセンブリを備える。外側誘導コイルは、下部電極の外周の外側に規定された表面の上方に配置されている。上部容量電極は、下部電極の真上に配置されている。下部電極および上部容量電極は、第1のガスを第1のプラズマに変換するよう構成されている。外側誘導コイルは、第2のガスを第2のプラズマに変換するよう構成されている。
さらに別の実施形態では、プラズマ処理チャンバ内でプラズマを生成する方法は、プロセスガスをプラズマ処理チャンバ内に供給する動作を備える。プラズマを生成する方法は、誘導コイルに電力を供給することによってプラズマを生成する動作を備える。誘導コイルは、上部電極アセンブリの一部であり、上部電極アセンブリの上部電極の周りを囲む。また、誘導コイルは、上部容量電極の下方に配置された下部電極の外周の外側に規定された表面の上に配置されている。
本発明のその他の態様および利点については、本発明の原理を例示した添付図面を参照しつつ行う以下の詳細な説明から明らかになる。
以下では、より効果的かつ柔軟な改良型チャンバ洗浄/エッチングシステム、方法、および装置のいくつかの代表的な実施形態について説明する。当業者にとって明らかなように、本発明は、本明細書で説明する具体的な詳細事項の一部または全てがなくとも実施可能である。
上述のように、各基板のエッチング後にチャンバ壁面状態を再生可能にすれば、歩留まりが向上する。効果的なその場(in−situ)チャンバ洗浄が、次世代のプラズマエッチングリアクタの重要な特徴になっている。本発明の一実施形態は、基板支持を囲む第2のプラズマ源を提供する。第2のプラズマ源は、処理チャンバの周辺付近に配置されており、基板エッチング後のチャンバ洗浄動作中に始動されて、基板エッチング中にエッチング副生成物が蓄積した周辺のチャンバハードウェアを洗浄することができる。図1Aは、基板支持を囲む第2のプラズマ源を有するプラズマ処理装置100の断面図である。この実施形態は、導電材料からなる下部電極131を備えており、下部電極131は、RF(高周波)整合器138およびRF電源139に対して動作可能に接続されている。下部電極131は、基板支持を兼ねており、下部電極アセンブリ130の一部である。一実施形態では、RF電源139は、多重周波数電源である。例えば、電源は、約400kHzないし約60MHzの間の範囲の混合周波数を有することができる。RF電力が下部電極131から処理チャンバ内のガスに供給されると、プラズマが生成される。供給されると、プラズマが生成される。一実施形態では、RF電源は、約1ワットないし約10ワットまでのRF電力レベルを供給する。
一実施形態では、下部電極アセンブリ130は、約−20℃ないし約70℃の温度範囲に基板を冷却できる組み込み冷却機構(図示せず)を有する。基板支持の周囲には、基板処理の際にさらなる接地路をプラズマに提供する導電リング133が配置されている。下部電極131と導電リング133との間には、基板支持と導電リング133とを絶縁する誘電リング132が設けられている。
一例では、下部電極131は、300mm基板を受けるよう適合された領域を有するが、それに限定されない。一実施形態では、電力供給された下部電極131は、基板(図示せず)を受けると共に、基板エッチング動作中に基板を下部電極131に固定するよう構成されている。基板は、周知のシステムおよび方法を用いて、下部電極に静電的に固定すなわち「チャック」される。これら周知のシステムおよび方法は、チャックおよびデチャックのための高電圧電極(図示せず)を組み込んで、誘電材料で被覆した下部電極131を含む。プラズマ処理装置100は、アース135を通して接地されたチャンバ壁140を備える。アース135は、誘電材料136によって下部電極131から隔離されている。
第1の上部電極111が、下部電極131の上方の近い距離に配置されている。一般に、上部電極111は、汚染を避けるために、基板に適合した材料から形成される。第1の上部電極111は、上部電極アセンブリ110の一部であり、アース148に接続され、RF電力のための完全な電気回路を提供している。第1の上部電極111は、下部電極131と異なる表面積を有してよい。上部電極アセンブリ110は、チャンバカバー117に接続されており、チャンバカバー117は、アース118に接地されている。例えば、第1の上部電極111は、ケイ素または炭化ケイ素などの導電材料からなるが、これに限定されない。さらに、例えば、第1の上部電極111は、下部電極から約2cmないし約3cmに位置するが、これに限定されない。
上部電極111の周囲には、誘導コイル112からなる第2の上部電極112が配置されている。誘導コイル112は、誘電材料113内に埋め込まれている。コイル112は、RF整合器126に接続されており、RF整合器126は、RF電源127に接続されている。一実施形態では、RF電源127は、混合周波数電力を供給できる。RF電源127の電力周波数は、約400kHzないし約26MHzの範囲の単一周波数または多重周波数であってよい。一実施形態では、誘導結合プラズマを生成する電力は、約0ワットないし約2000ワットの間である。
ファラデーシールド114が、誘電材料113の下方に配置されている。導電ブロック115が、誘電材料113を囲んでおり、ファラデーシールド114と電気的に接触することで、下部電極131からのRF電力のための接地路を提供している。ファラデーシールド114の下方と外縁の周囲とに、凹型の誘電リング116が配置されている。一実施形態では、誘電リング116は、石英からなる。
ファラデーシールド114は、電極のいずれかからのRF電力にRF接地路を提供する。ファラデーシールド114は、また、処理チャンバ内の接地面積と電力供給面積との間の面積比を維持する助けとなるため、容量結合プラズマ処理中のチャンバ内の電圧降下は、誘導コイル112の導入によって変化しない。同じ面積比を維持することにより、誘導コイル112を導入しても、一貫したエッチング処理が可能になる。さらに、ファラデーシールド114は、誘導源からの電場を遮蔽し、誘導プラズマ処理中の誘導コイル112からの容量結合を最小限に抑えて、チャンバ構成要素のスパッタリングを回避する。ファラデーシールドの設計方法についての詳細は、共に譲渡された米国特許出願No.10/232,564、2002年8月30日出願、「Faraday Shield Disposed within an Inductively Coupled Plasma Etching Chamber」、および、米国特許出願No.10/345,582、2003年1月15日出願、「Dual Interleaved Faraday Shieleds For An Inductively Coupled Plasma Etching Chamber」に記載されている。チャンバ洗浄中に、コイル112は、RF電源127によって電力供給され、領域150で誘導結合プラズマを生成する。チャンバ洗浄中に、導電リング133は接地され、下部電極は浮遊しているため、領域150の誘導結合プラズマは、主に、誘導コイル112と導電リング133との間に集中する。
第1の上部電極111および第2の上部電極122の周囲には、絶縁体120が配置されている。絶縁体120の下方には、プラズマ閉じ込めリング121、122、123が配置されている。1または複数のプラズマ閉じ込めリングが設けられることを理解されたい。閉じ込めリング121、122、123は、処理チャンバ内で生成されたプラズマを閉じ込める。一実施形態では、閉じ込めリング121、122、123は、石英からなる。プラズマ閉じ込めリングについてのさらなる詳細は、共に譲渡された米国特許No.6,744,212、2004年6月1日発行、「Plasma Processing Apparatus And Method For Confining An RF Plasma Under Very High Gas Flow and RF Power Density Conditions」、および、米国特許No.6,872,281、2005年3月29日発行、「Chamber Configuration For Confining A Plasma」に記載されている。
ガス供給手段128が、上部電極アセンブリ110の中央部に接続されている。ガス供給手段128によって処理チャンバ100内に供給されるガスは、単一のガスであってもよいし、2以上のガスの混合ガスであってもよい。一実施形態では、ガスが上部電極アセンブリ110に到達すると、ガス供給手段128は、第1の上部電極111の中央部と縁部との両方から、処理チャンバにガスを供給する。一実施形態では、第1の上部電極111は、ガス分配シャワーヘッドを兼ねている。例えば、総ガス流量は、最大1500sccmであるが、これに限定されない。一実施形態では、上部電極アセンブリ110は、さらに、上部電極111の温度を約22℃ないし約200℃の間に維持するために利用可能な加熱板(図示せず)を有する。
上述のように、上部電極111は、アース148によって接地されている。上部電極111は、さらに、RF電源(図示せず)またはDC電源(図示せず)に接続されてもよい。第1の上部電極111と下部電極131との間の容量結合プラズマのための電源は、下部電極131ではなく、第1の上部電極111に接続された電源から供給されてもよい。上部電極111から電力が供給される場合には、下部電極131は接地される。あるいは、上部および下部電極が、RF電源を提供してもよい。例えば、第1の上部電極111のためのRF電源は、2MHz、27MHz、および60MHzの混合周波数を有してもよい。
誘導プラズマ源は、チャンバ壁の材料の有意なスパッタリングを起こすことなく、周辺領域150内で高密度プラズマを生成できる。上述のように、チャンバ壁の材料のスパッタリングは、チャンバを汚染したり、部品の寿命を短くしたりする可能性がある。誘導プラズマ源は、エッチング処理プラズマと接触する周辺のチャンバハードウェア上に堆積したポリマ(すなわち、エッチング副生成物)を効果的に洗浄できる。
図1Bは、チャンバ洗浄プラズマを生成するための処理の流れの一実施形態を示すフローチャートである。動作161において、チャンバ洗浄ガス(O2、CF4など)が、プラズマ処理チャンバに供給される。動作163において、チャンバ洗浄プラズマを生成するために、RF電力が、プラズマ処理チャンバ100の誘導コイル112に供給される。次いで、チャンバ洗浄プラズマは、チャンバの洗浄に利用されてよい。チャンバ洗浄中に、下部電極131は浮遊している。領域150内のチャンバ洗浄プラズマは、下部電極131から離れており、主に、図1Aの誘導電極112と導電リング133との間に存在する。
典型的な平行板容量結合プラズマは、エッチング処理中に基板(すなわち、ウエハ)の縁部付近でプラズマ密度の低下を示す。誘導プラズマ源は、半径方向の均一性の調整を可能にする。誘導プラズマをエッチング処理中に導入することで、主な容量性電力のRF接地路に影響を与えることなく、基板の縁部におけるプラズマ密度を増大させることができる。RF接地路は、ファラデーシールドの利用の影響を受けないことを理解されたい。さらに、誘導源プラズマは、基板上で高いプラズマ密度および非常に低いイオンエネルギを必要とする処理(例えば、低誘電体のエッチングのためのフォトレジスト剥離)に、プラズマを提供することもできる。その結果、誘導源プラズマの利用によって、リアクタのエッチングプロセスウィンドウを広げることができる。
図1Cは、エッチングプラズマを生成するための処理の流れの一実施形態を示すフローチャートである。動作171において、1または複数のエッチングガス(高アスペクト比コンタクトエッチング(HARC)のためのAr、C48、およびO2、または、酸化物技術のためのAr、CF4、CHF3、およびO2)が、プラズマ処理チャンバに供給される。エッチングガスが処理チャンバに導入されると、動作173において、エッチングプラズマを生成するために、RF電力が、容量電極と誘導電極とに供給される。生成されたエッチングプラズマは、容量成分と誘導成分とを有する。基板縁部付近の誘導成分は、上述のように、基板縁部におけるプラズマ密度を増大させて、基板縁部におけるプラズマ密度の低下を補う。したがって、基板の縁部における誘導成分の追加によって、エッチングプラズマは、基板表面にわたって、より均一になることができる。
図2は、図1Aの上述の実施形態110の変形例200を示す図である。上部電極アセンブリ210には、2組の誘導コイル212Aおよび212Bが設けられている。2組の誘導コイルは、1つの内側コイル212Aおよび1つの外側コイル212Bからなる。ファラデーシールド214は、内側コイル212Aおよび外側コイル212Bの両方に対応するために、上部電極211全体の上に配置されている。ファラデーシールド214は、上述した図1Aのファラデーシールド114と同様の機能を有する。2組のコイル212Aおよび212Bは両方とも、RF整合器226に接続されており、RF整合器226は、RF電源227に接続されている。2組のコイル212Aおよび212Bが配置されたため、プロセスガスは、中央ガス供給手段228Bおよび周縁ガス供給手段228Aを通して、シャワーヘッドを兼ねる上部電極211に供給される。図2の他の構成要素は、図1Aを参照して上述したものと同様である。
チャンバ洗浄の際、洗浄プラズマを生成するために、両方の誘導コイル212Aおよび212B、または、外側コイル212Bのみ、に電力供給を行うことができる。チャンバ洗浄の際に両方のコイルに電力供給する場合には、ある割合の電力(例えば、0%ないし50%)が内側コイルに供給され、残りの電力(例えば、50%ないし100%)が外側コイル112Bに供給される。弱い誘電プラズマは、洗浄中に静電チャックを損傷しないことを理解されたい。また、内側誘電コイルを追加することで、チャンバ洗浄処理についてさらなる処理調整が可能になることを理解されたい。内側および外側のコイルに、異なる周波数または混合周波数で電力供給することも可能である。その場合、内側および外側のコイルが、別個の電源を必要とする。すなわち、さらなる電源が追加される。
一実施形態では、まず、プラズマ処理チャンバ内に洗浄ガス(または、洗浄混合ガス)を供給して、次に、全電力をコイル212Bに供給することで誘導コイル212Aおよび212Bに電力供給することにより、領域250内で誘導プラズマが生成される。チャンバ洗浄動作中には、下部電極231は浮遊したままでよく、導電リング233は接地される。
上述のように、誘導プラズマ源は、チャンバを汚染したり部品の寿命を短くしたりする可能性があるチャンバ壁材料の有意なスパッタリングを起こすことなく、周辺領域に高密度のプラズマを生成することができる。誘導プラズマ源は、エッチング処理プラズマと接触する周辺のチャンバハードウェア上に堆積したポリマ(すなわち、エッチング副生成物)を効果的に洗浄できる。
容量プラズマ電力と同様に、エッチングプラズマの均一性を調整するために、誘導コイル212Aおよび212Bの両方または一方に電力供給してよい。上部電極211と下部電極231との間で生成された容量結合プラズマに加えて、誘導電源212Aおよび212Bは、誘導プラズマを生成するためにオンにされてよく、エッチング処理中の基板にわたるプラズマの均一性を改善するために調整されてよい。容量結合プラズマでは、通例、基板の縁部におけるプラズマ密度が低い。図1Aの1または複数のコイル112、および、図2の1または複数の外側コイル212Bは、基板の縁部におけるイオン密度を上昇させる助けとなりうる。図2の1または複数の内側コイル212Aおよび1または複数の外側コイル212Bは、プラズマ密度を調整するために、同時に用いられてもよい。上述のように、ある割合の電力が、1または複数の内側コイル212Aに供給されてよく、残りの電力が、1または複数の外側コイル212Bに供給されてよい。図2の内側および外側のコイル212Aおよび212Bは、エッチング処理のさらなる処理調整を可能にする。一部の応用例では、誘導コイル(内側、外側、または両方)からの誘導電力だけを用いて、誘導プラズマを生成する。
ファラデーシールド214を用いることにより、RF接地路は影響を受けなくなっている。さらに、誘導源プラズマは、基板上で高いプラズマ密度および非常に低いイオンエネルギを必要とする処理(例えば、フォトレジストの剥離または低誘電体のエッチング)に、プラズマを提供することもできる。したがって、2組の誘電コイルを利用することで、エッチング処理のためのプロセスウィンドウが広くなる。図2に示した実施形態によってエッチングプラズマを生成するための処理の流れは、単一の誘導電極が2つの誘導電極(コイル212Aおよび212B)に置き換えられていることを除いて、図1Cの処理の流れと同様である。生成されたエッチングプラズマは、容量成分と誘導成分とを有する。誘導成分を調整して、基板にわたるプラズマの均一性を向上させることができる。
図3Aには、本発明の別の実施形態300が示されている。図3Aでは、内側下部電極331に加えて、外側下部電極335が、導電リング333の下に配置されている。内側下部電極331は、基板を支持するよう構成されている。外側下部電極335は、内側下部電極331と同じRF電源339に接続されることが可能であり、下部電極アセンブリ330の一部である。RF電源339は、約400kHzないし約60MHzの範囲の単一周波数または多重周波数を供給できる。RF電源339は、内側下部電極331と外側下部電極335とに電力を供給する電源であり、RF整合器338に接続されている。一実施形態では、スイッチ336が、それらの電極へのRF電力の印加を制御する。基板のエッチング中に、スイッチ336は、内側下部電極331に供給されるようRF電力を制御する。この処理中に、外側下部電極335は、アース337に接続されてもよいし、RF調整ブロックキット357に接続されてもよい。RF調整ブロックキット357は、電源339によって内側下部電極331に供給されるRF電力の特定の周波数を選択的に接地することを可能にする。例えば、内側下部電極331に供給されるRF電力が、2MHz、27MHz、および60MHzを含む場合、RF調整ブロックキット357による選択的な接地を用いて、1または2の特定の周波数(例えば、60MHz)を接地することで、エッチング処理の均一性を調整することができる。
内側下部電極331と外側下部電極335とに、同時または交互に電力を供給するために、別個の電源を用いてもよい。図3Aの他の構成要素は、図1Aを参照して上述したものと同様である。チャンバ洗浄の際には、電力が、外側下部電極335に供給されることで、周辺チャンバを洗浄するための容量結合プラズマが領域350に提供される。領域350の容量結合プラズマは、上部電極311と外側下部電極335との間で生成される。洗浄処理の間、下部電極は浮遊していてよいため、容量結合洗浄プラズマ、および、その悪影響を、露出した内側下部電極331(すなわち、静電チャック)から遠ざけることができる。すなわち、洗浄プラズマは、内側下部電極(すなわち、静電チャック)に衝撃を与えないため、内側下部電極(すなわち、静電チャック)の寿命を延ばすことになる。さらに、従来の洗浄技術と比べて、容量結合プラズマを用いた時に、下部電極331から生成される粒子は多くない。
周辺の容量プラズマ源は、周辺領域350で高密度のプラズマを生成する。容量プラズマ源は、エッチング処理プラズマと接触する周辺のチャンバハードウェア上に堆積したポリマ(すなわち、エッチング副生成物)を効果的に洗浄できることを理解されたい。
図3Bは、チャンバ洗浄プラズマを生成するための処理の流れの一実施形態を示すフローチャートである。動作361において、チャンバ洗浄ガス(O2、CF4など)が、プラズマ処理チャンバに供給される。動作363において、チャンバ洗浄プラズマを生成するために、RF電力が、プラズマ処理チャンバの外側下部電極に供給される。次いで、チャンバ洗浄プラズマは、チャンバの洗浄に利用されてよい。チャンバ洗浄中に、内側下部電極は浮遊したままになっている。周辺領域のチャンバ洗浄プラズマは、下部電極から十分に離れており、主に、外側下部電極と上部電極との間に配置される。
さらに、周辺の容量プラズマ源は、半径方向の均一性の調整を可能にする。周辺の容量プラズマは、主要な容量結合プラズマの電極の縁部付近でのエッチングプラズマの均一性を改善するために、エッチング処理中に導入されてよい。
図3Cは、エッチングプラズマを生成するための処理の流れの一実施形態を示すフローチャートである。動作371において、1または複数のエッチングガス(高アスペクト比コンタクトエッチング(HARC)のためのAr、C48、およびO2、または、酸化物技術のためのAr、CF4、CHF3、およびO2)が、プラズマ処理チャンバに供給される。動作373において、エッチングプラズマを生成するために、RF電力が、内側下部電極331および外側下部電極335に供給される。外側下部電極は、基板の縁部付近におけるプラズマの密度を増大させる助けとなる。
図4には、本発明の別の実施形態が示されている。図4には、誘導コイルによって形成された第2の下部電極435が、導電リング433およびファラデーシールド414の下に配置されている。第2の下部電極435は、第1の下部電極431と同じRF電源に接続されることが可能である。RF電源439は、第1の下部電極431または第2の下部電極435にRF電力を供給し、スイッチ436によって制御される。RF電源439は、約400kHzないし約60MHzの範囲の単一周波数または多重周波数を供給できる。RF電源439は、内側下部電極431と外側下部電極335とに電力を供給する電源であり、RF整合器438に接続されている。一実施形態では、スイッチ436が、それらの電極へのRF電力の印加を制御する。基板のエッチング中に、スイッチ436は、内側下部電極431に供給されるようRF電力を制御する。この処理中に、外側下部電極335は、アース437に接続されてもよいし、RF調整ブロックキット457に接続されてもよい。RF電源439は、約400kHzないし約60MHzの範囲の単一周波数または多重周波数を供給できる。RF電源439は、内側下部電極431と外側下部電極435とに電力を供給する電源であり、RF整合器438に接続されている。一実施形態では、スイッチ436が、それらの電極へのRF電力の印加を制御する。基板のエッチング中に、スイッチ436は、内側下部電極431に供給されるようRF電力を制御する。この処理中に、外側下部電極435は、アース437に接続されてもよいし、RF調整ブロックキット457に接続されてもよい。
下部電極431と第2の下部電極435とにRF電力を供給するために、別個のRF電源を用いてもよい。基板エッチング中には、下部電極431に電力が供給される。図4の他の構成要素は、図1Aを参照して上述したものと同様である。
チャンバ洗浄の際には、まず、洗浄ガスがプラズマ処理チャンバに供給される。その後、電力が、第2の下部電極435に供給されることで、周辺チャンバハードウェアを洗浄するための誘導結合プラズマが領域450に提供される。領域450の誘導結合プラズマは、上部電極411と第2の下部電極435との間で生成され、主に、チャンバの縁部付近に存在する。プラズマは、チャンバの縁部付近に存在し、誘導源(低イオンエネルギ)から生成されるため、それほど下部電極(すなわち、静電チャック)に衝撃を与えることがなく、下部電極(すなわち、静電チャック)の寿命を延ばすことになる。さらに、プラズマは、容量結合プラズマを利用した場合ほど多くの粒子を第1の下部電極431から生成することがない。
上述のように、誘導プラズマ源は、チャンバを汚染したり部品の寿命を短くしたりする可能性があるチャンバ壁材料の有意なスパッタリングを起こすことなく、周辺領域に高密度のプラズマを生成することができる。誘導プラズマ源は、従来技術の悪影響を排除して、エッチング処理プラズマと接触する周辺のチャンバハードウェア上に堆積したポリマ(すなわち、エッチング副生成物)を効果的に洗浄できる。
典型的な平行板容量結合プラズマは、エッチング処理中にチャンバの縁部付近でプラズマ密度の低下を示す。上述の誘導プラズマ源は、半径方向の均一性の調整を可能にする。誘導プラズマをエッチング処理中に導入することで、主な容量性電力のRF接地路に影響を与えることなく、電極の縁部におけるプラズマ密度を増大させることができる。さらに、誘導源プラズマは、基板上で高いプラズマ密度および非常に低いイオンエネルギを必要とする処理(例えば、フォトレジストの剥離または低誘電体のエッチング)に、プラズマを提供することもできる。したがって、追加の周辺電極を利用することで、エッチング処理のためのプロセスウィンドウを広くすると共に、エッチング動作の合間のチャンバの洗浄をより効果的に行うことができる。
上述のプラズマ処理チャンバは、デュアルダマシン多段処理、高アスペクト比コンタクトエッチング(HARC)、剥離など、広範囲の処理用途のための様々なプラズマ密度、イオンエネルギ、および薬剤制御を提供すると共に、容量プラズマ源と誘導プラズマ源との組み合わせによる効果的なチャンバ洗浄を行う。一実施形態では、この効果的なチャンバ洗浄を次世代の粒子抑制に適用して、歩留まりを向上させると共に、エッチングチャンバで用いられる静電チャックの寿命を延ばすことができる。
上述のプラズマ処理チャンバは、基板に対するプロセスパラメータの半径方向の均一性を制御することを可能にする。多段階のレシピを用いる処理用途は、中央部から縁部までの幅広い均一性を実現する様々な処理圧力、RF電力、および薬剤を伴う。フィーチャのサイズは小さくなり続けているが、その場での(in−situ)調整が可能になることで、多段階のレシピを用いる処理用途について厳密な均一性の制御を維持するための柔軟性が提供される。
理解を深めるために、上述の発明について、ある程度詳しく説明したが、添付の特許請求の範囲内で、ある程度の変更や変形を行ってもよいことは明らかである。したがって、本実施形態は、例示的なものであって、限定的なものではないとみなされ、本発明は、本明細書に示した詳細に限定されず、添付の特許請求の範囲および等価物の範囲内で変形されてよい。
基板エッチングシステムの一実施形態を示す説明図である。 プラズマシステム内で洗浄プラズマを生成する処理の流れを示すフローチャートである。 プラズマシステム内でエッチングプラズマを生成する処理の流れを示すフローチャートである。 基板エッチングシステムの別の実施形態を示す説明図である。 基板エッチングシステムの別の実施形態を示す説明図である。 プラズマシステム内で洗浄プラズマを生成する処理の流れを示すフローチャートである。 プラズマシステム内でエッチングプラズマを生成する処理の流れを示すフローチャートである。 基板エッチングシステムのさらに別の実施形態を示す説明図である。

Claims (24)

  1. プラズマを生成するよう構成されたプラズマ処理チャンバであって、
    基板を受けるよう構成された下部電極を備えた下部電極アセンブリと、
    上部電極と、前記上部電極を囲む誘導コイルとを備えた上部電極アセンブリと、
    を備え、
    前記誘導コイルは、前記チャンバ内に規定された領域内でガスをプラズマに変換するよう構成されており、前記領域は、前記下部電極の上面の上方に規定された範囲の外にある、プラズマ処理チャンバ。
  2. 請求項1に記載のプラズマ処理チャンバであって、さらに、
    前記誘導コイルの下方に配置されたファラデーシールドを備える、プラズマ処理チャンバ。
  3. 請求項1に記載のプラズマ処理チャンバであって、さらに、
    前記プラズマが実質的に配置される容積を囲み、前記プラズマ処理チャンバ内で前記下部電極と平行に吊り下げられた複数の閉じ込めリングを備える、プラズマ処理チャンバ。
  4. 請求項1に記載のプラズマ処理チャンバであって、さらに、
    前記下部電極を囲み、誘電リングによって前記下部電極から隔てられている導電リングを備える、プラズマ処理チャンバ。
  5. 請求項1に記載のプラズマ処理チャンバであって、RF電源が、前記誘導コイルに接続されており、約400kHzないし約27MHzの範囲の単一周波数または多重周波数を有するRF電力を供給することによって、前記プラズマを生成する、プラズマ処理チャンバ。
  6. 請求項1に記載のプラズマ処理チャンバであって、前記誘導コイルは、誘電材料によって前記上部電極から隔てられている、プラズマ処理チャンバ。
  7. 請求項1に記載のプラズマ処理チャンバであって、前記プラズマはチャンバ洗浄プラズマである、プラズマ処理チャンバ。
  8. 請求項1に記載のプラズマ処理チャンバであって、前記上部電極は、アースに接続されている、プラズマ処理チャンバ。
  9. 請求項1に記載のプラズマ処理チャンバであって、前記上部電極、前記誘導コイル、および、前記下部電極は、1または複数のエッチングガスをエッチングプラズマに変換するよう構成されている、プラズマ処理チャンバ。
  10. 請求項9に記載のプラズマ処理チャンバであって、前記誘導コイルと、前記上部電極または前記下部電極とは、前記1または複数のエッチングガスを前記エッチングプラズマに変換するようRF電力を供給される、プラズマ処理チャンバ。
  11. プラズマを生成するよう構成されたプラズマ処理チャンバであって、
    基板を受けるよう構成された下部電極を備えた下部電極アセンブリと、
    上部容量電極と、前記上部容量電極の上方に配置された内側誘導コイルおよび外側誘導コイルとを備えた上部電極アセンブリと、
    を備え、
    前記外側誘導コイルは、前記下部電極の外周の外側に規定された表面の上方に配置され、前記上部容量電極は、前記下部電極の真上に配置され、前記下部電極と、前記上部容量電極とは、第1のガスを第1のプラズマに変換するよう構成され、前記外側コイルは、第2のガスを第2のプラズマに変換するよう構成されている、プラズマ処理チャンバ。
  12. 請求項11に記載のプラズマ処理チャンバであって、さらに、
    前記内側および外側誘導コイルの下方に配置されたファラデーシールドを備える、プラズマ処理チャンバ。
  13. 請求項11に記載のプラズマ処理チャンバであって、さらに、
    前記第1のプラズマまたは前記第2のプラズマが実質的に配置される容積を囲み、前記プラズマ処理チャンバ内で前記下部電極と平行に吊り下げられた複数の閉じ込めリングを備える、プラズマ処理チャンバ。
  14. 請求項11に記載のプラズマ処理チャンバであって、さらに、
    前記下部電極を囲む導電リングを備え、前記導電リングは、誘電リングによって前記下部電極から隔てられており、プラズマ処理中には接地される、プラズマ処理チャンバ。
  15. 請求項11に記載のプラズマ処理チャンバであって、前記第2のプラズマは、実質的に、前記プラズマ処理チャンバ内に規定された領域内に配置され、前記領域は、前記下部電極の上面の上方に規定された範囲の外にある、プラズマ処理チャンバ。
  16. 請求項15に記載のプラズマ処理チャンバであって、前記第2のプラズマは、チャンバ洗浄プラズマであり、前記下部電極は、前記第2のプラズマが生成される時に接地される、プラズマ処理チャンバ。
  17. 請求項11に記載のプラズマ処理チャンバであって、RF電源が、前記外側誘導コイルに接続されており、約400kHzないし約27MHzの範囲の単一周波数または多重周波数を有するRF電力を供給することによって、前記第2のガスを前記第2のプラズマに変換する、プラズマ処理チャンバ。
  18. 請求項11に記載のプラズマ処理チャンバであって、
    前記下部電極および前記上部容量電極は、RF電力を供給されて、前記第1のガスを前記第1のプラズマに変換し、
    前記第1のガスが前記第1のプラズマに変換される時に、前記内側および外側誘導コイルにもRF電力が供給される、プラズマ処理チャンバ。
  19. 請求項18に記載のプラズマ処理チャンバであって、RF電源が、前記上部容量電極または前記下部電極のいずれかに接続され、別のRF電源が、前記内側および外側誘導コイルに接続されている、プラズマ処理チャンバ。
  20. プラズマ処理チャンバ内でプラズマを生成する方法であって、
    プロセスガスを前記プラズマ処理チャンバ内に供給する動作と、
    上部電極アセンブリの一部であり前記上部電極アセンブリの上部電極の周りを囲む誘導コイルに電力を供給することによって、プラズマを生成する動作と、
    を備え、
    前記誘導コイルは、前記上部容量電極の下方に配置された下部電極の外周の外側に規定された表面の上に配置されている、方法。
  21. 請求項20に記載の方法であって、前記プラズマを生成する動作は、電力が前記下部電極に到達することを防止して、前記下部電極を浮遊した状態に保つことを含む、方法。
  22. 請求項20に記載の方法であって、前記プラズマは、チャンバ洗浄プラズマであり、実質的に、前記下部電極の表面の外側に配置される、方法。
  23. 請求項20に記載の方法であって、前記プラズマを生成する動作中に、前記上部電極は、前記プラズマ生成動作の間、接地される、方法。
  24. 請求項20に記載の方法であって、ファラデーシールドが、前記誘導コイルの下方に配置されて、前記下部電極からの前記電力のためのRF接地路を提供すると共に、前記誘導コイルからの容量結合を抑制する、方法。
JP2008556369A 2006-02-27 2007-02-16 プラズマエッチングチャンバのための統合型の容量および誘導電源 Active JP5215875B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/363,703 US8911590B2 (en) 2006-02-27 2006-02-27 Integrated capacitive and inductive power sources for a plasma etching chamber
US11/363,703 2006-02-27
PCT/US2007/004224 WO2007100528A2 (en) 2006-02-27 2007-02-16 Integrated capacitive and inductive power sources for a plasma etching chamber

Publications (2)

Publication Number Publication Date
JP2009528676A true JP2009528676A (ja) 2009-08-06
JP5215875B2 JP5215875B2 (ja) 2013-06-19

Family

ID=38442879

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008556369A Active JP5215875B2 (ja) 2006-02-27 2007-02-16 プラズマエッチングチャンバのための統合型の容量および誘導電源

Country Status (8)

Country Link
US (1) US8911590B2 (ja)
EP (1) EP1989007A4 (ja)
JP (1) JP5215875B2 (ja)
KR (1) KR101342319B1 (ja)
CN (1) CN101426949B (ja)
SG (2) SG170030A1 (ja)
TW (2) TWI460785B (ja)
WO (1) WO2007100528A2 (ja)

Families Citing this family (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7909960B2 (en) * 2005-09-27 2011-03-22 Lam Research Corporation Apparatus and methods to remove films on bevel edge and backside of wafer
US20070068623A1 (en) * 2005-09-27 2007-03-29 Yunsang Kim Apparatus for the removal of a set of byproducts from a substrate edge and methods therefor
US8012306B2 (en) * 2006-02-15 2011-09-06 Lam Research Corporation Plasma processing reactor with multiple capacitive and inductive power sources
CN104821269B (zh) * 2006-05-22 2017-05-10 吉恩株式会社 感应耦合等离子体反应器
US7879184B2 (en) * 2006-06-20 2011-02-01 Lam Research Corporation Apparatuses, systems and methods for rapid cleaning of plasma confinement rings with minimal erosion of other chamber parts
US8398778B2 (en) 2007-01-26 2013-03-19 Lam Research Corporation Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter
KR101545525B1 (ko) * 2007-07-12 2015-08-19 어플라이드 머티어리얼스, 인코포레이티드 기판 에지 구역을 프로세싱하기 위한 장치 및 방법
US20090286397A1 (en) * 2008-05-15 2009-11-19 Lam Research Corporation Selective inductive double patterning
US20100098875A1 (en) * 2008-10-17 2010-04-22 Andreas Fischer Pre-coating and wafer-less auto-cleaning system and method
JP5391659B2 (ja) * 2008-11-18 2014-01-15 東京エレクトロン株式会社 プラズマ処理装置
US20110139748A1 (en) * 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
US20110204023A1 (en) * 2010-02-22 2011-08-25 No-Hyun Huh Multi inductively coupled plasma reactor and method thereof
US20120258607A1 (en) * 2011-04-11 2012-10-11 Lam Research Corporation E-Beam Enhanced Decoupled Source for Semiconductor Processing
KR101297264B1 (ko) * 2011-08-31 2013-08-16 (주)젠 이중 유도 결합 플라즈마 소스를 갖는 플라즈마 반응기
US20130098390A1 (en) * 2011-10-25 2013-04-25 Infineon Technologies Ag Device for processing a carrier and a method for processing a carrier
US9508530B2 (en) 2011-11-21 2016-11-29 Lam Research Corporation Plasma processing chamber with flexible symmetric RF return strap
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US9263240B2 (en) * 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
WO2013078098A1 (en) * 2011-11-23 2013-05-30 Lam Research Corporation Multi zone gas injection upper electrode system
JP6480417B2 (ja) * 2013-03-28 2019-03-13 ザ ケマーズ カンパニー エフシー リミテッド ライアビリティ カンパニー ヒドロフルオロオレフィンエッチングガス混合物
EP2849204B1 (de) 2013-09-12 2017-11-29 Meyer Burger (Germany) AG Plasmaerzeugungsvorrichtung
US20150318150A1 (en) * 2014-04-30 2015-11-05 Lam Research Corporation Real-time edge encroachment control for wafer bevel
KR102278074B1 (ko) * 2014-06-30 2021-07-19 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
CN106548914B (zh) * 2015-09-17 2018-10-30 中微半导体设备(上海)有限公司 一种等离子体处理设备及其清洗***和方法
CN106611691B (zh) * 2015-10-26 2018-10-12 中微半导体设备(上海)有限公司 多频脉冲等离子体处理装置及其处理方法和清洗方法
CN106920726B (zh) * 2015-12-24 2018-10-12 中微半导体设备(上海)有限公司 等离子体处理装置及其清洗方法
CN107154332B (zh) * 2016-03-03 2019-07-19 中微半导体设备(上海)股份有限公司 一种等离子体处理装置及方法
CN107369604B (zh) * 2016-05-12 2019-10-11 北京北方华创微电子装备有限公司 反应腔室及半导体加工设备
CN108227413B (zh) * 2016-12-15 2023-12-08 中微半导体设备(上海)股份有限公司 一种光刻胶去除装置及其清洗方法
WO2018121896A1 (en) 2016-12-27 2018-07-05 Evatec Ag Rf capacitive coupled dual frequency etch reactor
CN109524324B (zh) * 2017-09-19 2021-01-26 长鑫存储技术有限公司 半导体刻蚀设备
US10763150B2 (en) * 2017-09-20 2020-09-01 Applied Materials, Inc. System for coupling a voltage to spatially segmented portions of the wafer with variable voltage
US10784091B2 (en) * 2017-09-29 2020-09-22 Taiwan Semiconductor Manufacturing Co., Ltd. Process and related device for removing by-product on semiconductor processing chamber sidewalls
US11177067B2 (en) 2018-07-25 2021-11-16 Lam Research Corporation Magnetic shielding for plasma sources
CN113113280B (zh) * 2020-01-09 2022-06-10 江苏鲁汶仪器有限公司 等离子体处理***及其开合法拉第组件
CN114496693A (zh) * 2020-11-11 2022-05-13 中微半导体设备(上海)股份有限公司 多区加热装置、下电极组件、等离子处理装置及调温方法
US20240203709A1 (en) * 2021-04-09 2024-06-20 Jusung Engineering Co., Ltd. Substrate processing method and substrate processing device

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10149899A (ja) * 1996-10-18 1998-06-02 Applied Materials Inc 円錐形ドームを有する誘電結合平行平板型プラズマリアクター
JPH10189296A (ja) * 1996-10-24 1998-07-21 Applied Materials Inc 平行板電極プラズマリアクタ
JPH11121436A (ja) * 1997-10-09 1999-04-30 Ulvac Corp 反応性イオンエッチング装置
JP2005536834A (ja) * 2002-06-27 2005-12-02 ラム リサーチ コーポレーション 複数の周波数に同時に応答する電極を備えたプラズマ処理装置

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5198634A (en) * 1990-05-21 1993-03-30 Mattson Brad S Plasma contamination removal process
US6165311A (en) * 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US5273588A (en) * 1992-06-15 1993-12-28 Materials Research Corporation Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means
TW293983B (ja) * 1993-12-17 1996-12-21 Tokyo Electron Co Ltd
EP0680072B1 (en) * 1994-04-28 2003-10-08 Applied Materials, Inc. A method of operating a high density plasma CVD reactor with combined inductive and capacitive coupling
US5540800A (en) * 1994-06-23 1996-07-30 Applied Materials, Inc. Inductively coupled high density plasma reactor for plasma assisted materials processing
US5685942A (en) * 1994-12-05 1997-11-11 Tokyo Electron Limited Plasma processing apparatus and method
US5688358A (en) * 1995-03-08 1997-11-18 Applied Materials, Inc. R.F. plasma reactor with larger-than-wafer pedestal conductor
US5817534A (en) * 1995-12-04 1998-10-06 Applied Materials, Inc. RF plasma reactor with cleaning electrode for cleaning during processing of semiconductor wafers
US6095084A (en) * 1996-02-02 2000-08-01 Applied Materials, Inc. High density plasma process chamber
US5810937A (en) * 1996-03-13 1998-09-22 Applied Materials, Inc. Using ceramic wafer to protect susceptor during cleaning of a processing chamber
US5788799A (en) * 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
US5824607A (en) * 1997-02-06 1998-10-20 Applied Materials, Inc. Plasma confinement for an inductively coupled plasma reactor
JP3296292B2 (ja) * 1998-06-26 2002-06-24 松下電器産業株式会社 エッチング方法、クリーニング方法、及びプラズマ処理装置
US6178919B1 (en) * 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
US6518190B1 (en) 1999-12-23 2003-02-11 Applied Materials Inc. Plasma reactor with dry clean apparatus and method
US6447636B1 (en) 2000-02-16 2002-09-10 Applied Materials, Inc. Plasma reactor with dynamic RF inductive and capacitive coupling control
TW473909B (en) * 2000-07-03 2002-01-21 Nanya Technology Corp Method for forming deep trenches in semiconductor wafers
US6872281B1 (en) 2000-09-28 2005-03-29 Lam Research Corporation Chamber configuration for confining a plasma
US6716303B1 (en) * 2000-10-13 2004-04-06 Lam Research Corporation Vacuum plasma processor having a chamber with electrodes and a coil for plasma excitation and method of operating same
KR100442194B1 (ko) * 2002-03-04 2004-07-30 주식회사 씨싸이언스 웨이퍼 건식 식각용 전극
JP2004083983A (ja) * 2002-08-26 2004-03-18 Applied Materials Inc Ti膜形成方法
US8012306B2 (en) * 2006-02-15 2011-09-06 Lam Research Corporation Plasma processing reactor with multiple capacitive and inductive power sources

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10149899A (ja) * 1996-10-18 1998-06-02 Applied Materials Inc 円錐形ドームを有する誘電結合平行平板型プラズマリアクター
JPH10189296A (ja) * 1996-10-24 1998-07-21 Applied Materials Inc 平行板電極プラズマリアクタ
JPH11121436A (ja) * 1997-10-09 1999-04-30 Ulvac Corp 反応性イオンエッチング装置
JP2005536834A (ja) * 2002-06-27 2005-12-02 ラム リサーチ コーポレーション 複数の周波数に同時に応答する電極を備えたプラズマ処理装置

Also Published As

Publication number Publication date
WO2007100528A2 (en) 2007-09-07
CN101426949B (zh) 2015-05-27
CN101426949A (zh) 2009-05-06
SG10201405522RA (en) 2014-10-30
TWI447807B (zh) 2014-08-01
KR101342319B1 (ko) 2013-12-16
TWI460785B (zh) 2014-11-11
US8911590B2 (en) 2014-12-16
US20070199658A1 (en) 2007-08-30
WO2007100528A3 (en) 2008-10-23
EP1989007A4 (en) 2010-09-22
TW200802591A (en) 2008-01-01
KR20080106417A (ko) 2008-12-05
EP1989007A2 (en) 2008-11-12
SG170030A1 (en) 2011-04-29
JP5215875B2 (ja) 2013-06-19
TW201203359A (en) 2012-01-16

Similar Documents

Publication Publication Date Title
JP5215875B2 (ja) プラズマエッチングチャンバのための統合型の容量および誘導電源
JP5518174B2 (ja) プラズマを生成する方法又はプラズマチャンバの操作方法
KR101526020B1 (ko) 플라즈마 프로세싱 챔버 및 이의 내에서 기판의 베벨 에지 및 챔버 내부를 세정하는 방법
US8222157B2 (en) Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof
TWI512781B (zh) 具有可撓性對稱射頻返回帶之電漿處理腔室
US7837825B2 (en) Confined plasma with adjustable electrode area ratio
EP1840937B1 (en) Plasma processing apparatus and plasma processing method
WO2003043061A1 (en) Apparatus and method for improving etch rate uniformity

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100202

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110930

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111004

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20111219

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20111227

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120327

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120925

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121219

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130205

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130301

R150 Certificate of patent or registration of utility model

Ref document number: 5215875

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160308

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250