JP2625072B2 - 電磁rf結合を用いたプラズマ反応装置及びその方法 - Google Patents

電磁rf結合を用いたプラズマ反応装置及びその方法

Info

Publication number
JP2625072B2
JP2625072B2 JP4340841A JP34084192A JP2625072B2 JP 2625072 B2 JP2625072 B2 JP 2625072B2 JP 4340841 A JP4340841 A JP 4340841A JP 34084192 A JP34084192 A JP 34084192A JP 2625072 B2 JP2625072 B2 JP 2625072B2
Authority
JP
Japan
Prior art keywords
plasma
chamber
substrate
silicon
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP4340841A
Other languages
English (en)
Other versions
JPH06112166A (ja
Inventor
エス コリンズ ケニス
ロン ヤン チャン
ユーエン クイ ウォン ジェリー
マークス ジェフリー
アール ケズウィック ピーター
ダブリュー グローチェル ディヴィッド
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH06112166A publication Critical patent/JPH06112166A/ja
Application granted granted Critical
Publication of JP2625072B2 publication Critical patent/JP2625072B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)
  • Plasma Technology (AREA)

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明はRFプラズマリアクター
に関し、より詳細には関連するRF電磁波をプラズマに
電磁結合するための高周波(RF: Radio Frequency )
エネルギー源と、プラズマに接触しているシリコン源を
用いるプラズマリアクター、及びリアクターにおいて処
理される方法に関する。
【0002】
【従来の技術】高密度集積化の趨勢は、電気的に敏感で
約200−300ボルト程度の小さなウエハシース電圧
にさらされると、エネルギー粒子衝撃のために損傷を受
けやすい非常に小さな寸法形状の部品や装置をもたらし
た。残念ながら、かかる電圧は回路部品が標準的な集積
回路製造工程中に経験する電圧より小さい。
【0003】先端装置用に製造されるMOS型コンデン
サおよびトランジスタは非常に薄い(厚さ200オング
ストローム以下)のゲート酸化物を有する。これらの装
置は充電によって損傷することがあり、それによってゲ
ート破壊が発生する。これは表面電荷の中性化が起こら
ないときに、プラズマ電位あるいはプラズマ密度の不均
一によって、あるいは大きなRF変位電流によってプラ
ズマ処理中に発生することがある。中間接続線等の導体
もまた同じ理由で損傷を受ける場合がある。
【0004】非常に高いアスペクト比、即ち非常な深さ
と非常に狭い開口及びトレンチが種々の半導体材料に形
成され、或いは種々の半導体材料で満たされなければな
らないときは、従来のプラズマ・エッチング・チャンバ
ー内において達成されるエッチング方法は不適当であ
る。RFシステム CVD(化学蒸着)反応システムやRIE(Reactive I
on Etching)反応システム等の従来の半導体処理システ
ムをまず考察する。これらのシステムは約10−500
KHz の低い周波数から約13.56−40.68MHz の高い
周波数までの高周波エネルギーを使用することがある。
約1MHz 以下ではイオンと電子は振動電界あるいはプラ
ズマ中に発生する任意の定常状態の電界によって加速す
ることができる。このような比較的低い周波数において
は、ウエハに発生する電極シース電圧は通常1キロボル
トピーク以上であり、これは200−300ボルトの損
傷しきい値よりはるかに高い。数MHz 以上では、電子は
依然として変化する電界に追従できる。イオン量がこれ
より多くなると変化する電界に追従できず、定常状態の
電界によって加速される。この周波数範囲(および実用
的なガス圧と電力レベル)において、定常状態のシース
電圧は数百ボルトから1,000ボルト以上の範囲であ
る。磁界−増強 RFシステムのバイアス電圧を低下させるための好まし
い方法として、プラズマに磁界を加える方法がある。こ
のBフィールドは電子を基板の表面に近い領域に閉じ込
め、イオン束密度とイオン流を増大させ、したがって電
圧およびイオンエネルギー条件が低減される。比較例と
して、二酸化シリコンをエッチングするための代表的な
非磁性RIE処理には13.56MHz で印加されるRFエ
ネルギー、体積10−15リットルの非対称系、50ミ
リトルの圧力および約(8−10)対1のアノード領域
/基板支持カソード領域比を用い、約800ボルトの基
板(カソード)シース電圧を発生する。60ガウスの磁
界を印加するとバイアス電圧が約25−30%、800
ボルトから約500−600ボルトに低下し、エッチン
グ速度が約50%も増大する。
【0005】しかし、基板に平行な定常Bフィールドを
印加すると、E×Bイオン/電子ドリフトとそれに関連
する基板全体にプラズマ密度勾配が発生する。このプラ
ズマ勾配によって基板上のエッチング、蒸着その他の膜
特性の不均一が発生する。この不均一性は基板の周囲で
磁界を回転させることによって低減することができ、こ
の回転は通常永久磁石の機械的運動、あるいは90°ず
れた直角位相で駆動される電磁コイル対、あるいはコイ
ル対の電流を磁界中で制御された速度で歩進あるいはそ
の他の運動をするように瞬間的に制御することによって
低減することができる。しかし、磁界の回転によって不
均一勾配は低減されるが通常ある程度の不均一性は残
る。
【0006】さらに、コイルの設置、特に二つあるいは
それ以上の対になったコイルをチャンバーに設置してコ
ンパクトなシステムを構成することは困難であり、ヘル
ムホルツコイル構成もしくは共通のロードロックを取り
巻く個々の磁気増強された反応装置チャンバーからなる
複数チャンバーシステムを用いる場合特に困難である。
【0007】磁界の強度と方向を瞬時に選択的に変る能
力を持ち、小型複数チャンバー反応装置システムに用い
るように設計された独特な反応装置システムがCheng そ
の他の名において同時譲渡された1989年6月27日
付け米国特許第4,842,683 号に開示されている。マイクロ波/ECRシステム マイクロ波システムおよびマイクロ波ECR(電子サイ
クロトロン共鳴)システムでは、800MHz 以上で通常
2.45GHz の周波数のマイクロ波エネルギーを用いてプ
ラズマを励起する。この技術によって高密度のプラズマ
が発生するが、粒子エネルギーは二酸化シリコンの反応
性イオンエッチング等の多くの処理に対する最小反応し
きい値エネルギーより低い場合がある。これを補償する
ために、エネルギー増強用の低周波電力が基板支持電極
に結合され、また基板を通してプラズマに結合される。
このようにして、基板の損傷の可能性は従来のシステム
に比べて小さくなる。
【0008】しかしながら、エッチングあるいはCVD
といった半導体基板処理のための実用的な電力レベルで
動作するマイクロ波システムおよびマイクロ波ECRに
は電力送出用の大型導波管、高価な同調器、方向性結合
器、サーキュレータ、および動作用のダミー負荷を必要
とする。さらに、2.45GHz の商用周波数で運転される
マイクロ波ECRシステムのECR条件を満足するため
に、875ガウスの磁界が必要となり、これには電磁
石、電力および冷却仕様も大きくする必要がある。
【0009】マイクロ波システムおよびマイクロ波EC
Rシステムの拡大・縮小は容易ではない。ハードウェア
は2.45GHz について利用可能である。それはこの周波
数がマイクロ波オーブンに用いられるためである。91
5MHz のシステムも利用可能であるがコストが高くな
る。他の周波数用のハードウェアは容易にあるいは経済
的に入手することができない。その結果、5−6インチ
のマイクロ波システムを拡大してより大きな半導体基板
を処理しようとする場合、より上位の動作モードが必要
である。このより上位のモードでの動作による一定周波
数における規模拡大にはより上位のあるいはより下位の
負荷へのいわゆるモードフリッピングとその結果発生す
る工程の変化を防止するための非常に厳格なプロセス管
理が必要となる。あるいは、たとえば5−6インチのマ
イクロ波空洞については発散磁界を用いてプラズマ束を
より広い範囲に拡散させることによってこの規模の拡大
を達成することができる。この方法によれば有効電力密
度したがってプラズマ密度が低下する。
【0010】更に、ECRシステムは2−3ミリトルの
オーダーの非常に低い圧力で動作されなければならな
い。何故ならば、このシステムにおいて発生されるプラ
ズマの密度は非常に急速におよそ2−3ミリトル以上に
なるからである。これは大量の反応ガスがシステムに供
給されることを要求し、かつこれらの大量のガスを除去
するために大量の排気システムを必要とする。RF伝送線システム 前述したように、発明者 Collinsその他の名で1990
年7月31日同時譲渡された『VHF/UHF反応装置
システム』と題する親米国特許出願559,947号(A
MATファイル151−1)をここでは参照している。
この出願では反応室自体の一部が整合ネットワークから
チャンバーに高周波プラズマ発生エネルギーを印加する
ための伝送線構造として構成された高周波VHF/UH
F反応装置システムを開示している。この独特の一体型
伝送線構造は、整合ネットワークと負荷との間の非常に
短い伝送線要求を満たし、50MHz から800MHz の比
較的高い周波数の仕様を可能にする。これはプラズマ電
極へのRFプラズマ発生エネルギーの効率的で制御可能
な印加を可能にし、比較的低いイオンエネルギーと低い
シース電圧で商業的に許容できるエッチング速度および
蒸着速度を発生させる。この比較的低い電圧によって電
気的に敏感な小さな寸法形状の半導体装置への損傷の可
能性が低下する。このVHF/UHFシステムは上述し
た拡大・縮小の可能性や電力上の制約といった従来の技
術における他の種々の問題点を防止する。
【0011】
【発明の概要】一つの観点において、本発明は先行技術
の問題点を解決するものであるが、本発明はソース領域
を有する真空チャンバー、このチャンバー内のあるプロ
セスガス源、このプロセスガスからプラズマを発生する
ためにプラズマ領域にRFエネルギーを電磁結合する手
段、支持電極のプラズマシース電圧を制御するために基
板支持電極にRFエネルギーを容量結合する手段、及び
プラズマに接しているシリコン源を含むRFプラズマ処
理システムの構成及び動作に具現化される。
【0012】好ましくは、RFアンテナ手段がプラズマ
領域に隣接しており、RFエネルギー源に結合されてい
る。本発明のチャンバーはプラズマ処理を増大するため
に処理領域にあるRFカソード、チャンパー壁によって
限定されるアノード、及び電気的に浮いているか、接地
されるか、或いはRFバイアスに接続されることができ
る第3の電極を有している。第3の電極及び/又はプラ
ズマ領域を限定するチャンパー壁は、例えば酸化物エッ
チングのような処理を増大するためのシリコン源であっ
てもよい。本発明の装置を用いて、プラズマエッチング
は殆ど無限に選択することができ、またプラズマ蒸着は
空隙を除くことができる。
【0013】
【実施例】1.概要 本発明はエッチング処理の改良された選択度と種々のエ
ッチング速度、及び蒸着層の蒸着、エッチングとプラナ
リゼーション(平坦化)の同時化を可能にするプラズマ
処理用の装置及び方法を提供する。
【0014】本発明のチャンバーにおいて、好適には、
100KHz から100MHz の範囲内のLF/VHF(低
周波数から非常に高い周波数まで)RF電力が用いられ
る。より好適には、300KHz から3MHz の範囲内のM
F(中周波)RF電力が用いられる。好ましくは、結合
手段はコイルをほどいた電気長がλ/4より小さい多重
巻円筒状コイルアンテナである。ここでλはプラズマ動
作中にコイルアンテナに印加される高周波RF励起エネ
ルギーの波長である。
【0015】また、本発明はアンテナを共振に同調する
ためのアンテナに接続された手段を含み、同様にプラズ
マ源の入力インピーダンスをアンテナのためのRFエネ
ルギーを供給する手段の出力インピーダンスに整合させ
るためのアンテナに接続された負荷手段を含む。この同
調手段はアンテナの一端とRFアースの間に電気的に接
続された可変キャパシタンスとすることができる。RF
エネルギーはコイルアンテナ上の選択された位置にタッ
プを介して印加することができる。
【0016】本発明は、プラズマ源領域を形成する誘電
体ドーム或いは誘電体シリンダーを含む。コイルアンテ
ナが高周波電磁エネルギーをチャンバー内に誘導結合す
るためにドームを取り巻くことが好適である。製作され
る物品又は基板はプラズマ源領域或いはドーム内に、ア
ンテナの巻線或いは最下部の巻線の内部に或いは接近し
て、或いは好ましくはアンテナの下方に配置することが
できる。
【0017】本発明は、またドームの上部のガス取入
口、ドームのプラズマ源領域の基部の第1のリングマニ
ホルド、及び基板支持電極を取り巻く、チャンバーに処
理用の希釈剤、パッシベーション、その他のガズを選択
的に供給するための第2のリングマニホルドからなるチ
ャンバーにガスを供給する手段を含む。更に、AC電源
及び制御システムが、通常プラズマ源コイル電力と同じ
か、それに近い周波数であるACバイアス電力を基板支
持カソードに結合し、それによってプラズマ源RF電力
によって行われるプラズマ密度制御から無関係にカソー
ドシース電圧とイオンエネルギーの制御を行う。このシ
ステムは多数の目的を果たすように選択されたバイアス
周波数を提供する。まず、周波数の上限は“電流に誘起
される”損傷(周波数が高すぎる場合は敏感な装置にチ
ャージアップ損傷が発生することがある)を防止するよ
うに選択される。バイアス周波数が低いほど単位バイア
ス電力当たりの基板シース電圧(基板の加熱を除く)は
高くなり、プラズマ密度に対する貢献は小さく、従って
イオン密度とイオンエネルギーの独立的制御が向上す
る。しかし、バイアス周波数が低くすぎると、インオが
基板シース電界のRF成分に追従し、それによって、イ
オンエネルギーが変化する。その結果、ピーク/平均エ
ネルギー率が高くなり、イオンエネルギー分布が広くな
る(2ピーク)。バイアス周波数が非常に低いと、絶縁
体のチャージアップが発生し、バイアス周波数期間の一
部においてイオン誘起処理を不能とする。上記の要注意
事項を満足する好適な周波数範囲は上記した好ましいプ
ラズマ源周波数範囲に対応する。
【0018】更に、本発明は、DCバイアス電圧を選択
された低い値と高い値の間の周期的なパルスにして、エ
ッチングされる基板上の第1の選択された材料の上にパ
ッシベーションコーティング(不動態化被覆)、例えば
ポリマー被覆を形成する制御手段を含み、基板材料のエ
ッチング速度を比較的低くし、また第2の材料、例えば
基板上にある第2の材料の層を比較的高い速度と選択度
で選択的にエッチングするようにしている。
【0019】また、チャンバーはチャンバー本体に接続
された第1の真空ポンプ手段とドームに接続された第2
の真空ポンプ手段によって排気され、それによりドーム
外への中性粒子の流れを確立するためのドーム内の垂直
方向の圧力差を確立するようにしており、基板支持電極
の電圧は帯電した粒子がチャンバー本体に向かって流れ
るようにこの圧力差を克服するに十分なものとなってい
る。
【0020】また、本発明は、コイルアンテナ又はその
他の結合手段と反応チャンバー間に介装され、高周波電
磁エネルギーの電界成分のチャンバーへの結合を防止す
る異なる構成の導電性ファラデーシールドが含まれる。
また、コイル或いは他の結合手段を取り囲むように配置
された高周波反射器によって、高周波エネルギーの放射
をチャンバー内に集中する。
【0021】磁気の増強は周辺の永久磁石或いは電磁石
構成によって与えられ、これらは均一な発散する磁気ミ
ラー構成から選択されたアンテナの軸に平行な制御され
た静磁界を加え、基板の下方のプラズマの位置と移動を
制御する。また、磁石をプラズマ源及び/又はチャンバ
ーの周囲に取りつけて基板の近傍でチャンバーに多極カ
スプ磁界を加えて、これによってプラズマを基板領域に
閉じ込めると同時に基板の磁界を大幅に排除することが
できる。更に、磁気分路を基板と基板支持電極の周囲に
配置して、基板支持電極からの磁界の方向転換すること
ができる。
【0022】このシステム構成は、動作周波数を選択す
ることによって、低モード動作を維持しながらその大き
さを拡大・縮小することを可能にする。処理上の側面と
して、本発明は、プラズマ源領域と処理領域を有する真
空チャンバーを提供し、チャンバー内の電極上にある処
理される物品または基板を支持し、基板支持電極にある
プラズマシース電圧を制御するために、RFエネルギー
をチャンバーに電磁結合し、支持電極にあるシース電圧
を制御するために、支持電極を介してRFエネルギーを
チャンバーに容量性結合し、且つプラズマに接するシリ
コンイオン源を提供するプラズマ発生処理に具体化され
る。
【0023】また、本発明は、共振に対するアンテナの
自動的反復的同調とその入力インピダンスのアンテナに
対するRFエネルギー供給源のインピーダンスへのロー
ディングを含む。このプラズマ発生処理は、プラズマ源
領域と処理領域及び壁、処理領域中の電極とプラズマ源
領域中の電極を有する真空チャンバーを提供し、処理領
域電極がカソード、壁がアノード、そしてプラズマ源電
極の電気的接続はアース、フローティング及びRF又は
バイアスから選択されて、処理領域中の電極、チャンバ
ーの壁及びプラズマ電極を電気的に接続し、基板支持電
極上で処理されるべき物品を支持し、チャンバーに処理
用のガスを供給し、RFエネルギーをアンテナへ与える
ための手段に接続された円筒状コイルアンテナを用い
て、物品上の1或いはそれ以上の材料を処理するための
プラズマを発生するアンテナによって、RFエネルギー
をプラズマ源領域に誘導性結合し、且つ支持電極におけ
るシース電圧を制御するために支持電極を介してRFエ
ネルギーをチャンバーへ容量性結合し、及びチャンバー
内のシリコン源を備えている処理方法である。
【0024】シリコン源はチャンバー内のプラズマ源に
配置された第3の電極であるのが好ましい、必ずしも電
極である必要はなく、プラズマに接するチャンバー内の
何処かにあればよい。またアンテナ電力と電極に供給さ
れるバイアス電力は異方性、半異方性及び等方性エッチ
ングを選択的に行うように制御される。
【0025】本発明の処理チャンバーは第2の材料の
層、特にシリコン等の酸素非含有基板上にある酸化物の
ような酸素含有層をエッチングするのに特に有用であ
る。処理チャンバー内のシリコンイオン源の使用は改良
された選択性とエッチング断面の増大をもたらす。更
に、本発明の処理はバイアス電圧をシリコン上のエッチ
ング抑制層、例えばポリマーを形成するための選ばれた
低い値、及び基板に関して早い速度で第2の材料をエッ
チングするための高い値に周期的に駆動する方法を含
む。全ての場合に、本発明の装置は、基板に向かって第
2の層における高いアスペクト比開口を作ることを要求
する高いエッチング速度と高い選択性を提供する。
【0026】本発明のリアクターにおける処理は、酸化
シリコン又はシリケート等の酸化物のスパッタ蒸着、及
び第1に酸化物を蒸着するために比較的低いRF電力を
支持電極に印加し、第2にシリコン酸化物を蒸着し、そ
のシリコン酸化物をスパッタファセットし、且つ層を平
面化するため支持電極に比較的高いレベルのRF電力を
印加するという2つのステップを含む。
【0027】具体的な本方法は、酸素非含有基板上に形
成された酸化物中のコンタクト孔のエッチングとアルミ
ニウム或いは他の基板上に形成された酸化物中の孔を介
したエッチングを含む酸化物エッチング;高速の等方性
及び異方性酸化物エッチング;ゲート等のポリシリコン
導体のエッチング、フォトレジストの除去;中でも単結
晶シリコンの異方性エッチング;異方性フォトレジスト
エッチング;窒素と酸化窒素の低圧プラズマ蒸着;酸化
物、オキシ窒化物及び窒化物の高圧等方性コンフォーマ
ル蒸着;アルミニウム、タングステン及びチタン等の金
属とタングステンシリサイド等の化合物及び合金のエッ
チング;及び種々の蒸着速度で、平面化を伴う局部的・
全面的スパッタファセット蒸着を含む種々の材料の蒸着
を含むが、これらに限定されることはない。1.概要 図1−図3は半導体基板5を加工するための、誘導プラ
ズマ源装置、磁気増強したプラズマ源装置、容量性結合
されたバイアス装置、及び本発明の他の側面を用いるプ
ラズマ反応装置10の概略断面図である。この三つの図
は、このシステムの好適な特徴とその他の特徴を示す。
図面スペースの制約から三つの図面を用いる。この例示
したチャンバーは一体型伝送線構造を有する同時継続中
の一部継続出願の願書に図示したものの変更態様であ
る。この発明の重要な特徴はプラズマ反応装置に広く適
用することができる。更に、当該技術の通常の知識を有
する者には、以下の説明から反応装置の性能を向上させ
るこの発明の様々な特徴は個別的に利用するこのが可能
であり、また選択的にシステムか省くこともできる。例
えば、誘導プラズマ源装置と容量性結合されたバイアス
源によって提供される加工条件によって磁気増強が不要
になることが多い。
【0028】例示するシステム10は側壁12、頂壁1
3、底壁14を有する陽極処理したアルミニウムその他
の適当な材料で形成した真空チャンバーハウジング11
を含む。陽極処理したアルミニウムはアークとスパッタ
リングを抑制するため好適である。しかし、この加工に
適したポリマー、石英、あるいはセラミックのライナー
の付いた、或いはそれが付いていない裸のアルミニウム
等の他の材料を用いることもできる。頂壁13は壁12
−12の間に形成された下部チャンバー基板処理部16
Bとドームによって形成された上部チャンバープラズマ
源部16Aの間の中央開口部15を有する。このドーム
は好適には石英やその他のアルミニウムやアルファアル
ミナ(サファイア)等のいくつかの誘電体材料のような
誘電体によって形成される反転した単一壁或いは二重壁
のカップとして構成することができる。図1に示す実施
例では、ドーム17は石英等の誘電体の円筒状の壁17
Wと通常のアルミニウム或いは陽極処理したアルミニウ
ム製のカバー或いは頂壁17Tから成る。選択度の高い
酸化物エッチングといった目的のためにはシリコン、或
いはシリコンを含有する頂壁手段及びシリコンで覆った
ドームの側壁が好適である。
【0029】図1に示すように、チャンバーハウジング
11(チャンバー16)の内部の減圧排気は、底壁14
に接続された一つあるいはそれ以上の真空ポンプからな
る真空ポンプシステム21につながった真空線19中の
絞り弁18(流量と無関係に圧力を調整する)によって
制御される。11節に説明するように、チャンバーの壁
とドームを含むチャンバー構成要素は加工性能のために
加熱及び/又は冷却することができる。たとえば、ドー
ムは液体あるいはガスの伝熱媒体によって加熱あるいは
冷却することができ、あるいは加熱要素を用いて直接ド
ームを加熱することができる。
【0030】2節に示しまた図2に図示するように、プ
ロセスガス、パージガス、希釈剤その他は、プラズマ源
(ドーム)の基部、プラズマ源の頂部プレート17T、
および基板の周辺にそれぞれ配置された三つのマニホル
ド注入源G1,G2およびG3によってチャンバーに供
給することができる。これらのガスはたとえば一つある
いはそれ以上の加圧ガス源からコンピュータ制御された
流れ制御装置(図示せず)を介してチャンバー11に供
給される。主吸気マニホルドG1においては、ガスは頂
壁13の内部に取り付けたあるいは頂壁13と一体の石
英リングガスマニホルド51を介して、22に示すよう
に内部真空加工チャンバー16に入る。マニホルド23
は好適にはRFエネルギーの印加後にエッチングもしく
は蒸着プラズマを発生させるためにチャンバー部16
B,16Aに対してわずかに上向きの角度でエッチング
ガスもしくは蒸着ガスを供給する。ドーム17の頂部プ
レート17T中の頂部マニホルド装置G2は反応性ガス
あるいはその他のガスをチャンバー16い取り入れるの
に用いることができる。また、基板の周辺に反応性ガス
およびその他のガスを供給するマニホルド装置G3を設
けることもできる。
【0031】RFエネルギーはRF供給および整合ネッ
トワーク31によって給電される少なくとも1回巻のア
ンテナ30あるいはコイルからなるプレート源によって
ドームに供給される。アンテナ30は好適には複数回巻
の円筒状構成を有する。コイル30はある一定の周波数
およびプラズマ源(コイル)径に対する最小導体電気長
を規定し、好適には動作周波数において1/4波長(<
λ/4)以下の電気長を有する。アンテナ30自体は共
振器ではないが、ファラデーの誘導結合の法則によって
プラズマ源との有効な誘導結合を行うために5節に説明
するように共振に同調される。
【0032】好適には、チャンバープラズマ源部16A
からのガスの流れは基板5に向かって下向きに流れ、次
に基板から径方向に外向きに引き出される。この目的の
ために、カソード伝送線構造32の周り、一方の側のチ
ャンバー壁12と他方の側の外側伝送線導体320の間
および底部のチャンバー底壁14と頂部の導電性ポンピ
ングスクリーン29の間の環状の真空マニホルド33を
形成することができる。マニホルドスクリーン29は真
空マニホルド33と基板プロセスチャンバー16Bの間
に介装され、チャンバー壁12と伝送線構造32の外側
導体320の間に導電路を提供する。真空マニホルド3
3は基板5の周辺からの排出ガスの均一な径方向の引き
出しを行うための環状のポンピングチャンネルを形成す
る。真空マニホルド33は排出ガスシステム線19に連
通している。ガスの流れはマニホルドG1からの通路2
2に沿ってドーム/プラズマ源に、及び/又はマニホル
ドG2からの通路24及び/又はマニホルドG3からの
通路26に沿って基板5に向かって径方向に内向きに流
れる。全体的なガスの流れは通路34に沿って上部チャ
ンバープラズマ源部16Aから基板5に、通路3に沿っ
て基板からスクリーン29を通って排気マニホルド3
3、そして通路37に沿って排気マニホルド33から排
気システム21に向かう。導電性マニホルドスクリーン
29とカソード伝送線構造はオプションであることに注
意しなければならない。通常、対象となる周波数の低い
側では波長が非常に長く、したがって伝送線構造は不要
である。
【0033】これは従来のRFシステムの構成とは対照
的であり、RF電力は二つの電極、通常その上面が基板
5を支持するウエハ支持電極32Cと反応装置チャンバ
ーの側壁12、頂壁13及び/又はマニホルド23であ
る第2の電極との間に印加される。とりわけ、アンテナ
30はドーム17とプラズマチャンバー16Aの外側の
それらに隣接する位置に配置され、RF電磁(em)エ
ネルギーをプラズマ源チャンバー16Aに結合してプロ
セスガスに電界を誘起するようになっている。ファラデ
ーの誘導結合の法則から、emエネルギーの変化するB
(磁気)成分はプロセスガスを付勢してチャンバー16
内に比較的密度が高くエネルギーイオンが低いという特
徴を有するプラズマを形成する(参照符号16はチャン
バー16A、16Bおよびプラズマを集合的に指
す。)。このプラズマはドーム17中でコイルアンテナ
30内に形成された小さな容積に集中されて発生する。
イオン、電子、フリラジカルおよび励起中性物等を含む
活性種が拡散とここに説明するガス流によるバルクフロ
ーによって基板に向かって下流に移動する。また、7節
に説明するように、適当な磁界を用いて次に説明するよ
うに基板に向かうイオンや電子を抽出することができ
る。これはオプションであるが、プラズマ源42とバイ
アス整合ネットワーク43からなる図1のバイアスエネ
ルギー入力装置41はRFエネルギーを基板支持電極3
2Cに結合して、基板のプラズマシース電圧を選択的に
増大させそれによって基板のイオンエネルギーを選択的
に増大させるのが好適である。
【0034】基本的には底部の開放した箱である反射器
44はアンテナをその頂部と側面部において囲んでいる
が、アンテナ30の底部は囲まない。この反射器44は
RFエネルギーの自由空間への放射を防止し、それによ
ってプラズマ中の電力の放射や散逸を集中して効率を高
めている。7節に詳細に説明するように、図3のファラ
デーシールド45はアンテナ30の内部、上および下に
配置することができ、磁界がプラズマに結合するのを可
能にするが直接電界結合を不能にしている。直接電界結
合はプラズマに傾斜や不均一を誘起する恐れがあり、あ
るいは荷電粒子を高エネルギーに加速する恐れがある。
【0035】8節に説明するように、基板5におけるプ
ラズマ密度の向上、基板へのイオンの搬送、あるいはプ
ラズマの均一性の向上のために、オプションとして図2
の一つあるいはそれ以上の電磁石47−47、あるいは
永久磁石をチャンバーの囲い11に近接して取り付ける
ことができる。4節に詳細に説明するように、この発明
には通常マイクロ波あるいはマイクロ波ECR周波数よ
りはるかに低い周波数の誘導結合された電磁エネルギー
の磁力成分を用いて、潜在的に損傷を与える恐れのある
高出力RFエネルギーを基板5に結合することなく高密
度かつ比較的低エネルギーという特徴を持つプラズマを
生成するために真空チャンバー内に円形の電界を誘起す
る。図示する好適な下流プラズマ源構成では、RFエネ
ルギーは基板から離れて高プラズマ密度で完全に吸収さ
れ、波が基板に伝搬せずしたがって損傷の可能性を最小
限にするようにしている。選択的及び任意的に、RFバ
イアスエネルギーは必要に応じて基板シース電圧、した
がってイオンエネルギーを増大させるために基板支持電
極32Cに印加される。
【0036】チャンバー16は総チャンバー圧約0.1ミ
リトルから約50トル、通常エッチングには0.1ミリト
ルから200ミリトルを用いて、蒸着及び/又はエッチ
ングによって半導体ウエハを含む基板を処理することが
できる。このチャンバーは5ミリトルより低い圧力で動
作することができ、実際に2ミリトルで正常に動作し
た。しかし、ある種の加工には、ポンピング速度と流量
が増大するという点で高い圧力が好適である。たとえ
ば、酸化物エッチングには約5ミリトルから約50ミリ
トルの圧力範囲が好適である。このような比較的高い圧
力では、プラズマ源と基板の間隔を小さくしなければな
らない。この発明のチャンバーは基板5とアンテナ30
の最下部の巻の間の間隔と約5cm/2in.と非常に適切
な小さい間隔dにしたとき、敏感な装置に対するチャー
ジアップ損傷を生じることなく良好に動作した。したが
って、このような非常に小さい間隔にすることの利点、
すなわちエッチング速度と選択度の向上、ある一定のエ
ッチング速度に対するバイアス電圧およびイオンエネル
ギー条件の低減、および基板上のエネルギーの均一性の
向上が達成される。たとえば、基板5とソースアンテナ
30の間隔dを10cm/in.(これ自体小さい間隔であ
る)から5cm/2inに縮小すると、必要電圧は半分にな
り均一性は約2.5%から約1%に上がった。 2.ガス処理システム 前述したように、このチャンバーには反応性ガス、パー
ジガス、その他を異なる場所に注入してそれぞれの加工
(エッチング、蒸着その他)の条件とその加工に用いら
れる材料に応じて加工を向上させるための複数のガス注
入源G1,G2,G3(図2)が内蔵されている。ま
ず、チャンバーはプラズマ源領域16Aの基部/底部の
周囲に標準の径方向ガス分配システムG1を有する。好
適な構成では、G1注入システムはプラズマ源の底部の
石英ガス分配リング51とこのリングにガスを供給する
分配チャンネルを形成する周辺環状マニホルド52から
なる。このリングは内側を向いた径方向の穴53−53
を有し、好適には中空陰極放電を防止するため前記の穴
に挿入される階段状の焼結セラミック多孔性ガス拡散プ
ラグ54−54を有する。
【0037】第2のガス注入装置G2は多孔性セラミッ
ク拡散ディスク57を詰めた中央吸気穴56を有する陽
極処理したアルミニウム等の材料でできた接地された、
あるいは浮動する、あるいはバイアスされたドーム頂部
プレート17Tからなる。第3のガス注入源G3は基板
5の周辺に取り付けたリング状吸気マニホルド58(あ
るいは基板を支持受台に保持するのに用いられるクラン
プリング(図示せず)に内蔵されたガス取入口)からな
る。
【0038】単結晶やポリシリコンを含む酸素非含有層
の表面にわたって二酸化シリコン等の酸素含有層を通し
て開口をエッチングする場合に、望ましくは二酸化シリ
コンはポリシリコンや他の基板より非常に早い速度でエ
ッチングされる。フッ化物を含むエッチングガスはエッ
チャントとして用いられる。しかし、フッ化物のエッチ
ャントは通常二酸化シリコンとポリシリコン等の材料
を、例えば同じ速度でエッチングするので、そのエッチ
ングはシリコンよりむしろ酸化物に対して殆ど選択度が
ない。しかしながら、反応性イオンエッチング中に、ポ
リマーの保護層が成長する開口の側壁と底部に形成され
る。このようなポリマーは炭素とフッ素から形成され、
一般的には約30%の炭素と約60%のフッ素を含んで
いる。
【0039】このポリマーは、フッ素原子の存在下で分
離される。必要なことは約50%以上の炭素と約40%
以下のフッ素を含む炭素の含有量の多いポリマーを形成
することである。もしフッ化物イオン用のスカベンジャ
が反応装置の中に配置されるなら、自由なフッ化物イオ
ンはプラズマ中には殆ど存在しないし、C−F結合はポ
リマー膜に形成さないであろう、ということを発見し
た。従来の反応装置における成功は、プラズマ中に自由
なシリコンを与えるためにフッ化物イオン用のスカベン
ジャをプラズマ自身、例えばシランやオルガノシランに
加えることによって得られていた。しかしながら、多く
の異なったプラズマイオンが形成されるので、そのプロ
セスは本質的に“ダーティー”であり、エッヤントとポ
リマーの性質は異なっており、また不均一であって、そ
のプロセスは全く再現性がない。従って、自由なフッ化
物イオンを取り除くために“きれいな”シリコン源を提
供する本装置は優れている。
【0040】本発明によれば、このようなフッ化物イオ
ン・スカベンジャは、フッ化物に対する反応物質であ
る。そしてそれはプラズマの中或いはその近くにあるシ
リコン源であることが望ましい。フッ物スカベンジャは
単結晶シリコンのような純粋なシリコン、ポリシリコン
或いはシリコンカーバイド等であり、或いは第3の電極
がシリコン又はシリコンを含む材料からできているのが
好ましい。また、グラファイトはフッ化物イオンを取り
除くために用いることができる。例えば、第3の電極が
グラファイトから作られる。
【0041】本発明のチャンバーにおけるプラズマエッ
チング中に、酸化シリコン膜にある酸素は成長するトレ
ンチの側壁と底部に形成されるポリマーを容易にエッチ
ングする。しかしながら、トレンチの深さがポリシリコ
ンや他の酸素非含有基板に達すると、酸素は存在せず、
またポリマーはポリシリコン表面に残り、基板を更にエ
ッチングしないように保護する。
【0042】ここでの好ましいエッチャントはCF4,C2F6
及びC3F8等のフッ化炭素であり、それらは炭素イオンと
フッ化物イオンのみを発生する。他の公知のフッ化物、
例えばCHF3は好ましくない。何故ならば、それらは炭素
とフッ素のイオンに加えて水素イオンを発生するからで
ある。本発明によれば、酸素含有層と酸素非含有基板或
いは下部層間の非常に高い選択度が、殆ど無限に得られ
る。何故ならば、炭素を豊富に含むポリマーはシリコン
表面或いは酸化物非含有基板や酸素のない層の上に分解
しないからである。これらのポリマーは酸素に敏感であ
り、また酸素がないと、例えばエッチャントが酸素非含
有基板に達すると、ポリマーの分離は減少し、そして少
なくなったフッ素イオンでプラズマに結合すると、層を
不動態化している比較的不活性のポリマーが形成され、
下部層を保護する。
【0043】シリコン源はプラズマが発生される場所の
近くに置かれるのが好ましく、その結果シリコンはフッ
化物イオンを取り除くことができる。フッ化物イオンが
処理される基板の表面と反応することは殆どない。例え
ば、シリコンメッシュがプラズマ領域に吊り下げられる
か、或いはシリコンがRF電源の部分としてリアクター
の壁或いは頂部の近くに配置される。またシリコン源は
基板の表面近くに吊り下げることもできるが、高いフッ
化物含有量を有するポリマーが生じるかもしれない。
【0044】シリコン源は反応装置のプラズマ領域外に
配置することも可能で、どの場合においても、フッ化物
イオンを取り除くためにシリコンイオンを形成する温
度、例えば少なくとも約150℃或いはそれより高い温
度に加熱される。その場合、シリコン源の温度を調整す
る手段は反応チャンバーに設けられなければならない。
本発明のリアクターが種々の膜を蒸着するために、蒸着
チャンバーとして用いられるときには、他の利点があ
る。例えば、基板内にトレンチや開口が作られた後に
は、基板内のデバイスどうしの導通や分離を形成するた
めに、そのトレンチや開口は他の材料で満たされる。例
えば基板の開口はシランと酸素を用いる酸化シリコンで
満たされる。これはトレンチの底部コーナー、或いはデ
ポジットの中央において空隙が形成されるのを避けるた
めに蒸着速度を注意深く制御する必要がある。この後者
の現象はECR処理に関してはよく知られており、また
取り扱われている。この問題を避けるために蒸着の前に
アルゴンでトレンチの頂部をスパッタすること、即ちト
レンチや開口の頂部をファセッティングすることは知ら
れている。それによって、空隙の周りを塞ぐことなくト
レンチの頂部を開けることができる。しかしながら、E
CR処理は、高イオン密度を維持するために2−3ミリ
トルのオーダーの非常に低い圧力が用いられるので、不
利である。ECRにおけるイオン密度は圧力に非常に依
存している。イオン密度は約1−2ミリトルで最大にさ
れ、5−10ミリトルの圧力で急激に降下する。従っ
て、大量のガスがECRに供給されなくてはならない
し、大きな真空ポンプが過剰なガスを排気するために必
要となる。
【0045】誘導性プラズマを形成している本発明の装
置は約30ミリトルの圧力までの高いイオン密度を維持
する。従って、非常に高い圧力、即ち約15−30ミリ
トルが本発明の誘導的に結合されたプラズマ中に維持さ
れ、また付随して、ガスはリアクターに殆ど供給される
必要がない。そしてECRにおける蒸着に必要な1−2
ミリトルの圧力操作以外の副産物や過剰ガスを除くため
に、小さな真空ポンプが必要とされる。
【0046】本発明の装置はECRにおいて得られるこ
れらのものと等価な、しかし簡単なそして費用のかから
ない方法で、結果を満たすトレンチを与える。低い圧力
で発生したプラズマのイオン密度を調整する低い温度操
作と能力のために、同様な方法が本発明の装置において
大量のガス又は大きな真空ポンプを必要とせずに達成で
きる。従って、適当な量のシラン、酸素及びアルゴン
の、例えば反応装置への導入はトレンチの頂部、側壁を
スッパタし、そして酸化シリコンでトレンチを満たすプ
ラズマを形成する。酸化シリコンの堆積内に空隙を作る
ことが避けられ、そしてトレンチの頂部の形成とトレン
チ内の蒸着またはトレンチ内に満たすことは単一処理工
程でできる。
【0047】上に言及したように、エッチャントと蒸着
種、不動態化種、希釈種等から選択される色々なタイプ
のガスが、特別なエッチングと蒸着処理と材料の要求を
満足するためにG1−G3の一つ或いはそれ以上のソー
スを介してチャンバーに供給される。例えば、本誘導性
プラズマ源アンテナ30が非常に高密度のプラズマを与
え、チャンバーのドームプラズマ源領域16Aにおける
ガスを分離するのに非常に有効である。結果として、ポ
リマー形成の種がG1或いはG2を介してドームに供給
されると、高度に分離された種がポリシリコンの被覆を
犠牲にしてドームの内部を被覆し得るし、及び/又は十
分に分離されているので保護のために被覆されるべきポ
リシリコンの表面に付着しない。一つの解決策はC2F6
いはCF4等のエッチング種をG1又はG2、或いはG1
とG3を介してプラズマ源領域に挿入するこであり、基
板上に優先的に炭素を豊富に含有するポリマーを形成す
るために、フッ化物イオンを取り除くシリコンを与える
ことである。プラズマ源領域におけるガスの高い分離の
ために、フッ素を含むガス(フッ素を含むガス中にフッ
素が炭素と共にあってもよい)シリコンと酸化シリコン
をエッチングする自由なフッ化物イオンが典型的に生成
する。従って、酸化物のためのエッチング選択度を減少
する。プラズマ中のシリコン源を与えることに加えて、
高い選択度が要求されると、シリコンを含む付加的なガ
スが、自由なフッ化物イオンを更に取り除くため、また
酸素非含有基板のエッチングを減少するために挿入され
る。エッチングガスとシリコン含有付加ガスはG1とG
2を介して別々に導入することができるし、或いはG1
及び/又はG2を介して混合して導入することができ
る。適当なフッ素消費シリコン含有付加ガスはシラン
(SiH4),TEOS, ディエチルシラン及び四フッ化シリコン
(SiF4)を含んでいる。
【0048】フッ素消費シリコン源ガスとポリマー付加
ガスはエッチングの選択度を増進するために同じプロセ
スにおいて一緒に用いることができる。 3.差動ポンピング 図2は代替の真空ポンピング構成を示す。チャンバーの
底部、あるいはその近傍に接続される真空ポンピングシ
ステム21に加えて、真空ポンプ39が線38を介して
ドーム17内のプラズマ源領域16Aに接続される。ポ
ンピングシステム39および21の流量は、それらがプ
ラズマ源領域16Aに垂直方向に圧力差ΔPp を発生さ
せるように選択される。この圧力差ΔPp は(1)プラ
ズマ源16Aから基板5への非荷電粒子の移動を防止
し、また(2)バイアス電圧によって電子やイオンとい
った荷電粒子に加えられる力Fb より小さい。ΔPp
ために、ラジカルのような非荷電粒子は基板5に到着せ
ず、むしろ主として頂部真空接続部38から流出する。
DC>ΔPp であることから荷電電子および荷電イオン
は主として加工領域に流れる。この方法はイオンではな
くラジカルを選択的に基板プロセス領域外に置きたいと
き有効であることは明らかである。この状況はたとえ
ば、(1)ポリマー形成ガスの化学作用を用いるが、ポ
リマーがプラズマ源領域で形成されチャンバーの側壁に
付着する、及び/又は所望の基板面に良好に付着しない
場合、もしくは(2)プラズマ源領域にフッ素基が形成
される場合に起こる。 4.RF電力、上部およびバイアス源 1)上部あるいはアンテナ源 図1において、好適には上部プラズマ源16AのRF電
源31の動作周波数は密度の高いプラズマを発生して敏
感な装置への損傷を最小限とし、RF電力のプラズマへ
の効率的な誘導結合を提供するように選択される。すな
わち、この動作範囲の上の周波数は“電流によって誘起
される”損傷を最小限にするように制限される。動作周
波数の下限はプラズマへのRF電力結合の効率が上がる
ように選択される。示唆される限界は上述されている。 2)下部あるいはバイアス源 基板支持カソード32Cの交流電源42はRF電力をプ
ラズマに誘導結合して、それによって高周波電力によっ
て行われるプラズマ密度制御から独立して制御されるカ
ソードシース電圧およびイオンエネルギー等を含むさま
ざまな要素の制御を行う。バイアス周波数は多くの目的
を達成するように選択される。まず、周波数の上限は敏
感な装置への電流によって誘起されるチャージアップ損
傷を防止するように選択される。低い周波数が部分的に
は電圧によって誘起される損傷を排除するために選択さ
れる。また周波数バイアスが低ければ、基板の単位バイ
アス電圧あたりの基板シース電圧(加熱を除く)は高く
なり、プラズマ密度への貢献は少なく、したがってイオ
ン密度とイオンエネルギーの独立的制御が向上する。し
かし、バイアス周波数が低すぎると、イオンが基板シー
ス電界のRF成分に追従し、それによってイオンエネル
ギーが変調される。その結果、ピーク/平均エネルギー
比が高くなり、また(ピーク間)イオンエネルギー分布
が広くなる。バイアス周波数が非常に低いと、絶縁チャ
ージアップが発生し、バイアス周波数制御の一部におい
てイオンによって誘起される処理を不能にする。
【0049】本出願人は以上の考察が以上記載されたプ
ラズマ源周波数範囲に対応するバイアス周波数範囲を用
いることによって満足されることを発見した。 3)上部アンテナ源とバイアス源の連結動作 本発明の好適な特徴は電源42によって供給される下部
あるいはバイアス電力を自動的に変更して一定のカソー
ドシース電圧を維持することである。非対象性の高いシ
ステムにおいては低圧(<500ミリトル)では、カソ
ード32Cで測定される直流バイアスはカソードシース
電圧の近似値である。下部電力は一定の直流バイアスを
維持するために自動的に変更することができる。下部あ
るいはバイアス電力のプラズマ密度とイオン流密度に対
する影響は非常に小さい。上部あるいはアンテナ電力は
プラズマ密度と電流密度に非常に大きく影響するが、カ
ソードシース電圧に対する影響は非常に小さい。したが
って、プラズマ密度とイオン流密度を規定するには上部
電力を用い、カソードシース電圧を規定するには下部電
力を用いるのが望ましい。
【0050】それにもかかわらずアンテナ30を駆動す
る電源31の高周波はマイクロ波あるいはマイクロ波E
CRアプリケーションに用いられる周波数よりはるかに
低いため、より安価な電源によってより低いDC電流で
作動されるオプションのより小さい磁石を用いることも
できる。この場合関連する熱負荷も小さくなる。さら
に、以上の説明から明らかなように、導波管の代わりに
31C等の同軸ケーブルを用いることができる。さら
に、他の磁気増強されたあるいは磁気補助されたシステ
ム中のE×B電子ドリフトによって引き起こされたプラ
ズマの不均一はここには存在しない。これは、印加され
る磁界(アンテナ30を介して引火されるRFフィール
ドの磁気成分と磁石81によって印加される任意の静磁
界の両方)はカソードの電界とほぼ平行である。したが
ってこのシステムにはE×Bドリフトはない。
【0051】透磁率の高い材料で形成した磁気分路を用
いてプラズマ源(上部チャンバー16A)にBフィール
ドを発生させ基板には発生させない。またオプションと
して、永久磁石あるいは電磁石を、下部チャンバー16
Bの周囲の通常N−S−N−S…N−Sという交互の磁
極構成の多極配列に置いてプラズマ源及び/又はチャン
バー壁に多カスプ磁気ミラーを生成することができる。
磁石は垂直の棒磁石あるいは好適にはたとえば水平なリ
ング磁石とすることができる。かかる磁石は壁への電子
損失を低減し、それによって基板を磁界にさらすことな
くプラズマ密度とプラズマの均一性を向上させるのに用
いることができる。 4)RF電源の結合と同期 上述したように、上部あるいはアンテナRF電源の動作
の好適な周波数と下部あるいはバイアスRF電源の動作
の好適な周波数は好都合なことに同じ範囲に入ってい
る。ここで選択することのできる構成として、これらの
二つのRF電源を別々に使用する代わりに一つの電源に
結合する方法がある。より一般的に言えば、三つのRF
信号(第3あるいは上部電極へのRFバイアスを含む)
のすべてを一つの電源から供給する、あるいはアンテナ
と下部バイアスに一つの電源を用い、第3電極に第2の
電源を用いる、あるいは三つの別々の電源を用いるとい
った可能性がある。別々の電源が用いられる場合、考慮
しなければならないことは別々のRF信号の周波数は等
しくなければならないか、等しくなければならないとす
ればこれらの信号をなんらかの所望の位相関係にロック
しなければならないかどうかということである。予備的
な研究からこれらの質問に対する答は主に選択された動
作周波数によることがわかっている。二つあるいは三つ
のRF電源に対して一つの周波数を選択できる場合、ま
たその周波数がこのシステムが用いられる別の加工につ
いて変更される可能性がない場合、単一のRF電源が論
理的選択ということになる。
【0052】しかしながら、上のサブパラグラフ1−3
に論じた考察に基づいて、これらのプラズマ源に対して
異なる周波数が必要である場合、あるいは異なるプロセ
スに用いるために周波数を変更しなければならない場
合、別々のRF電源が必要になる。別々の電源源があ
り、同じ周波数が選択される場合、数100KHz 以下の
ような比較的低い周波数を除いて、位相同期はおそらく
問題ではない。例えば、電源はアンテナへのRF電圧入
力と下部あるいは基板電極へのRF電圧入力の間の位相
角はプロセスの繰り返し精度を最適化するために選択さ
れた一定の値に維持される。高い周波数では、動作は位
相あるいは周波数の同期とは無関係と見られる。 5.アンテナの同調と負荷 1)同調 通常、アンテナ30は(1)発振器31の周波数をアン
テナと共振するように変化させることによって、あるい
は(2)共振に同調するためにアンテナに接続された別
の共振素子によって共振に同調される。たとえば、この
同調素子は可変のインダクタンス−アース、あるいは可
変のキャパシタンス−アースとすることができる。
【0053】誘導同調および容量性同調は共振周波数を
低下させることに注意しなければならない。したがって
このシステムを望ましい最も高い共振周波数となるよう
に構成してキャパシタンスあるいはインダクタンス同調
変数を用いる際に共振周波数の低下に対処するようにす
ることが望ましい。自動同調は好適であり、インピーダ
ンス位相/振幅検出器を用いて同調/負荷変数を駆動す
ることによって実行することができる。図6と9節を参
照。また、反射電力ブリッジあるいはVSWRブリッジ
を用いて同調変数と負荷変数の両方を駆動することがで
きるが、反復が必要である。 2)ローディング 導電性、容量性、あるいは誘導性負荷手段Lを用いてプ
ラズマ源アンテナ30をRF発電器31と接続用同軸ケ
ーブル31Cのインピーダンスに一致させることができ
る。たとえば、タップあるいはワイパを50オームある
いは300オーム近辺あるいはアンテナ上の他の発電器
出力インピーダンス位置にオーミックに接触させること
ができる。また、可変インダクタンスあるいは可変キャ
パシタンスをアンテナ上の発電器出力インピーダンス点
50に接続することもできる。 3)同調回路と負荷回路 図4および図9において、好適にはプラズマ源アンテナ
30と一体でプラズマ源を共振に同期させる同調手段T
が設けられる。また、一体化負荷手段Lがプラズマ源ア
ンテナ30の入力インピーダンスを関連の発振器31
(あるいは伝送線31C)の出力インピーダンスに一致
させるために用いられる。図4において、ある側面にお
いては、同調手段Tはアンテナ30の一端とRFアース
の間に電気的に接続された可変キャパシタンスである。
【0054】図5に示すように、また別の側面において
は、負荷手段Lはアンテナの一端とRFアースの間に電
気的に接続された可変キャパシタンスとすることができ
る。また、この負荷手段はアンテナにRF入力電力を加
える可変位置タップ60とすることができる。図6を参
照されたい。図7に示す好適な組合せにおいて、同調手
段Tはアンテナ30の一端とRFアースの間に電気的に
接続された可変キャパシタンスであり、負荷手段Lはア
ンテナの他端とRFアースの間に電気的に接続された別
の可変キャパシタンスである。この構成では、RF入力
電力はタップを介して、すなわちアンテナに沿ってある
いはそのいずれかの端部に設けられたタップを介してア
ンテナに印加することができる。図8を参照されたい。
また、RF電力入力接続部66を図9に示すように負荷
可変キャパシタンスLとアンテナ30の端部の接続部に
配置することができる。 6.ソース/バイアスプロセス制御 また、本発明は十分に高いバイアス電圧を用いて高い二
酸化シリコンエッチング速度を提供し、バイアス電圧を
低い値に周期的にパルス化することによって、二酸化シ
リコン等の材料のエッチング速度が上がり、シリコン等
の材料と比較して二酸化シリコンのエッチング選択度が
増すという発見を含んでいる。 1)パルス/変調バイアス−エッチング速度と選択度の
向上 図10において、通常二酸化シリコンSiO2等の材料のエ
ッチング速度は、バイアス電圧が上がるにつれて増大す
る。したがって、バイアス電圧を上げれば酸化物のエッ
チング速度が上がる。しかし、残念ながらシリコン/ポ
リシリコン等の集積回路構造中の関連する材料のエッチ
ング速度もまたバイアス電圧につれて上がる。したがっ
て、非常に高い二酸化シリコンのエッチング速度を提供
する十分な大きさのバイアス電圧を用いると、シリコン
のエッチング速度は(酸化物エッチング速度よりいくぶ
ん低いが)高すぎる値になり、選択度が低下する。二酸
化シリコンをエッチングする際には、高いDCバイアス
電圧Vh の特徴である高い酸化物エッチング速度と低い
DCバイアス電圧V1 の特徴である比較的低いシリコン
のエッチング速度の組合せ、したがって高い酸化物選択
度を得ることが非常に望ましいことはきわめて明白であ
る。
【0055】ここで図11のDCバイアス電圧波形70
を参照すると、Vh とV1 の特性を組み合わせるという
前のパラグラフで示した一見矛盾する目的は実際には高
ベースラインDCバイアス電圧Vh を用い、この電圧を
低い値V1 に周期的にパルス化あるいは変調することに
よってポリマー形成エッチング処理(シリコン等の材料
の上にエッチング抑制ポリマーを形成する処理)におい
て達成される。V1 はけい素エッチングとシリコン蒸着
の間の交差点/電圧68(図10)以下であり、酸化物
交差点/電圧69以上である。その結果、保護ポリマー
がシリコン上に蒸着され高速エッチング電圧Vh に復帰
する間エッチングを抑制するが、Vh での酸化物のエッ
チングをに重大な抑制を加えるような蒸着は酸化物上に
発生しないか、発生しても不十分である。好適には、V
1 はポリシリコン上の蒸着を特徴とするが、少なくとも
酸化物のわずかなエッチングである。この発明の一実施
例においては、パラメータVh (高いDCバイアス電
圧)、V1 (低いDCバイアス電圧)、Pw (低電圧V
1 のパルス幅)、およびPrp(低電圧パルスと高電圧パ
ルスのパルス繰返し率あるいは結合された幅)の値はそ
れぞれ−400V、−225V、約0.1秒、および約1
秒である。 2)2周波数バイアス 代替の方法を図12のDCバイアス電圧波形71によっ
て示す。比較的低い周波数電圧変動が基本バイアス電圧
周波数に重畳される。たとえば、低い周波数T 2 <25
KHz (好適には5−10KHz )をベース高周波T1
<2MHz に重畳あるいは混合される。酸化シリコンは
絶縁体である。シリコン/ポリシリコンは通常非常に薄
い固有酸化物層しか持っていない。したがって、低周波
数T2 のDCバイアス電圧変動は酸化物表面には見られ
ない。なぜなら、これは帯電しているためである。しか
し、基本的に絶縁されていないポリシリコンは低い周波
数T2 サイクルの低電圧のエクスカーション72
(V1 )中に保護層を形成することによって前述したも
のと同様の態様で低周波数T2 に反応する。この低周波
数で形成した層は高い周波数T1 サイクルの変動する高
電圧エクスカーション73中、エッチングを不能とす
る。前述したように、二酸化シリコンの絶縁性によって
2 の低電圧エクスカーション中、エッチング抑制蒸着
を不能とし、酸化物エッチングがT1 サイクルの高電圧
部分の期間に抑制されることなく進行する。
【0056】つまり、低周波数サイクルT2 の低電圧エ
クスカーション72中にシリコン上に保護層が形成さ
れ、蒸着を抑制することなく酸化物を急速にエッチング
する高周波数サイクルT1 の高電圧エクスカーション7
3中のけい素エッチングを抑制する。その結果、上述し
たパルス/変調による方法の場合と同様に、酸化シリコ
ンの早いエッチング速度、シリコンの比較的低いエッチ
ング速度および酸化物に対する高いエッチング選択度が
得られる。パルス/変調法は現在2周波数バイアス法に
より好適であることに注意しなければならない。これは
前者が精密な制御を行うことができるためである。 7.ファラデーシールド 入力端に負荷コンデンサL、他端に同調コンデンサT、
また入力端に比較的低い電圧、他端にそれよりはるかに
高い電圧を有する典型的なアンテナ30のコイル構成に
ついて考察する。グラウンドに近いコイルの最下部の巻
線は低電圧RF入力に接続されている。通常、プラズマ
はガスの分解を静電的に開始することによってプラズマ
を開始する同調端に近い比較的高電圧の巻線の静電界に
さらされる。分解の開始に続いて、プラズマへの結合は
主として電磁的すなわち誘導的なものになる。このよう
な動作は周知である。定常状態の条件下では、通常、静
電結合と電磁誘導結合の両方が存在する。電磁結合の方
が優勢であるが、加工の種類によっては静電界に敏感な
ものもある。たとえば、ポリシリコンのエッチングには
酸化物のエッチングを防止するために低エネルギー粒子
と低エネルギー衝撃が必要である。
【0057】図1および図15について説明すると、定
常状態の静電界を減少させるには、この発明のチャンバ
ーにはオプションとしてファラデーシールド45を内蔵
することもできる。図15(A)に示す実施例における
構造は、ドーム壁17Wとアンテナ30を取り囲む接地
された間隔を置いた軸方向に伸長するポストあるいはバ
ーその他の円筒状の配列からなる、“単一”ファラデー
シールド45Sと呼ばれるものである。この単一シール
ドは大きな間隔をおいた構成からシールドの各部分の間
の間隔が非常に小さい構成までさまざまな態様とするこ
とができる。
【0058】図15(B)はその一方のバーが他方の間
隔に重なるように間隔をおいた一対の同心のシールドか
らなるいわゆる“全”ファラデーシールド45Fを示
す。これは、シールドを通る電界線の視線路を排除し、
それによって静電界を分路する。ファラデーシールド4
5Sおよび45Fにはさまざまな構成が可能であるが、
現在好適な構成は、図1に垂直断面図で示す外向きのフ
ランジの付いた導電性の端部の開放した円筒状の構成で
ある。単一壁あるいは二重壁の開口を設けたフィールド
面46、47、48がアンテナの頂部、内面(ソース)
および底面の周りに伸長し、グラウンド側49(ここは
開放していなくてもよい)がアンテナの外側に位置す
る。この構成によれば、アンテナ30からの電磁波の軸
方向の磁気成分がプラズマ16を生成するアンテナ30
の平面に平行な閉ループ電界を誘起することを可能にす
る。しかし、シールド45はグラウンドへの直接電界成
分を容量的に分路し、高周波電磁エネルギーの直接電界
成分がプラズマに結合するのを防止する。シールド45
を用いると、アンテナ30に沿って変動する電圧が容量
性変位電流結合のマックスウェル方程式にしたがってプ
ラズマに結合する。これによってプラズマ密度と基板5
のエネルギーに不均一性と勾配が誘起され、加工の不均
一性や高エネルギー荷電粒子が発生する可能性がある。
積分形式で表したファラデーの法則によれば面を通る変
化する磁界によってその面に閉じた電界が発生しなけれ
ばならない。この現象を微分形式で表すマックスウェル
方程式は誘起された電界の回転は磁界の変化の負の時間
率に比例することを示している。正弦励起の場合、誘起
されたEは変化するBフィールドの放射周波数およびそ
のピーク振幅に比例する。
【0059】つまり、不連続あるいはスリットの入った
あるいは分割されたファラデーシールドはコイルからの
変化する電磁界に対するシールドの短絡効果を最小限に
し、うず電流損失を低減し、高周波の軸方向の磁界のプ
ラズマへの結合を可能にし、プラズマを生成する閉ルー
プ電界を誘起するが、この電界(これはアンテナ上で変
化する)のプラズマへの直接結合を不能にし、それによ
ってプラズマの不均一性や高エネルギー荷電粒子に対す
る処理の不均一性といった損失を排除する。 8.磁界の制限と増強 1)制限 円筒/ドームプラズマ源の壁17Wにおける損失(プラ
ズマ密度の低下)を低減するために、周辺の環状(浅
い)磁界を発生する磁気装置が設けられている。図13
の水平断面図に示す好適な構成では、この磁界は軸方向
の永久磁石あるいは電磁石76−76を近接して配置し
た“バケツ”あるいは円筒状の多極配列によって提供さ
れ、磁石はそれぞれがその短尺方向に着磁されて閉じた
交番磁極の周辺−N−S−N−S−磁界Bを形成する。
この多極配列はドーム壁に多カスプ磁気ミラー77を生
成する。また、この配列は水平なリング磁石とすること
もできる。かかる磁石は壁17Wの電子損失を低減し、
基板を磁界にさらすことなくプラズマの密度と均一性を
向上させる。
【0060】また同様に、永久磁石あるいは電磁石を下
部チャンバー16Aの周囲に、通常はN−S−N−S…
N−Sの交番する構成の多極配列に配置して、チャンバ
ー壁に多カスプ磁気ミラーを発生させることもできる。
これらの磁石は垂直方向の棒磁石とすることができ、ま
た好適にはたとえば水平なリング磁石とすることができ
る。かかる磁石は壁の電子損失の低減に用いることがで
き、それによって基板を磁界にさらすことなくプラズマ
の密度と均一性が向上する。さらに、磁石の放射状の配
列を円筒状プラズマ源のドームの頂部あるいは頂部プレ
ート17T上に取り付けて頂部での損失を低減すること
もできる。
【0061】図3について説明すると、基板加工領域1
6B中のプラズマは、ほぼ平面状をなす磁石の格子をプ
ラズマ源領域の底部と加工領域の頂部に配置することに
よって、発生あるいはプラズマ源領域16A中のプラズ
マから減結合することができる。この磁気格子は、上述
したバケツ構成と同様に近接したほぼ平行な磁気バー7
8−78からなり、その短尺方向にNS着磁されて磁力
線が一つのバーから出て次のバーで終了する平面構成の
−NS−NS−NS−磁界を提供する。その結果得られ
るプラズマ源の開口部15にかかるほぼ平面状の磁気フ
ィルター79が磁界をこの平面とプレートの領域に制限
し、プラズマ源にも基板領域にも侵入しない。
【0062】F=qV×Bの関係から、プラズマ源の高
エネルギー/高速電子はこの磁界79によってイオンよ
りも高い程度で曲げられ、あるいははね返され、基板プ
ロセス領域に貫入することができない。これによってプ
ロセス領域16B中の高エネルギー電子の密度が低下
し、同領域のプラズマ密度が低下する。プロセス領域と
プラズマ源領域は減結合される。
【0063】このフィルターによる磁気制限法は小型シ
ステムのプラズマ領域を減結合するのに特に有効であ
る。すなわち、たとえば基板上のイオン密度を高くする
ことなく高い基密度を提供し、同時にコンパクトさを維
持する。ある好適な構成では、フィルター磁気制限は空
気冷却用の中空のバーと細長い磁石を有する機械仕上げ
のアルミニウム板を用いて実施される。
【0064】バケツ磁気制限構成とフィルター磁気制限
構成を一緒に用いることもできる。 2)増強 上述したように、図3に示す一つあるいはそれ以上の
(好適には少なくとも二つ)永久磁石あるいは電磁石8
1−81を用いてアンテナコイルの水平面と高周波RF
放射アンテナによって誘起される電界の両方に対して直
角をなし、またそれらを通るほぼ軸方向の静電界を形成
することができる。好適にはつぎに説明するように、三
種類の磁界、すなわち均一磁界、発散磁界あるいは磁気
ミラーのうちの一つが用いられる。
【0065】図14(A)について説明すると、磁石8
1−81によって基板5に直角に加えられる同質の軸方
向の均一な磁界82は電子の運動を壁に制限する。イオ
ンが高周波磁界変動に追従できないため、イオンは電子
の不足にしたがって基板上のプラズマ中に集まる。最大
限の効率を得るには、この磁界あるいは他の静磁界を高
周波電磁界で共振に同調することができる。Ω=2πF
=Be/m、ここでBは磁束密度であり、eとmはそれ
ぞれ電子の電荷と質量である。
【0066】軸方向の発散磁界83の概略を図14
(B)に示す。磁気モーメントの保存により、磁界の軸
勾配が円並進エネルギーを軸並進エネルギーに変換し、
電子とイオンを強い磁気領域からより弱い磁気領域に駆
動しようとする。発散磁界は電子とイオンをプラズマ発
生領域から押し出しプラズマを基板に集中させるのに用
いることができる。
【0067】図14(C)と図14(D)について説明
すると、ふくらし磁界あるいは助成磁界84(図15
(C))とカスプ状あるいは対向磁界85(図15
(D))を示している。これらのいわゆる“磁気ミラ
ー”磁界のそれぞれの効果は軸方向発散磁界の効果と同
様である。荷電粒子が比較的強い磁界領域から比較的弱
い中央の領域に駆動される。
【0068】磁石を選択的に配置し、また単一あるいは
複数の磁石によって提供される磁界の強さを選択および
変化させることによって、関連する均一な発散磁界ある
いは磁気ミラー磁界を制御された態様とし、基板のプラ
ズマ密度を増大させる。磁気ミラー磁界の場合、最大の
プラズマ密度増強を得るための好適な基板位置は張り出
しあるいはカスプ上あるいはそれに密接する位置であ
り、これによって最大限のプラズマ密度増強が得られ
る。
【0069】アンテナのボリュームに軸方向の磁界を用
いてプラズマの生成を向上させたいが基板上では磁界を
排除したいという場合がある。(軟鉄用のニッケルある
いは鋼鉄等の)の高透磁性材料でできた環状のディスク
を磁石とアンテナの平面の下基板5の上に介装すること
ができる。 3.抽出 適当な磁界を用いてイオンと電子を基板方向に抽出する
ことができる。 9.制御システム 以下の定義は図16に示す制御システムについて用い
る。
【0070】 Psp 電力設定点 Pf 正方向電力(電源に配置した方向性結合器によ
って測定) Pr 反射電力 (電源に配置した方向性結合器によ
って測定) |Z| インピーダンスの大きさ <phi インピーダンスの位相 Tsp 同調設定点 Lsp 負荷設定点 Tfb 同調フィードバック(測定値) Lfb 負荷フィードバック(測定値) 図16は電源を含む各種の構成要素を制御するための代
表的なシステムのブロック図である。ここで、システム
コントローラ86はアンテナ電源31、インピーダンス
ブリッジ87、アンテナ30、バイアス電源31、イン
ピーダンスブリッジ88、整合ネットワーク43、およ
びカソード32とインターフェースされている。イオン
束密度とイオンエネルギーに対して選択された処理パラ
メータ、アンテナ電力および直流バイアスがコントロー
ラ86への入力として供給される。また、コントローラ
86はガス流、チャンバー圧力、電極あるいは基板の温
度、チャンバーの温度、その他のパラメータを制御す
る。コントローラ86はアンテナ30に接続された Tsp
1 ラインおよび Lsp1 ライン上に信号を発することによ
って初期の同調1および負荷1の条件を設定することが
できる。また、コントローラは整合ネットワーク43に
接続された Tsp2 ラインおよび Lsp2 ライン上に信号を
発することによって初期の同調2 および負荷2 の条件を
設定することができる。通常、これらの条件はプラズマ
の開始(ガスの降伏)を最適化するように選択される。
電力はまずアンテナ30あるいはカソード32のいずれ
かまたはその両者に同時に印加することができる。コン
トローラ86はアンテナ電源31への Psp1 ラインとバ
イアス電源42への Psp2 ライン上に同時にあるいは順
次(順次はどちらが先でもよい)電力設定点を発する。
【0071】電子なだれ降伏がガス中で急激に発生し、
プラズマが生成される。コントローラ86はアンテナ3
0との間の正方向電力(Pf1)および反射電力(Pr1)を監
視し、またカソード32との間の正方向電力(Pf2)およ
び反射電力(Pr2)を監視する。DCバイアス(カソード
−アノードDC電圧)もまたコントローラ86に示すよ
うに監視される。コントローラ86は(a)正方向電力
Pf1 および反射電力Pr 1 あるいは(b)インピーダンス
の大きさ|Z1 |とインピーダンスの位相<phi1のいず
れかに基づいてライン Tsp1 および Lsp1 上に設定点を
発することによってコイル同調1 および負荷1 のパラメ
ータを調整する。ブリッジ87はコントローラ86にイ
ンピーダンスの大きさと位相角の情報を与える。アンテ
ナ30は反射電力Pr1 がほぼゼロであるとき、またイン
ピーダンス(大きさと位相|Z1|<phi )がコイル電
源出力インピーダンスの複素共役であるときマッチング
している。(ゼロ反射電力条件と共役インピーダンス条
件は同時に発生する。したがって、反射電力が最小化さ
れるか、インピーダンスが整合するかのいずれかであ
り、その結果は同じになる。あるいは、VSWR(電圧
定在波比)あるいは反射係数が最小になる。)コントロ
ーラ86は(a)正方向電力Pf2 および反射電力Pr2
るいは(b)インピーダンスの大きさ|Z2 |とインピ
ーダンスの位相<phi2のいずれかに基づいてライン Tsp
2 および Lsp2 上に設定点を発することによってカソー
ド32と整合ネットワーク同調2 および負荷2 のパラメ
ータを調整する。ブリッジ88はコントローラ86にイ
ンピーダンスの大きさ|Z2 |と位相<phi2の情報を与
える。アンテナのマッチングと同様に、反射電力Pr2
ほぼゼロであるとき、またインピーダンス(大きさと位
相|Z2 |<phi2)がバイアス電源504出力インピー
ダンスの複素共役であるときマッチングが起こる。直流
バイアスはコントローラ86によって監視される。コン
トローラ86はバイアス電源の出力電力を変化させて所
望の測定DCバイアスを得る。コントローラ86は直流
バイアスの所望の値からDCバイアスの測定値を減算す
る。その差が負である場合、バイアス電源42の出力が
上げられる。その差が正である場合、バイアス電源の出
力が下げられる(バイアス電源の出力が高いほど直流バ
イアスは負の方向に大きくなる。)この方法によれば、
比例制御、比例積分制御、あるいは比例積分微分制御あ
るいはその他の制御を用いることができる。
【0072】また、バイアス電源42の出力を調整して
一定の直流バイアスを維持するこの実施例に替わって、
定バイアス電源出力を用いることもできる。上述した直
流バイアスサーボマッチング技術に加えて、ピーク−ピ
ークRF電圧へのサーボによっても自動同調を行うこと
ができる。この後者の方法はたとえば計器の駆動用の電
流を提供するのにカソードとアノードに十分な導電面積
を必要とするある種のエッチング処理においては有効で
あることがある。ポリマーコーティング技術を用いると
これらの導電領域が不動態化され、電流によって計器が
飽和することを防止して有効な読みが得られる。それと
対照的に、ピーク−ピークRF電圧の方法は特に好適な
周波数範囲に関連する低周波数においては影響を受けな
い。測定値はカソードではなくチャンバーに近い整合ネ
ットワーク43で得ることができる。
【0073】コントローラ86は中央制御装置あるいは
制御装置の分散形システムとすることができる。感度の
よい基板装置構造を得るにはターンオン/ターンオフシ
ーケンスが重要である。一般に、プラズマ源を始めにオ
ンして最後にオフするのが好適である。これはこの方法
によればシース電圧の変化を最小限にできるためであ
る。アプリケーションによっては、バイアスを先にオン
する方がよい場合もある。 10. 伝送線構造 参照した特許出願米国特許559,947 号に詳細に説明して
いるように、適正な同軸/伝送線の設計には、低い特性
インピーダンスを介した給電、整合ネットワークからウ
エハまでの短い伝送線、そして伝送線に沿ったリターン
パスが必要である。この設計条件はカソード32C、同
心環状導体320、およびカソード32Cを取り囲むカ
ソードを同心環状導体320から絶縁し、降伏の可能性
のあるプロセスガスを置換する非孔質の低損失絶縁体3
2Iからなる図1に示す一体伝送線構造32によって満
足される。たとえば、TeflonTMや石英の材料は絶縁耐力
が高く、比誘電率が低く、損失が少ないため好適であ
る。この構造の入力側は次に説明する方法で整合ネット
ワークに接続されている。絶縁されたカソード32Cと
外側導体320は整合ネットワーク43とプラズマ16
の間に別々の電流路を提供する。一つの可逆電流路は整
合ネットワークからカソード32Cの外周に沿ってチャ
ンバー(電極)の表面のプラズマシースに向かう。第2
の可逆路はプラズマ16からチャンバー癖12の上部の
内側の部分に沿って次に導電性排気マニホルドスクリー
ン29に沿って外側導体320の内部を経て整合ネット
ワークに向かう。排気マニホルドスクリーン29は均一
径方向ガスポンピングシステムとRF電流のリターンパ
スの一部をなすことに注意しなければならない。
【0074】交流エネルギーの印加中、RF電流路の方
向は交互に図示する方向とその逆の方向になる。伝送線
構造32は同軸ケーブル型の構造であるため、またより
詳細にはカソード32Cの内部インピーダンスが(その
外側に比べて)高いため、RF電流は同軸伝送線の態様
でカソード32Cの外面と外側導体320の内面に流れ
る。表皮効果によってRF電流が伝送線の表面の近くに
集中し、電流路の有効断面積が減少する。たとえば直径
4−8インチといった大きな基板とそれに対応する大径
のカソード32Cおよび大径の外側導体320を用いる
と、有効断面が大きくなり、低インピーダンス電流がこ
の伝送線構造を流れる。また、同軸型伝送線構造32が
その特性インピーダンスZ0 に等しい純抵抗で成端され
る場合、整合ネットワークは伝送線の長さと無関係に一
定のインピーダンスZ0 を有する。しかし、実際にはこ
のようにはならない。それはプラズマはある範囲の圧力
と電力にわたって動作し、さまざまなガスからなり、こ
れらのガスが集合的にプラズマが伝送線32の終端で提
供する負荷インピーダンスZ1 を変化させるためであ
る。負荷Z1 は理想的でない(すなわち無損失でない)
伝送線32に整合していないため、伝送線上にある定在
波が伝送線と整合ネットワークの間の抵抗損失、誘電損
失その他の損失を増大させる。整合ネットワーク43は
定在波や整合ネットワークの入力から増幅器あるいは電
源42までの損失を除去するのに用いることができる
が、整合ネットワーク、伝送線32、およびチャンバー
内のプラズマは伝送線32と整合ネットワーク43の間
の抵抗損失、誘電損失その他の損失を増大させる共振系
を構成している。つまり、負荷インピーダンスZ1 は損
失と整合しないが、Z1 ≒Z0 のとき損失は最小限にな
る。
【0075】負荷の不整合に起因する損失をなくすため
に、同軸型伝送線構造32はプラズマ動作に伴う負荷イ
ンピーダンスの範囲に最も適した特性インピーダンスZ
0 を持つように設計される。通常、上述の動作パラメー
タ(例:バイアス周波数範囲は約0.3−3MHz )と考
察している材料に対しては、プラズマから伝送線に与え
られる直列等価RC負荷インピーダンスZ1 は約10オ
ームから100オームの範囲の抵抗と約50ピコファラ
ドから約400ピコファラドの範囲のキャパシタンスか
らなる。したがって、伝送線特性インピーダンスZ0
最適値としては、負荷インピーダンス範囲の中間、すな
わち約30オームから50オームが選択される。
【0076】整合ネットワークの見るプラズマインピー
ダンスの変形を避けるために伝送線32は非常に短いも
のでなければならない。好適には、伝送線は1/4波長
(λ/4)よりはるかに短い。より好適には約(0.05
−0.1)λである。また、電力結合をより効率的に行う
ためには、帰り導体320の内径(断面寸法)は中央導
体32Cの外径(断面寸法)より著しく大きいものであ
ってはならない。
【0077】つまり、このチャンバーは整合ネットワー
ク31からの電力をプラズマ33に結合する伝送線構造
を内蔵している。この伝送線構造は(1)プラズマイン
ピーダンスの変形を防止するために対象とする周波数に
おける1/4波長に比べて非常に短いかあるいは半波長
にほぼ等しいのが好適であり、(2)プラズマと整合ネ
ットワークの間の線上の定在波の存在に起因する損失を
抑制するように選択された特性インピーダンスZ0 を有
し、(3)断面寸法が中央導体の断面寸法よりさほど大
きくない外側導体路を用いる。 11. チャンバー温度制御 反応装置チャンバーシステム10に組み入れることので
きる温度制御機能には、吸気マニホルドの内部もしくは
外部温度をある一定の値の上下に、あるいはある一定の
範囲内に維持するための流体伝熱媒体の使用、カソード
32Cの抵抗加熱、カソード32Cの流体伝熱加熱ある
いは冷却、基板15とカソード32Cの間のガス伝熱媒
体の使用、チャンバー壁12−14もしくはドーム17
を加熱あるいは冷却するための流体伝熱媒体の使用、お
よび基板15をカソード32Cに拘束するための機械手
段あるいは静電手段が含まれるが、これらには限定され
ない。かかる機能はここで参照した同時譲渡された19
89年10月10日付け米国特許第4,872,947 号および
同時譲渡された1989年6月27日付け米国特許第4,
842,683 号に開示している。
【0078】たとえば、再循環閉ループ熱交換器90を
用いて流体、好適には誘電流体を、流路91に概略を示
すように基板支持体/カソード32Cのブロックおよび
受台に流して、基板支持体を冷却(もしくは加熱)する
ことができる。シリコン酸化物エッチングの場合、たと
えば−40℃の誘電流体温度が用いられる。上述したよ
うに、基板5と基板支持体32の間の熱の伝達は基板と
支持体の界面のヘリウム等の不活性ガス伝熱媒体によっ
て増強される。
【0079】チャンバー壁とドームは空気の対流(吹き
出された空気)及び/又は誘電流体熱交換器によって加
熱もしくは冷却することができる。たとえば、閉回路熱
交換器92は通路93に沿ってチャンバーの側壁に加熱
から冷却までたとえば+120℃から−150℃までの
範囲の制御された温度で誘電流体を再循環させる。同様
に、ドーム側壁17Wと頂壁17Tは通路95、97に
沿って流体を再循環させる熱交換器94、96によって
加熱もしくは冷却することができる。
【0080】代替の誘電体熱制御システムにおいては、
アンテナコイル30はドームの二重壁17Wの間に再循
環する誘電流体に浸された状態で配置される。別の代替
のドーム誘電流体熱制御法では、アンテナ30のコイル
が高温プラスティックあるいはTeflonTM中に封じられ、
伝熱性サーマルグリースがこの封入されたアンテナとド
ームの間に塗布され、中空のコイルが誘電流体をこのコ
イルに流すことによって加熱もしくは冷却される。RF
エネルギーもまたコイルに加えられ、またプラズマに近
接していることから、誘電油は、許容できる流量での効
率的熱伝達のための高い固有比熱および密度に加えて、
良好な誘電および絶縁特性さらに高い沸点を持っていな
ければならない。適当な誘電流体としてはDuPontの販売
するSilthermがある。 12. 3電極構成 図1について説明すると、現在の好適な実施例ではこの
チャンバーは新しいプロセス制御と改善を可能とする独
特の3電極構成を内蔵している。この構成はカソード
(好適には基板支持電極32)、アノード(好適にはチ
ャンバー側壁および底壁)および頂部電極からなり、頂
部電極はドームの頂部プレート17T(又はこれを含
む)である頂部電極から成る。図1に示すように、頂部
電極は浮動、接地されたもの、あるいはRF電源40に
接続されたものである。頂部電極はさまざまな構成を含
み、さまざまな材料で構成することができる。すなわ
ち、導電性材料(好適にはアルミニウム)、陽極処理し
たアルミニウム等の誘電体コーティングした材料、アル
ミニウム−シリコン合金等のシリコン或いはシリコン含
有導電材料からなり、あるいはシリコンウエハのような
犠牲シリコン部材17Sを含むがシリコン基板には限定
されない。 1)接地された第3の電極 接地された頂部プレート17Tは(壁12によって与え
られる従来の基準に対して)バイアス電圧の接地基準面
を向上させ、その結果プラズマ源16Aからプロセス領
域16Bへのイオン抽出を増強し、したがってプロセス
速度(エッチング速度等)を増大させる。さらに、接地
された頂部プレートは(プラズマ源で生成された)プラ
ズマと基板の結合を向上させる。 2)バイアスされた第3電極 RFバイアスされた第3の電極を(シリコン含有部材を
含むあるいはシリコン含有部材に覆われた電極を用い
た)自由なシリコンのソースプラズマへの供給と組み合
わせて用いると、エッチング速度や選択度を含むさまざ
まなプロセス特性が向上する。ソースプラズマの強い解
離特性に助けられて、シリコンは気相に入り、自由なフ
ッ素と結合/除去する。(ソースプラズマの解離特性か
らフッ素含有ガス化学作用をたとえば酸化物エッチング
に用いると、高い濃度が得られる。これによって酸化物
のエッチング速度が上がるがポリシリコン等の関連の基
板材料のエッチング速度も上がり、したがってポリシリ
コンに対する酸化物の選択度が下がる。)自由なシリコ
ンによるフッ化物イオンの除去によって、炭素を豊富に
含有するポリマーを形成する。その結果、酸化物エッチ
ング速度が上がり、ポリシリコンに対する酸化物の選択
度が上がり、酸化物エッチングの異方性と垂直プロファ
イルが増強される。さらに、自由なシリコンは重合反応
に影響し、炭素を豊富に含有するポリマーを形成し、そ
してそれは酸素が存在すると、酸素含有層をエッチング
するように容易にエッチングされるが、酸素が存在しな
いと、例えば開口が酸素非含有層或いはポリシリコンの
ような基板に達すると、抑制を増大し、そして酸素非含
有基板に関して酸化物の選択度が上がる。 13. 処理例 本発明のリアクターにおいて有利に達成されるプロセス
の例は以下のとおりである。
【0081】例1.酸化シリコン上でのポリシリコンのエッチング シリコンウエハ上の酸化シリコンの上にあるポリシリコ
ンを、本発明の3電極チャンバー内で約2ミリトルから
約20ミリトルの範囲の圧力、50ccの塩素(Cl2)エッ
チャントガス流量(マニホルドG1のみ)、1500ワ
ットの電源電力、20ボルトおバイアス電圧、及び接地
された頂部電極(シリコンなし)を用いて行った。その
結果、3500−4000オングストローム/分のポリ
シリコンのエッチング速度、垂直なエッチングプロファ
イル、及び酸化物に対して100:1以上ののポリシリ
コンの選択度が得られた。
【0082】例2.酸化シリコン蒸着 シリコンウエハ上の酸化シリコン層に形成された高いア
スペクト比開口への二酸化シリコンの2ステップバイア
ススパッタ蒸着が3電極チャンバー内で、約2ミリトル
から約10ミリトルの範囲の圧力(両ステップとも)、
アルゴン約200cc/酸素約90cc/シラン約45ccの
ガス流量(両ステップともマニホルドG1のみ)、20
00ワットの電源電力(両ステップとも)、接地された
頂部電極(両ステップとも)、約−20ボルトのバイア
ス電圧(第1ステップ)及び約100−200ボルト
(第2ステップ)を用いて行った。その結果、第1ステ
ップ(スパッタリングなし)において7500オングス
トローム/分以上の蒸着と、第2ステップにおいて、約
4000−5000オングストローム/分の純酸化物蒸
着(プロファイル制御スパッタリング蒸着)が得られ
た。アルゴンスパッタリングで、開口の上部コーナーを
加工し、続いて空隙のない二酸化シリコンで開口を満た
し、酸化シリコン層の平坦化を行った。この充填と平坦
化は本発明の同じ蒸着チャンバーで、連続的に行うこと
ができる。
【0083】半導体の製造における重大な挑戦は、下部
層がポリシリコンや他の酸素非含有材料である場合に、
二酸化シリコンの選ばれた厚さをエッチングすることで
ある。露出されたシリコンは殆どエッングされないけれ
ど、酸化シリコンが比較的早い速度でエッチングされる
ために、高い選択度が要求される。しかしながら、プラ
ズマに曝されると、2つの材料はおよそ同じ速度でエッ
チングするので、基板上に高分子のコーティングを作る
ために、フッ化物のソースにCHF3等のエッチングガスを
加えることは公知である。これはエッチングされるべき
酸化シリコンが連続しているけれど、ポリシリコン上に
不動態化したコーティングを作る。しかしながら、ポリ
シリコン上のポリマー層の形成は小さなデバイスの幾何
学的構造のエッチングを困難にする。この点における重
要なコンセプトは“マイクロローディング”であり、こ
れは1−(エッチング速度比)として定義される。ここ
で、エッチング速度比はウエハの小さな形状におけるエ
ッチング速度と大きな形状におけるエッチング速度との
比である。従って、エッチングプロセスが同じ速度で大
小の形状をエッチングするという望ましい特性を有して
いるなら、このマイクロローディングは1−1/1=0
になる。小さな形状が大きな形状より非常に遅い速度で
エッチングされるプロセスにおいては、マイクロローデ
ィングは1.0に近づく。
【0084】上述されたエッチングの適用における困難
な点は、高いエッチングの選択度を得るために、プラズ
マ中に比較的多量のポリマー形成ガスを使用しなければ
ならないが、しかしポリマー層は0より非常に大きなマ
イクロローディングを生じることである。通常、約0.
1のマイクロローディングの場合、10:1以上の選択
度比のものを期待することはできない。しかしながら、
0に近いマイクロローディングで、30:1或いは4
0:1程度の大きさの選択度比を要求するアプリケーシ
ョンも多い。2.プラズマ領域でのシリコンの使用 高密度プラズマ源の場合、ポリシリコンをエッチングす
る分離生成物の一つはフッ素である。シリコンを用いて
プラズマ源領域から自由なフッ素基を除去することがで
きる。シリコンは第3の電極17T上或いはチャンバー
の内壁17W上のコーティング形態をとることができ
る。犠牲シリコンが壁面上にある場合、シリコンの厚さ
はRFエネルギーがアンテナ30からプラズマに供給さ
れる周波数と共に問題となる。これらのパラメータは、
十分なエネルギーがチャンバー壁を通して電磁結合され
ることを保証するように選ばれなければならない。シリ
コンが第3の電極17Tに含まれる場合、シリコンの厚
さはさほど重要ではない。いずれにしても、シリコンが
プラズマ源領域から自由なフッ素を除去するために利用
される場合、チャンバーから容易に除去される揮発性の
化合物が形成される。
【0085】シリコンスカベンジャ材料はエッチングプ
ロセス中にそれ自身ポリマーによってコーティングされ
る。このポリマーは温度上昇の加熱によって除去される
か、或いはシリコンを電気的にバイアスすることによっ
て、シリコン表面の衝撃を増すことがでできるので、ポ
リマーは表面からスパッタリングされ、それにより、自
由なシリコンを再び露出する。3.ポリシリコン上の酸化シリコンエンチング ポリシリコン上に酸化シリコンを、この発明の3電極チ
ャンバー内で約2ミリトールから約30ミリトールの圧
力、CHF3、30−60sccm/CO或いはCO2 、6−18sc
cm/Ar、100−200sccm(マニホルドG1のみ)の
ガス化学作用流量、2000ワットの電源電力、200
ボルトのバイアス電圧、頂部電極17Tと、それらに取
りつけられた2MHz 、1000ワットのRFエネルギー
でバイアスされたシリコンディスク17Sを用いて行っ
た。酸化シリコンは8000オングストローム/分の速
度でエッチングされ、ポリマーにたいする酸化物の選択
度は50:1であった。また、シリコン含有体は石英ド
ーム壁17W上のシリカコーティングによって補強する
ことができる。4.酸素非含有基板上の酸素含有層エッチング 一連のエッチングプロセスが種々の酸素非含有基板上の
酸化シリコン等の酸素含有層をエッチングする本発明の
反応装置によって行われた。従来のホトリソグラフ技術
を用いて酸化物層をパターニングした後、C2F6を用いた
エッチングがアースされた第3のシリコン電極を用いて
本発明の反応装置で行われた。結果は下記の表1に要約
される。
【0086】 表1実施例 酸化シリコンの厚さ 基板 選択度 4 5000−10000Å WSi 25:1 6 PECVD Si3N4 15:1 7 5000−10000Å LPCVD Si3N4 15:1 8 5000−20000Å TiN /Al* 15:1 9 5000−20000Å Al 30:1 10 5000Å 単結晶シリコン 30:1 * 2−3000Å CF4 を用いた他の一連のエッチングが、BPSG(Boro
-Phosph-Silicate-Glass) で酸素含有層を置き換えたこ
と以外は同様の条件で行われた。その結果は下記の表2
に要約される。
【0087】 表2実施例 酸化シリコンの厚さ 基板 選択度 11 5000−10000Å WSi 30:1 12 5000−25000Å p+がドープ された単結晶 30:1 シリコン 13 5000−25000Å p+がドープ された単結晶 30:1 シリコン 選択度はガス流、電源電力及びシリコン板の条件を変え
ることによって、調節でき、特別な基板上の特別な酸化
シリコンやガラスの選択度を適当にすることができる。
フッ素イオンのシリコンスカベンジャを用いて本発明の
反応装置において、100:1までの選択度が達成でき
る。14.他の特徴 1)プラズマ制御 この発明の特徴は“下部”電力を自動的に変化させて一
定のカソード(基板)シース電圧を維持することであ
る。高度に非対照的なシステムにおいては低圧(<50
0ミリトル)ではカソードで測定されるDCバイアスは
カソードシース電圧の近似値となる。下部電力は自動的
に変化させて一定のDCバイアスを維持することができ
る。下部電力はプラズマ密度やイオン流密度にはほとん
ど影響しない。上部あるいはアンテナ電力はプラズマ密
度やイオン流密度には非常に強い影響を持つが、カソー
ドシース電圧に対する影響は非常に小さい。したがっ
て、上部電力をプラズマ密度やイオン流密度を決めるの
に用い、下部電力をカソードシース電圧を決めるのに用
いることが望ましい。 2)差動バイアス 基板5をアースに対してバイアスする代わりに、図1お
よび図2に点線の接続50で示すようにバイアス整合ネ
ットワーク43と頂部プレート17Tをアースから外
し、互いをレファレンスとすることもできる。図2を説
明すると、頂部プレートは頂部プレートと基板の間の電
圧VT-SSが頂部プレートと壁12の間の電圧VT-W の大
きさの約2倍で基板と壁の間の電圧VSS-Wの大きさの約
2倍になるように差動的に駆動されバランスされる。こ
のバランスされた差動駆動がプラズマと壁の相互作用を
少なくし、プラズマ源領域16Aと基板領域16Bの間
の相互作用、イオン抽出を多くする。 3)代替構成 本発明のプラズマ反応装置システムを図1に従来の向き
(垂直)で示す。基板5が電極32(カソード)に上に
あり、アンテナ30が電極の上のドーム17を取り囲ん
でいる。便宜上、アンテナ30に供給される電力を“ア
ンテナ”あるいは“プラズマ源”あるいは“上部”電力
と呼び、電極/カソード32に供給される電力を“バイ
アス”あるいは“下部”電力と呼んできた。これらの表
現および名称は便宜的なものに過ぎず、説明されたシス
テムは反転、すなわち電極32を上にアンテナをこの電
極の下に配置して構成することもでき、あるいは変更を
加えることなく他の方法で配置する(たとえば水平に配
置する)ことができる。つまり、この反応装置システム
は向きに関係なく機能する。反転した構成ではプラズマ
はアンテナ30で生成され、上方に搬送されて本明細書
で説明した方法でアンテナの上に位置する基板5に向か
う。すなわち、活性種の搬送は拡散とバルクフローによ
って発生する。あるいは場合によっては軸勾配を有する
磁界に助けられて発生する。この過程は重力には左右さ
れず、したがって方向には比較的影響されない。反転し
た向きはたとえば気相状態のプラズマ発生領域、あるい
は表面上で形成された粒子が基板に落下する可能性を最
小限にできるという点で有益である。その後重力によっ
てかかる粒子のうち最小のものだけが重力ポテンシャル
勾配に逆らって基板表面に向かって上昇する。 4)高圧および低圧動作と可変間隔 本発明のチャンバーの設計は高圧動作と低圧動作の両方
に有効である。基板支持カソード32Cとアンテナの最
下部のコイルあるいは巻線の面の間の間隔dは高圧動作
と低圧動作の両方に適応させることができる。たとえ
ば、500ミリトル−50ミリトルの高圧動作には好適
には約5cmより小さい間隔dが用いられ、0.1ミリトル
−500ミリトルより小さい範囲での低圧動作には5cm
より大きい間隔dが好適である。チャンバーには図示す
るように固定された間隔dを用いることもでき、また交
換可能なあるいは入れ子式の上チャンバー部のような可
変間隔設計を用いることもできる。反応装置システム1
0は酸化けい素や窒化けい素といった材料の高圧および
低圧蒸着、二酸化シリコン、窒化シリコン、シリコン、
ポリシリコン、ガラスおよびアルミニウム等の材料の低
圧異方性反応イオンエッチング、かかる材料の高圧プラ
ズマエッチング、および基板の微細構成の平面化を含む
かかる材料の同時蒸着およびエッチバックを含むCVD
ファセッティング、等の処理に有効である。反応装置シ
ステム10を用いることのできるこれらの処理およびそ
の他の処理については、同時譲渡された VHF/UHF PLASM
A PROCESS FOR USE IN FORMING INTEGRATED CIRCUIT ST
RUCTURES ON SEMICONDUCTOR WAFARS(半導体ウエハ上に
集積回路を形成する場合に使用する VHF/UHFプラズマプ
ロセス)と題する1990年7月31日付けCollins その他
の米国特許出願07/560,530号(AMATファイ
ル No.151−2)に説明されている。 15. 装置の例 本発明のシステムの実施例には図1に示すプラズマ源構
成とアンテナ構成が含まれている。高さ5インチの石英
のプラズマ源チャンバー17の直径は12インチであ
る。2MHz 、直径13インチ、高さ4インチ、13巻コ
イルアンテナが両端で(接地された可変コンデンサLと
Tで)グラウンドプレーンから(の下)約0.25インチ
間隔をおいて成端し、プラズマ源を取り囲んでいる。反
応負荷整合が可変コンデンサL(10−3000ピコフ
ァラド可変コンデンサ、定格5kV)によって供給されて
いる。またアンテナの共振への容量性同調が同調コンデ
ンサT(5−100ピコファラド、15kV定格) によっ
て提供されている。2キロワット2MHz のソースRFエ
ネルギーを用いた動作を行うと、2インチ下流(プラズ
マ源の下)のウエハに伸長するプラズマが提供される。
これが1−2X 10 12/cm3 のプラズマ密度と基板の
下流で10−15mA/cm2 のイオン飽和電流密度を提供
する。アンテナの約2インチ下(下流)の支持電極に配
置された5インチの基板に印加される2MHz 、600ワ
ットの下部あるいはバイアスは200ボルトのカソード
シース電圧を提供する。
【0088】当業者には、本発明がドームの使用に限定
されるものではないことは明白であろう。むしろ、本発
明はプラズマ源領域とプロセス領域を有するほとんどあ
らゆる構成に適用し得るものである。これには、たとえ
ば図示するような“階段状の”ドーム型チャンバー構成
や、プラズマ源領域とプロセス領域あるいはチャンバー
部分がほぼ同じ断面を有する非階段状の構成がある。
【0089】上述したように、この発明を実施した上述
の反応装置は反応性イオンエッチング(RIE)、高圧
プラズマエッチング、スパッタファセット蒸着および平
面化を含む低圧化学蒸着(CVD)および高圧コンフォ
ーマル等方性CVD等のさまざまなプラズマ加工に独自
の効果を提供する。アプリケーションにはスパッタエッ
チング、イオンビームエッチング、あるいは電子として
はイオンあるいは活性中性プラズマ源があるが、それら
に限定されるものではない。
【0090】本発明の装置及びプロセスの好適な実施例
を記載したので、当業者は特許請求の範囲内で装置及び
方法を容易に応用し、変更しそして拡張することができ
るものである。
【図面の簡単な説明】
【図1】本発明のプラズマ反応装置の断面図
【図2】本発明のプラズマ反応装置の断面図
【図3】本発明のプラズマ反応装置の断面図
【図4】同調回路を示す図
【図5】負荷回路を示す図
【図6】RF入力を印加した負荷回路を示す図
【図7】同調回路と負荷回路の結合を示す図
【図8】同調回路と負荷回路の結合を示す他の実施例図
【図9】同調回路と負荷回路の結合を示す他の実施例図
【図10】酸化シリコンとシリコンのバイアス電圧に対
するエッチング/蒸着速度
【図11】エッチング中に印加されたDCバイアス電圧
波形図
【図12】エッチング中に印加されたDCバイアス電圧
波形の他の図
【図13】本発明の反応室のドームにおける磁石アレイ
の水平面配置図
【図14】(A)−(D)は本発明システムの色々な形
状の磁力線を示す図
【図15】(A)−(B)は本発明システムに有用なフ
ァラデーシールドの実施例を示す図
【図16】本発明システムに様々な構成要素の制御シス
テムのブロックダイアグラム
───────────────────────────────────────────────────── フロントページの続き (72)発明者 チャン ロン ヤン アメリカ合衆国 カリフォルニア州 95032 ロス ガトス リロイ アベニ ュー 16788 (72)発明者 ジェリー ユーエン クイ ウォン アメリカ合衆国 カリフォルニア州 94539 フリーモント クーガー サー クル 44994 (72)発明者 ジェフリー マークス アメリカ合衆国 カリフォルニア州 95129 サン ホセ シエロ ヴィスタ ウェイ 4730 (72)発明者 ピーター アール ケズウィック アメリカ合衆国 カリフォルニア州 94560 ニューアーク ヨアキン マリ エタ アベニュー 6371エイ (72)発明者 ディヴィッド ダブリュー グローチェ ル アメリカ合衆国 カリフォルニア州 94087 サニーヴェイル ロビン ウェ イ 927 (56)参考文献 特開 昭63−155728(JP,A) 特開 昭63−9120(JP,A) 特開 昭61−107730(JP,A)

Claims (10)

    (57)【特許請求の範囲】
  1. 【請求項1】a)プラズマを発生するための真空チャン
    バを備え、 b)チャンバ内の基板支持電極上に処理されるべき基板
    を支持し、 c)前記チャンバにフッ化炭素エッチングガスを供給
    し、 d)前記チャンバにRF電源からRFエネルギを結合
    し、前記基板を処理する前記チャンバのプラズマ源領域
    にプラズマを発生させ、 e)前記基板支持電極を介して前記チャンバにACバイ
    アス電力を容量結合して、プラズマシース電圧とイオン
    エネルギを制御し、 f)前記エッチングガスに加えて、固体シリコン源また
    は固体炭素源を前記チャンバのプラズマ源領域に提供
    し、および g)前記固体シリコン源または固体炭素源を独立して加
    熱するステップを含むプラズマエッチング方法。
  2. 【請求項2】前記固体シリコン源または固体炭素源は、
    前記チャンバ内に位置していることを特徴とする請求項
    1に記載のエッチング方法。
  3. 【請求項3】前記基板は、酸素非含有材料を覆う酸素含
    有材料を含むことを特徴とする請求項1又は2に記載の
    エッチング方法。
  4. 【請求項4】前記フッ化炭素エッチングガスは CF4, C2
    F6, C3F8から成るグループから選択されることを特徴と
    する請求項1ないし3のいずれかに記載のエッチング方
    法。
  5. 【請求項5】前記基板は単結晶シリコン、ポリシリコ
    ン、窒化シリコン、タングステンシリサイド、窒化チタ
    ニウム及びアルミニウムから成るグループから選択され
    ることを特徴とする請求項1ないし4のいずれかに記載
    のエッチング方法。
  6. 【請求項6】前記酸素含有材料は酸化シリコン或いはガ
    ラスであることを特徴とする請求項3に記載のエッチン
    グ方法。
  7. 【請求項7】50%以上のカーボンと40%以下のフッ
    素を含む不活性ポリマーが基板上に形成されていること
    を特徴とする請求項1ないし6のいずれかに記載のエッ
    チング方法。
  8. 【請求項8】a)プラズマを発生するための真空チャン
    バと、 b)前記チャンバ内の基板支持電極と、 c)前記チャンバにフッ素含有のプラズマ前駆物質であ
    るエッチングガスを供給するためのガス取出口と、 d)前記エッチングガスから高密度プラズマを発生させ
    るため、前記チャンバのプラズマ源領域にRF電源から
    RFエネルギを結合する手段と、 e)基板のプラズマシース電圧を制御するために、RF
    電源からのRFエネルギを前記基板支持電極に結合する
    手段と、 f)前記チャンバのプラズマ源領域にある固体シリコン
    源又は固体炭素源と、 g)前記固体シリコン源又は固体炭素源を所定の温度に
    加熱する手段を有し、前記固体シリコン源又は固体炭素
    源は活性化された状態を保ち、前記基板上の種々の材料
    のエッチング速度を変化することができることを特徴と
    するプラズマ反応装置。
  9. 【請求項9】a)プラズマを発生するための真空チャン
    バを備え、 b)チャンバ内の基板支持電極上に処理されるべき基板
    を支持し、 c)前記チャンバにフッ化炭素エッチングガスを供給
    し、 d)前記基板を処理するために、前記チャンバのプラズ
    マ源領域にRF電源からRFエネルギを結合し、 e)基板のプラズマシース電圧を制御するために、前記
    基板支持電極を介して前記チャンバにRFエネルギを容
    量結合し、および f)前記エッチングガスに加えて、フッ素を取り除くた
    めにプラズマに接触する固体シリコン源又は固体炭素源
    をプラズマ源領域に提供するステップを含むプラズマエ
    ッチング方法。
  10. 【請求項10】a)プラズマを発生するための真空チャ
    ンバと、 b)前記チャンバ内の基板支持電極と、 c)前記チャンバにフッ素含有のプラズマ前駆物質であ
    るエッチングガスを供給するためのガス取出口と、 d)前記エッチングガスから高密度プラズマを発生させ
    るため、前記チャンバのプラズマ源領域にRF電源から
    電磁エネルギを結合する手段と、 e)基板のプラズマシース電圧を制御するために、RF
    電源からのRFエネルギを前記基板支持電極に容量結合
    する手段と、 f)フッ素を取り除くために、プラズマ源領域内或いは
    その近傍で前記プラズマに接触する固体シリコン源又は
    固体炭素源とを有するプラズマ反応装置。
JP4340841A 1992-09-08 1992-12-22 電磁rf結合を用いたプラズマ反応装置及びその方法 Expired - Fee Related JP2625072B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US94150792A 1992-09-08 1992-09-08
US07/941507 1992-09-08

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP8196055A Division JPH0927485A (ja) 1992-09-08 1996-07-25 プラズマエッチング方法

Publications (2)

Publication Number Publication Date
JPH06112166A JPH06112166A (ja) 1994-04-22
JP2625072B2 true JP2625072B2 (ja) 1997-06-25

Family

ID=25476606

Family Applications (3)

Application Number Title Priority Date Filing Date
JP4340841A Expired - Fee Related JP2625072B2 (ja) 1992-09-08 1992-12-22 電磁rf結合を用いたプラズマ反応装置及びその方法
JP8196055A Pending JPH0927485A (ja) 1992-09-08 1996-07-25 プラズマエッチング方法
JP2001238291A Pending JP2002141341A (ja) 1992-09-08 2001-08-06 プラズマエッチング方法

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP8196055A Pending JPH0927485A (ja) 1992-09-08 1996-07-25 プラズマエッチング方法
JP2001238291A Pending JP2002141341A (ja) 1992-09-08 2001-08-06 プラズマエッチング方法

Country Status (2)

Country Link
JP (3) JP2625072B2 (ja)
KR (1) KR100265617B1 (ja)

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6165311A (en) * 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
JP3365067B2 (ja) * 1994-02-10 2003-01-08 ソニー株式会社 プラズマ装置およびこれを用いたプラズマ処理方法
US5811022A (en) * 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
GB2311298B (en) * 1996-03-18 1999-09-29 Hyundai Electronics Ind Inductively coupled plasma chemical vapor deposition apparatus
TW473857B (en) 1996-04-26 2002-01-21 Hitachi Ltd Method of manufacturing semiconductor device
TW349234B (en) * 1996-07-15 1999-01-01 Applied Materials Inc RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US6357385B1 (en) * 1997-01-29 2002-03-19 Tadahiro Ohmi Plasma device
US6155199A (en) * 1998-03-31 2000-12-05 Lam Research Corporation Parallel-antenna transformer-coupled plasma generation system
JP4153606B2 (ja) * 1998-10-22 2008-09-24 東京エレクトロン株式会社 プラズマエッチング方法およびプラズマエッチング装置
DE19933841A1 (de) * 1999-07-20 2001-02-01 Bosch Gmbh Robert Vorrichtung und Verfahren zum Ätzen eines Substrates mittels eines induktiv gekoppelten Plasmas
US20030155079A1 (en) * 1999-11-15 2003-08-21 Andrew D. Bailey Plasma processing system with dynamic gas distribution control
US6677549B2 (en) * 2000-07-24 2004-01-13 Canon Kabushiki Kaisha Plasma processing apparatus having permeable window covered with light shielding film
GB0100958D0 (en) * 2001-01-13 2001-02-28 Surface Technology Systems Ltd Plasma processing apparatus
JP2003037105A (ja) * 2001-07-26 2003-02-07 Tokyo Electron Ltd プラズマ処理装置及び方法
JP3935850B2 (ja) * 2003-01-31 2007-06-27 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20070074968A1 (en) * 2005-09-30 2007-04-05 Mirko Vukovic ICP source for iPVD for uniform plasma in combination high pressure deposition and low pressure etch process
US7968469B2 (en) * 2007-01-30 2011-06-28 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with variable height ground return path to control plasma ion density uniformity
US8129283B2 (en) 2007-02-13 2012-03-06 Hitachi High-Technologies Corporation Plasma processing method and plasma processing apparatus
US8138092B2 (en) * 2009-01-09 2012-03-20 Lam Research Corporation Spacer formation for array double patterning
JP2013532387A (ja) * 2010-06-25 2013-08-15 アプライド マテリアルズ インコーポレイテッド イオン電流を低減したプレクリーンチャンバ
TWI554630B (zh) 2010-07-02 2016-10-21 應用材料股份有限公司 減少沉積不對稱性的沉積設備及方法
JP2012104382A (ja) * 2010-11-10 2012-05-31 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法並びにプラズマ処理のバイアス電圧決定方法
JP6099891B2 (ja) * 2012-07-03 2017-03-22 キヤノン株式会社 ドライエッチング方法
JP6101031B2 (ja) * 2012-09-28 2017-03-22 東京応化工業株式会社 プラズマ処理装置および積層体の製造方法
JP6017936B2 (ja) * 2012-11-27 2016-11-02 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
JP6745166B2 (ja) * 2016-08-12 2020-08-26 株式会社アルバック 成膜方法
TWI826925B (zh) * 2018-03-01 2023-12-21 美商應用材料股份有限公司 電漿源組件和氣體分配組件
CN110223904A (zh) * 2019-07-19 2019-09-10 江苏鲁汶仪器有限公司 一种具有法拉第屏蔽装置的等离子体处理***
WO2024043065A1 (ja) * 2022-08-22 2024-02-29 東京エレクトロン株式会社 プラズマ処理装置、rfシステム、およびrf制御方法

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4581100A (en) * 1984-10-29 1986-04-08 International Business Machines Corporation Mixed excitation plasma etching system
JPS639120A (ja) * 1986-06-30 1988-01-14 Canon Inc ドライエツチング用ウエハステ−ジ
JPS63155728A (ja) * 1986-12-19 1988-06-28 Canon Inc プラズマ処理装置

Also Published As

Publication number Publication date
KR100265617B1 (ko) 2000-10-02
KR940008021A (ko) 1994-04-28
JP2002141341A (ja) 2002-05-17
JPH06112166A (ja) 1994-04-22
JPH0927485A (ja) 1997-01-28

Similar Documents

Publication Publication Date Title
JP2625072B2 (ja) 電磁rf結合を用いたプラズマ反応装置及びその方法
JP2635267B2 (ja) Rfプラズマ処理装置
US5556501A (en) Silicon scavenger in an inductively coupled RF plasma reactor
US6068784A (en) Process used in an RF coupled plasma reactor
EP0552491B1 (en) Plasma etch process and plasma processing reactor
US5888414A (en) Plasma reactor and processes using RF inductive coupling and scavenger temperature control
US6545420B1 (en) Plasma reactor using inductive RF coupling, and processes
US6251792B1 (en) Plasma etch processes
US6518195B1 (en) Plasma reactor using inductive RF coupling, and processes
US6488807B1 (en) Magnetic confinement in a plasma reactor having an RF bias electrode
US6444137B1 (en) Method for processing substrates using gaseous silicon scavenger
US20020004309A1 (en) Processes used in an inductively coupled plasma reactor
US5707486A (en) Plasma reactor using UHF/VHF and RF triode source, and process
JP2519364B2 (ja) Uhf/vhf共振アンテナ供給源を用いたプラズマリアクタ
JP6484665B2 (ja) 高アスペクト比フィーチャをエッチングするための多周波電力変調
US6497783B1 (en) Plasma processing apparatus provided with microwave applicator having annular waveguide and processing method
US6589437B1 (en) Active species control with time-modulated plasma
KR101011580B1 (ko) 이온 분포의 자기 제어에 의해 외부적으로 여기된토로이드형 플라즈마 소스
US6679981B1 (en) Inductive plasma loop enhancing magnetron sputtering
JP2543642B2 (ja) 高周波交流電気エネルギ―と相対的に低い周波数の交流電気的エネルギ―を有する、工作物を処理するためのシステムおよび方法
KR20060090602A (ko) 측벽 자석을 갖는 유도 플라스마 시스템
US6573190B1 (en) Dry etching device and dry etching method
US10381238B2 (en) Process for performing self-limited etching of organic materials
JP4478352B2 (ja) プラズマ処理装置及びプラズマ処理方法並びに構造体の製造方法
EP0469597B1 (en) Plasma processing reactor

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 19970203

LAPS Cancellation because of no payment of annual fees