JP5518174B2 - プラズマを生成する方法又はプラズマチャンバの操作方法 - Google Patents

プラズマを生成する方法又はプラズマチャンバの操作方法 Download PDF

Info

Publication number
JP5518174B2
JP5518174B2 JP2012285812A JP2012285812A JP5518174B2 JP 5518174 B2 JP5518174 B2 JP 5518174B2 JP 2012285812 A JP2012285812 A JP 2012285812A JP 2012285812 A JP2012285812 A JP 2012285812A JP 5518174 B2 JP5518174 B2 JP 5518174B2
Authority
JP
Japan
Prior art keywords
lower electrode
plasma
power
processing chamber
plasma processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2012285812A
Other languages
English (en)
Other versions
JP2013080956A (ja
Inventor
ディンドサ・ラジンダー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2013080956A publication Critical patent/JP2013080956A/ja
Application granted granted Critical
Publication of JP5518174B2 publication Critical patent/JP5518174B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25FPROCESSES FOR THE ELECTROLYTIC REMOVAL OF MATERIALS FROM OBJECTS; APPARATUS THEREFOR
    • C25F5/00Electrolytic stripping of metallic layers or coatings
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B6/00Cleaning by electrostatic means
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25FPROCESSES FOR THE ELECTROLYTIC REMOVAL OF MATERIALS FROM OBJECTS; APPARATUS THEREFOR
    • C25F1/00Electrolytic cleaning, degreasing, pickling or descaling
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25FPROCESSES FOR THE ELECTROLYTIC REMOVAL OF MATERIALS FROM OBJECTS; APPARATUS THEREFOR
    • C25F3/00Electrolytic etching or polishing
    • C25F3/16Polishing
    • C25F3/30Polishing of semiconducting materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Metallurgy (AREA)
  • Electrochemistry (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Cleaning In General (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Description

半導体ベースのデバイス(例えば、集積回路やフラットパネルディスプレイ)の製造では、材料の層が交互に基板表面(例えば、半導体ウエハまたはガラスパネル)上に蒸着されて基板表面からエッチングされてよい。当該分野で周知のように、蒸着された層のエッチングは、プラズマエッチングなど、様々な技術によって実現されてよい。プラズマエッチングでは、基板に対する実際のエッチングは、通例、プラズマ処理チャンバ内で行われる。エッチング処理の際には、プラズマが、適切なエッチャントソースガスから形成され、エッチングマスクによって保護されていないワークピースの領域をエッチングすることで、所望のパターンを残す。
基板のプラズマエッチングの際には、フォトレジストによって形成されたポリマまたはエッチング剤によってエッチングされた材料層からなるエッチング副生成物が、周辺のチャンバハードウェア上に主に堆積する。堆積した副生成物は、基板のエッチングを続けるごとに蓄積していく。基板製造のデバイスの歩留まりを向上させるためには、再生可能なチャンバを維持することが重要であり、それは、1または複数の基板がエッチングされた後に、定期的にチャンバ洗浄処理を行うことによって実現できる。通例、チャンバ洗浄は、各基板のエッチング後に実行される。
半導体基板から誘電材料をエッチングするために、容量結合真空プラズマシステムが用いられることが多い。容量システムは、プラズマ損傷が低く、下層およびフォトレジスト層に対する選択性が高いという利点を有する。しかしながら、基板エッチング後のチャンバの洗浄に容量結合プラズマを用いると、容量結合プラズマは、比較的高いイオンエネルギを有するため、露出した静電チャックに衝撃を与える。静電チャックは、基板エッチング中に基板を支持するために用いられるものであり、露出したチャックに衝撃が与えられることで、静電チャックの寿命が短くなると共に、粒子が生成される。さらに、チャンバ内の上部電極および下部電極によって生成される容量結合プラズマは、主に、静電チャック上方の中央領域に集中するため、周辺のチャンバハードウェアの洗浄には有効でない。周辺のチャンバハードウェアを完全に洗浄するためには、洗浄時間を延長する必要があり、それは、製造のスループットに影響する。現在の容量結合プラズマリアクタでは、各基板の処理(すなわちエッチング)の後のチャンバ洗浄は、一般に、上述の制約の範囲で最適化されている。
以上の点から、静電チャックの寿命を向上させ、基板の歩留まりを改善し、製造スループットを改善する改良チャンバ洗浄機構を提供する方法および装置が求められている。
概して、本発明の実施形態は、改良チャンバ洗浄機構を提供することによって、これらの要求を満たす。また、本発明の実施形態は、エッチング処理のさらなる調整を可能にする。本発明は、処理、装置、またはシステムなど、種々の形態で実施できることを理解されたい。以下では、本発明の実施形態をいくつか説明する。
一実施形態において、プラズマを生成するよう構成されたプラズマ処理チャンバは、基板を受けるよう構成された内側下部電極と、内側下部電極の外側に配置された外側下部電極とを備えた下部電極アセンブリを備えている。プラズマ処理チャンバは、上部電極を備える上部電極アセンブリを備え、上部容量電極は内側下部電極と外側下部電極との真上に配置されている。
別の実施形態において、プラズマを生成するよう構成されたプラズマ処理チャンバは、基板を受けるよう構成された内側下部電極と、内側下部電極を囲む外側下部電極とを備えた下部電極アセンブリを備えている。プラズマ処理チャンバは、内側および外側下部電極の上方に離れて配置された上部電極を備えた上部電極アセンブリを備える。上部電極は、内側下部電極と、外側下部電極の少なくとも一部とに関連する領域を規定する。内側下部電極と上部電極とは、下部電極アセンブリと上部電極アセンブリとの間に規定された空洞の第1の領域内で、第1のガスを第1の容量結合プラズマに変換するよう構成されている。外側下部電極と上部電極とは、空洞の第2の領域内で、第2のガスを第2の容量結合プラズマに変換するよう構成されている。
さらに別の実施形態では、プラズマ処理チャンバ内でプラズマを生成する方法が提供される。その方法は、まず、プラズマ処理チャンバ内にプロセスガスを供給する。次に、その方法は、下部電極アセンブリの一部であり、下部電極アセンブリの内側下部電極の周りを囲む外側下部電極に電力供給することによって、プラズマを生成する。
本発明のその他の態様および利点については、本発明の原理を例示した添付図面を参照しつつ行う以下の詳細な説明から明らかになる。
基板エッチングシステムの一実施形態を示す説明図。 プラズマシステム内で洗浄プラズマを生成する処理の流れを示すフローチャート。 プラズマシステム内でエッチングプラズマを生成する処理の流れを示すフローチャート。 基板エッチングシステムの別の実施形態を示す説明図。 基板エッチングシステムの別の実施形態を示す説明図。 プラズマシステム内で洗浄プラズマを生成する処理の流れを示すフローチャート。 プラズマシステム内でエッチングプラズマを生成する処理の流れを示すフローチャート。 基板エッチングシステムのさらに別の実施形態を示す説明図。
以下では、より効果的かつ柔軟な改良型チャンバ洗浄/エッチングシステム、方法、および装置のいくつかの代表的な実施形態について説明する。当業者にとって明らかなように、本発明は、本明細書で説明する具体的な詳細事項の一部または全てがなくとも実施可能である。
上述のように、各基板のエッチング後にチャンバ壁面状態を再生可能にすれば、歩留まりが向上する。効果的なその場(in−situ)チャンバ洗浄が、次世代のプラズマエッチングリアクタの重要な特徴になっている。本発明の一実施形態は、基板支持を囲む第2のプラズマ源を提供する。第2のプラズマ源は、処理チャンバの周辺付近に配置されており、基板エッチング後のチャンバ洗浄動作中に始動されて、基板エッチング中にエッチング副生成物が蓄積した周辺のチャンバハードウェアを洗浄することができる。図1Aは、基板支持を囲む第2のプラズマ源を有するプラズマ処理装置100の断面図である。この実施形態は、導電材料からなる下部電極131を備えており、下部電極131は、RF(高周波)整合器138およびRF電源139に対して動作可能に接続されている。下部電極131は、基板支持を兼ねており、下部電極アセンブリ130の一部である。一実施形態では、RF電源139は、多重周波数電源である。例えば、電源は、約400kHzないし約60MHzの間の範囲の混合周波数を有することができる。RF電力が下部電極131から処理チャンバ内のガスに供給されると、プラズマが生成される。供給されると、プラズマが生成される。一実施形態では、RF電源は、約1ワットないし約10ワットまでのRF電力レベルを供給する。
一実施形態では、下部電極アセンブリ130は、約−20℃ないし約70℃の温度範囲に基板を冷却できる組み込み冷却機構(図示せず)を有する。基板支持の周囲には、基板処理の際にさらなる接地路をプラズマに提供する導電リング133が配置されている。下部電極131と導電リング133との間には、基板支持と導電リング133とを絶縁する誘電リング132が設けられている。
一例では、下部電極131は、300mm基板を受けるよう適合された領域を有するが、それに限定されない。一実施形態では、電力供給された下部電極131は、基板(図示せず)を受けると共に、基板エッチング動作中に基板を下部電極131に固定するよう構成されている。基板は、周知のシステムおよび方法を用いて、下部電極に静電的に固定すなわち「チャック」される。これら周知のシステムおよび方法は、チャックおよびデチャックのための高電圧電極(図示せず)を組み込んで、誘電材料で被覆した下部電極131を含む。プラズマ処理装置100は、アース135を通して接地されたチャンバ壁140を備える。アース135は、誘電材料136によって下部電極131から隔離されている。
第1の上部電極111が、下部電極131の上方の近い距離に配置されている。一般に、上部電極111は、汚染を避けるために、基板に適合した材料から形成される。第1の上部電極111は、上部電極アセンブリ110の一部であり、アース148に接続され、RF電力のための完全な電気回路を提供している。第1の上部電極111は、下部電極131と異なる表面積を有してよい。上部電極アセンブリ110は、チャンバカバー117に接続されており、チャンバカバー117は、アース118に接地されている。例えば、第1の上部電極111は、ケイ素または炭化ケイ素などの導電材料からなるが、これに限定されない。さらに、例えば、第1の上部電極111は、下部電極から約2cmないし約3cmに位置するが、これに限定されない。
上部電極111の周囲には、誘導コイル112からなる第2の上部電極112が配置されている。誘導コイル112は、誘電材料113内に埋め込まれている。コイル112は、RF整合器126に接続されており、RF整合器126は、RF電源127に接続されている。一実施形態では、RF電源127は、混合周波数電力を供給できる。RF電源127の電力周波数は、約400kHzないし約27MHzの範囲の単一周波数または多重周波数であってよい。一実施形態では、誘導結合プラズマを生成する電力は、約0ワットないし約2000ワットの間である。
ファラデーシールド114が、誘電材料113の下方に配置されている。導電ブロック115が、誘電材料113を囲んでおり、ファラデーシールド114と電気的に接触することで、下部電極131からのRF電力のための接地路を提供している。ファラデーシールド114の下方と外縁の周囲とに、凹型の誘電リング116が配置されている。一実施形態では、誘電リング116は、石英からなる。
ファラデーシールド114は、電極のいずれかからのRF電力にRF接地路を提供する。ファラデーシールド114は、また、処理チャンバ内の接地面積と電力供給面積との間の面積比を維持する助けとなるため、容量結合プラズマ処理中のチャンバ内の電圧降下は、誘導コイル112の導入によって変化しない。同じ面積比を維持することにより、誘導コイル112を導入しても、一貫したエッチング処理が可能になる。さらに、ファラデーシールド114は、誘導源からの電場を遮蔽し、誘導プラズマ処理中の誘導コイル112からの容量結合を最小限に抑えて、チャンバ構成要素のスパッタリングを回避する。ファラデーシールドの設計方法についての詳細は、共に譲渡された米国特許出願No.10/232,564、2002年8月30日出願、「Faraday Shield Disposed within an Inductively Coupled Plasma Etching Chamber」、および、米国特許出願No.10/345,582、2003年1月15日出願、「Dual Interleaved Faraday Shieleds For An Inductively Coupled Plasma Etching Chamber」に記載されている。チャンバ洗浄中に、コイル112は、RF電源127によって電力供給され、領域150で誘導結合プラズマを生成する。チャンバ洗浄中に、導電リング133は接地され、下部電極は浮遊しているため、領域150の誘導結合プラズマは、主に、誘導コイル112と導電リング133との間に集中する。
第1の上部電極111および第2の上部電極122の周囲には、絶縁体120が配置されている。絶縁体120の下方には、プラズマ閉じ込めリング121、122、123が配置されている。1または複数のプラズマ閉じ込めリングが設けられることを理解されたい。閉じ込めリング121、122、123は、処理チャンバ内で生成されたプラズマを閉じ込める。一実施形態では、閉じ込めリング121、122、123は、石英からなる。プラズマ閉じ込めリングについての詳細は、共に譲渡された米国特許No.6,744,212、2004年6月1日発行、「Plasma Processing Apparatus And Method For Confining An RF Plasma Under Very High Gas Flow and RF Power Density Conditions」、および、米国特許No.6,872,281、2005年3月29日発行、「Chamber Configuration For Confining A Plasma」に記載されている。
ガス供給手段128が、上部電極アセンブリ110の中央部に接続されている。ガス供給手段128によって処理チャンバ100内に供給されるガスは、単一のガスであってもよいし、2以上のガスの混合ガスであってもよい。一実施形態では、ガスが上部電極アセンブリ110に到達すると、ガス供給手段128は、第1の上部電極111の中央部と縁部との両方から、処理チャンバにガスを供給する。一実施形態では、第1の上部電極111は、ガス分配シャワーヘッドを兼ねている。例えば、総ガス流量は、最大1500sccmであるが、これに限定されない。一実施形態では、上部電極アセンブリ110は、さらに、上部電極111の温度を約22℃ないし約200℃の間に維持するために利用可能な加熱板(図示せず)を有する。
上述のように、上部電極111は、アース148によって接地されている。上部電極111は、さらに、RF電源(図示せず)またはDC電源(図示せず)に接続されてもよい。第1の上部電極111と下部電極131との間の容量結合プラズマのための電源は、下部電極131ではなく、第1の上部電極111に接続された電源から供給されてもよい。上部電極111から電力が供給される場合には、下部電極131は接地される。あるいは、上部および下部電極が、RF電源を提供してもよい。例えば、第1の上部電極111のためのRF電源は、2MHz、27MHz、および60MHzの混合周波数を有してもよい。
誘導プラズマ源は、チャンバ壁の材料の有意なスパッタリングを起こすことなく、周辺領域150内で高密度プラズマを生成できる。上述のように、チャンバ壁の材料のスパッタリングは、チャンバを汚染したり、部品の寿命を短くしたりする可能性がある。誘導プラズマ源は、エッチング処理プラズマと接触する周辺のチャンバハードウェア上に堆積したポリマ(すなわち、エッチング副生成物)を効果的に洗浄できる。
図1Bは、チャンバ洗浄プラズマを生成するための処理の流れの一実施形態を示すフローチャートである。動作161において、チャンバ洗浄ガス(O2、CF4など)が、プラズマ処理チャンバに供給される。動作163において、チャンバ洗浄プラズマを生成するために、RF電力が、プラズマ処理チャンバ100の誘導コイル112に供給される。次いで、チャンバ洗浄プラズマは、チャンバの洗浄に利用されてよい。チャンバ洗浄中に、下部電極131は浮遊している。領域150内のチャンバ洗浄プラズマは、下部電極131から離れており、主に、図1Aの誘導電極112と導電リング133との間に存在する。
典型的な平行板容量結合プラズマは、エッチング処理中に基板(すなわち、ウエハ)の縁部付近でプラズマ密度の低下を示す。誘導プラズマ源は、半径方向の均一性の調整を可能にする。誘導プラズマをエッチング処理中に導入することで、主な容量性電力のRF接地路に影響を与えることなく、基板の縁部におけるプラズマ密度を増大させることができる。RF接地路は、ファラデーシールドの利用の影響を受けないことを理解されたい。さらに、誘導源プラズマは、基板上で高いプラズマ密度および非常に低いイオンエネルギを必要とする処理(例えば、低誘電体のエッチングのためのフォトレジスト剥離)に、プラズマを提供することもできる。その結果、誘導源プラズマの利用によって、リアクタのエッチングプロセスウィンドウを広げることができる。
図1Cは、エッチングプラズマを生成するための処理の流れの一実施形態を示すフローチャートである。動作171において、1または複数のエッチングガス(高アスペクト比コンタクトエッチング(HARC)用のAr、C48、およびO2、または、酸化物技術のためのAr、CF4、CHF3、およびO2)が、プラズマ処理チャンバに供給される。エッチングガスが処理チャンバに導入されると、動作173において、エッチングプラズマを生成するために、RF電力が、容量電極と誘導電極とに供給される。生成されたエッチングプラズマは、容量成分と誘導成分とを有する。基板縁部付近の誘導成分は、上述のように、基板縁部におけるプラズマ密度を増大させて、基板縁部におけるプラズマ密度の低下を補う。したがって、基板の縁部における誘導成分の追加によって、エッチングプラズマは、基板表面にわたって、より均一になることができる。
図2は、図1Aの上述の実施形態110の変形例200を示す図である。上部電極アセンブリ210には、2組の誘導コイル212Aおよび212Bが設けられている。2組の誘導コイルは、1つの内側コイル212Aおよび1つの外側コイル212Bからなる。ファラデーシールド214は、内側コイル212Aおよび外側コイル212Bの両方に対応するために、上部電極211全体の上に配置されている。ファラデーシールド214は、上述した図1Aのファラデーシールド114と同様の機能を有する。2組のコイル212Aおよび212Bは両方とも、RF整合器226に接続されており、RF整合器226は、RF電源227に接続されている。2組のコイル212Aおよび212Bが配置されたため、プロセスガスは、中央ガス供給手段228Bおよび周縁ガス供給手段228Aを通して、シャワーヘッドを兼ねる上部電極211に供給される。図2の他の構成要素は、図1Aを参照して上述したものと同様である。
チャンバ洗浄の際、洗浄プラズマを生成するために、両方の誘導コイル212Aおよび212B、または、外側コイル212Bのみ、に電力供給を行うことができる。チャンバ洗浄の際に両方のコイルに電力供給する場合には、ある割合の電力(例えば、0%ないし50%)が内側コイルに供給され、残りの電力(例えば、50%ないし100%)が外側コイル112Bに供給される。弱い誘電プラズマは、洗浄中に静電チャックを損傷しないことを理解されたい。また、内側誘電コイルを追加することで、チャンバ洗浄処理についてさらなる処理調整が可能になることを理解されたい。内側および外側のコイルに、異なる周波数または混合周波数で電力供給することも可能である。その場合、内側および外側のコイルが、別個の電源を必要とする。すなわち、さらなる電源が追加される。
一実施形態では、まず、プラズマ処理チャンバ内に洗浄ガス(または、洗浄混合ガス)を供給して、次に、全電力をコイル212Bに供給することで誘導コイル212Aおよび212Bに電力供給することにより、領域250内で誘導プラズマが生成される。チャンバ洗浄動作中には、下部電極231は浮遊したままでよく、導電リング233は接地される。
上述のように、誘導プラズマ源は、チャンバを汚染したり部品の寿命を短くしたりする可能性があるチャンバ壁材料の有意なスパッタリングを起こすことなく、周辺領域に高密度のプラズマを生成することができる。誘導プラズマ源は、エッチング処理プラズマと接触する周辺のチャンバハードウェア上に堆積したポリマ(すなわち、エッチング副生成物)を効果的に洗浄できる。
容量プラズマ電力と同様に、エッチングプラズマの均一性を調整するために、誘導コイル212Aおよび212Bの両方または一方に電力供給してよい。上部電極211と下部電極231との間で生成された容量結合プラズマに加えて、誘導電源212Aおよび212Bは、誘導プラズマを生成するためにオンにされてよく、エッチング処理中の基板にわたるプラズマの均一性を改善するために調整されてよい。容量結合プラズマでは、通例、基板の縁部におけるプラズマ密度が低い。図1Aの1または複数のコイル112、および、図2の1または複数の外側コイル212Bは、基板の縁部におけるイオン密度を上昇させる助けとなりうる。図2の1または複数の内側コイル212Aおよび1または複数の外側コイル212Bは、プラズマ密度を調整するために、同時に用いられてもよい。上述のように、ある割合の電力が、1または複数の内側コイル212Aに供給されてよく、残りの電力が、1または複数の外側コイル212Bに供給されてよい。図2の内側および外側のコイル212Aおよび212Bは、エッチング処理のさらなる処理調整を可能にする。一部の応用例では、誘導コイル(内側、外側、または両方)からの誘導電力だけを用いて、誘導プラズマを生成する。
ファラデーシールド214を用いることにより、RF接地路は影響を受けなくなっている。さらに、誘導源プラズマは、基板上で高いプラズマ密度および非常に低いイオンエネルギを必要とする処理(例えば、フォトレジストの剥離または低誘電体のエッチング)に、プラズマを提供することもできる。したがって、2組の誘電コイルを利用することで、エッチング処理のためのプロセスウィンドウが広くなる。図2に示した実施形態によってエッチングプラズマを生成するための処理の流れは、単一の誘導電極が2つの誘導電極(コイル212Aおよび212B)に置き換えられていることを除いて、図1Cの処理の流れと同様である。生成されたエッチングプラズマは、容量成分と誘導成分とを有する。誘導成分を調整して、基板にわたるプラズマの均一性を向上させることができる。
図3Aには、本発明の別の実施形態300が示されている。図3Aでは、内側下部電極331に加えて、外側下部電極335が、導電リング333の下に配置されている。内側下部電極331は、基板を支持するよう構成されている。外側下部電極335は、内側下部電極331と同じRF電源339に接続されることが可能であり、下部電極アセンブリ330の一部である。RF電源339は、約400kHzないし約60MHzの範囲の単一周波数または多重周波数を供給できる。RF電源339は、内側下部電極331と外側下部電極335とに電力を供給する電源であり、RF整合器338に接続されている。一実施形態では、スイッチ336が、それらの電極へのRF電力の印加を制御する。基板のエッチング中に、スイッチ336は、内側下部電極331に供給されるようRF電力を制御する。この処理中に、外側下部電極335は、アース337に接続されてもよいし、RF調整ブロックキット357に接続されてもよい。RF調整ブロックキット357は、電源339によって内側下部電極331に供給されるRF電力の特定の周波数を選択的に接地することを可能にする。例えば、内側下部電極331に供給されるRF電力が、2MHz、27MHz、および60MHzを含む場合、RF調整ブロックキット437による選択的な接地を用いて、1または2の特定の周波数(例えば、60MHz)を接地することで、エッチング処理の均一性を調整することができる。
内側下部電極331と外側下部電極335とに、同時または交互に電力を供給するために、別個の電源を用いてもよい。図3Aの他の構成要素は、図1Aを参照して上述したものと同様である。チャンバ洗浄の際には、電力が、外側下部電極335に供給されることで、周辺チャンバを洗浄するための容量結合プラズマが領域350に提供される。領域350の容量結合プラズマは、上部電極311と外側下部電極335との間で生成される。洗浄処理の間、下部電極は浮遊していてよいため、容量結合洗浄プラズマ、および、その悪影響を、露出した内側下部電極331(すなわち、静電チャック)から遠ざけることができる。すなわち、洗浄プラズマは、内側下部電極(すなわち、静電チャック)に衝撃を与えないため、内側下部電極(すなわち、静電チャック)の寿命を延ばすことになる。さらに、従来の洗浄技術と比べて、容量結合プラズマを用いた時に、下部電極331から生成される粒子は多くない。
周辺の容量プラズマ源は、周辺領域350で高密度のプラズマを生成する。容量プラズマ源は、エッチング処理プラズマと接触する周辺のチャンバハードウェア上に堆積したポリマ(すなわち、エッチング副生成物)を効果的に洗浄できることを理解されたい。
図3Bは、チャンバ洗浄プラズマを生成するための処理の流れの一実施形態を示すフローチャートである。動作361において、チャンバ洗浄ガス(O2、CF4など)が、プラズマ処理チャンバに供給される。動作363において、チャンバ洗浄プラズマを生成するために、RF電力が、プラズマ処理チャンバの外側下部電極に供給される。次いで、チャンバ洗浄プラズマは、チャンバの洗浄に利用されてよい。チャンバ洗浄中に、内側下部電極は浮遊したままになっている。周辺領域のチャンバ洗浄プラズマは、下部電極から十分に離れており、主に、外側下部電極と上部電極との間に配置される。
さらに、周辺の容量プラズマ源は、半径方向の均一性の調整を可能にする。周辺の容量プラズマは、主要な容量結合プラズマの電極の縁部付近でのエッチングプラズマの均一性を改善するために、エッチング処理中に導入されてよい。
図3Cは、エッチングプラズマを生成するための処理の流れの一実施形態を示すフローチャートである。動作371において、1または複数のエッチングガス(高アスペクト比コンタクトエッチング(HARC)のためのAr、C48、およびO2、または、酸化物技術のためのAr、CF4、CHF3、およびO2)が、プラズマ処理チャンバに供給される。動作373において、エッチングプラズマを生成するために、RF電力が、内側下部電極331および外側下部電極335に供給される。外側下部電極は、基板の縁部付近におけるプラズマの密度を増大させる助けとなる。
図4には、本発明の別の実施形態が示されている。図4には、誘導コイルによって形成された第2の下部電極435が、導電リング433およびファラデーシールド414の下に配置されている。第2の下部電極435は、第1の下部電極431と同じRF電源に接続されることが可能である。RF電源439は、第1の下部電極431または第2の下部電極435にRF電力を供給し、スイッチ436によって制御される。RF電源439は、約400kHzないし約60MHzの範囲の単一周波数または多重周波数を供給できる。RF電源439は、内側下部電極431と外側下部電極335とに電力を供給する電源であり、RF整合器438に接続されている。一実施形態では、スイッチ436が、それらの電極へのRF電力の印加を制御する。基板のエッチング中に、スイッチ436は、内側下部電極431に供給されるようRF電力を制御する。この処理中に、外側下部電極335は、アース437に接続されてもよいし、RF調整ブロックキット457に接続されてもよい。RF電源439は、約400kHzないし約60MHzの範囲の単一周波数または多重周波数を供給できる。RF電源439は、内側下部電極431と外側下部電極435とに電力を供給する電源であり、RF整合器438に接続されている。一実施形態では、スイッチ436が、それらの電極へのRF電力の印加を制御する。基板のエッチング中に、スイッチ436は、内側下部電極431に供給されるようRF電力を制御する。この処理中に、外側下部電極435は、アース437に接続されてもよいし、RF調整ブロックキット457に接続されてもよい。
下部電極431と第2の下部電極435とにRF電力を供給するために、別個のRF電源を用いてもよい。基板エッチング中には、下部電極431に電力が供給される。図4の他の構成要素は、図1Aを参照して上述したものと同様である。
チャンバ洗浄の際には、まず、洗浄ガスがプラズマ処理チャンバに供給される。その後、電力が、第2の下部電極435に供給されることで、周辺チャンバハードウェアを洗浄するための誘導結合プラズマが領域450に提供される。領域450の誘導結合プラズマ450は、上部電極411と第2の下部電極435との間で生成され、主に、チャンバの縁部付近に存在する。プラズマは、チャンバの縁部付近に存在し、誘導源(低イオンエネルギ)から生成されるため、それほど下部電極(すなわち、静電チャック)に衝撃を与えることがなく、下部電極(すなわち、静電チャック)の寿命を延ばすことになる。さらに、プラズマは、容量結合プラズマを利用した場合ほど多くの粒子を第1の下部電極431から生成することがない。
上述のように、誘導プラズマ源は、チャンバを汚染したり部品の寿命を短くしたりする可能性があるチャンバ壁材料の有意なスパッタリングを起こすことなく、周辺領域に高密度のプラズマを生成することができる。誘導プラズマ源は、従来技術の悪影響を排除して、エッチング処理プラズマと接触する周辺のチャンバハードウェア上に堆積したポリマ(すなわち、エッチング副生成物)を効果的に洗浄できる。
典型的な平行板容量結合プラズマは、エッチング処理中にチャンバの縁部付近でプラズマ密度の低下を示す。上述の誘導プラズマ源は、半径方向の均一性の調整を可能にする。誘導プラズマをエッチング処理中に導入することで、主な容量性電力のRF接地路に影響を与えることなく、電極の縁部におけるプラズマ密度を増大させることができる。さらに、誘導源プラズマは、基板上で高いプラズマ密度および非常に低いイオンエネルギを必要とする処理(例えば、フォトレジストの剥離または低誘電体のエッチング)に、プラズマを提供することもできる。したがって、追加の周辺電極を利用することで、エッチング処理のためのプロセスウィンドウを広くすると共に、エッチング動作の合間のチャンバの洗浄をより効果的に行うことができる。
上述のプラズマ処理チャンバは、デュアルダマシン多段処理、高アスペクト比コンタクトエッチング(HARC)、剥離など、広範囲の処理用途のための様々なプラズマ密度、イオンエネルギ、および薬剤制御を提供すると共に、容量プラズマ源と誘導プラズマ源との組み合わせによる効果的なチャンバ洗浄を行う。一実施形態では、この効果的なチャンバ洗浄を次世代の粒子抑制に適用して、歩留まりを向上させると共に、エッチングチャンバで用いられる静電チャックの寿命を延ばすことができる。
上述のプラズマ処理チャンバは、基板に対するプロセスパラメータの半径方向の均一性を制御することを可能にする。多段階のレシピを用いる処理用途は、中央部から縁部までの幅広い均一性を実現する様々な処理圧力、RF電力、および薬剤を伴う。フィーチャのサイズは小さくなり続けているが、その場での(in−situ)調整が可能になることで、多段階のレシピを用いる処理用途について厳密な均一性の制御を維持するための柔軟性が提供される。
理解を深めるために、上述の発明について、ある程度詳しく説明したが、添付の特許請求の範囲内で、ある程度の変更や変形を行ってもよいことは明らかである。したがって、本実施形態は、例示的なものであって、限定的なものではないとみなされ、本発明は、本明細書に示した詳細に限定されず、添付の特許請求の範囲および等価物の範囲内で変形されてよい。

Claims (20)

  1. プラズマ処理チャンバ内でプラズマを生成する方法であって、
    前記プラズマ処理チャンバが洗浄動作を実行するよう設定することを備え、前記プラズマ処理チャンバは、誘電リングによって電気的に絶縁されている内側下部電極と外側下部電極とを備える下部電極アセンブリと、前記内側下部電極の上方に配置される上部電極とを有し、
    前記設定は、
    前記内側下部電極が、高周波(RF)電源に接続されるのではなく、浮遊電位になるように設定し、
    前記内側下部電極を前記浮遊電位に維持しつつ、前記上部電極を接地し、
    前記プラズマ処理チャンバ内にプロセスガスを供給し、
    前記外側下部電極にRF電力を供給すること、を含み、前記内側下部電極を前記浮遊電位に維持しつつ前記外側下部電極にRF電力を供給することは、実質的に前記内側下部電極の外側であって前記外側下部電極の上方に生成されるプラズマを生成する、方法。
  2. 請求項1に記載の方法であって、前記外側下部電極へのRF電力の供給は、RF電力を前記内側下部電極から前記外側下部電極に切り換える、方法。
  3. 請求項1に記載の方法であって、前記プラズマは、前記チャンバの内部周辺領域に隣接して生成され、前記内部周辺領域の表面に付着するプラズマ副生成物の除去を助ける、方法。
  4. 請求項1に記載の方法であって、前記RF電力が前記外側下部電極に供給される時に、前記プラズマ処理チャンバの前記上部電極は、容量結合が可能なようにアースに接続されている、方法。
  5. 請求項1に記載の方法であって、前記プロセスガスは、前記プラズマ処理チャンバ内でのドライエッチングを可能にするために調整される、方法。
  6. 請求項1に記載の方法であって、前記プラズマは、前記プラズマ処理チャンバの処理容積内のプラズマ閉じ込め構造の上および周辺に蓄積したエッチング副生成物に衝突するように、前記プラズマ閉じ込め構造に隣接して生成される、方法。
  7. プラズマ処理チャンバ内でプラズマを生成する方法であって、
    前記プラズマ処理チャンバが洗浄動作を行うよう設定することを備え、前記プラズマ処理チャンバは、誘電リングによって電気的に絶縁されている内側下部電極と外側下部電極とを備える下部電極アセンブリと、前記内側下部電極の上方に配置される上部電極とを有し、
    前記設定は、
    前記内側下部電極が、高周波(RF)電源に接続されるのではなく、浮遊電位になるように設定し、
    前記内側下部電極を前記浮遊電位に維持しつつ、前記上部電極を接地し、
    前記プラズマ処理チャンバ内にプロセスガスを供給し、
    前記外側下部電極にRF電力を供給すること、を含み、前記内側下部電極を前記浮遊電位に維持しつつ前記外側下部電極にRF電力を供給することは、実質的に前記内側下部電極の外側であって前記外側下部電極の上方に生成されるプラズマを生成し、
    前記内側下部電極は、半導体ウエハを受け入れるための領域を規定し、前記半導体ウエハは、前記洗浄動作を達成するために前記RF電力が前記外側下部電極に供給される時に存在しない、方法。
  8. 請求項7に記載の方法であって、前記外側下部電極へのRF電力の供給は、RF電力を前記内側下部電極から前記外側下部電極に切り換える、方法。
  9. 請求項7に記載の方法であって、前記プラズマは、前記チャンバの内部周辺領域に隣接して生成され、前記内部周辺領域の表面に付着するプラズマ副生成物の除去を助ける、方法。
  10. 請求項7に記載の方法であって、前記RF電力が前記外側下部電極に供給される時に、前記プラズマ処理チャンバの前記上部電極は、容量結合が可能なようにアースに接続されている、方法。
  11. 請求項7に記載の方法であって、前記プロセスガスは、前記プラズマ処理チャンバ内でのドライエッチングを可能にするために調整される、方法。
  12. 請求項7に記載の方法であって、前記プラズマは、前記プラズマ処理チャンバの処理容積内のプラズマ閉じ込め構造の上および周辺に蓄積したエッチング副生成物に衝突するように、前記プラズマ閉じ込め構造に隣接して生成される、方法。
  13. プラズマ処理チャンバ内に半導体ウエハが存在しない時に、前記プラズマ処理チャンバの内部領域を洗浄するために前記プラズマ処理チャンバを操作する方法であって、
    前記洗浄中に、
    前記プラズマ処理チャンバの内側下部電極が、高周波(RF)電源に接続されるのではなく、浮遊電位になるよう設定し、前記プラズマ処理チャンバは、誘電リングによって電気的に絶縁されている内側下部電極と外側下部電極とを備える下部電極アセンブリと、前記内側下部電極の上方に配置される上部電極とを有し、前記内側下部電極は、前記半導体ウエハを保持するための領域を規定し、
    前記プラズマ処理チャンバ内にプロセスガスを供給し、
    前記内側下部電極を前記浮遊電位に維持しつつ、前記上部電極を接地し、
    前記外側下部電極にRF電力を供給すること、を備え、前記外側下部電極へのRF電力供給は、前記内側下部電極を前記浮遊電位に維持したまま実行され、前記RF電力は、実質的に前記内側下部電極の外側であって前記外側下部電極の上方に生成されるプラズマを生成する、方法。
  14. 請求項13に記載の方法であって、前記外側下部電極へのRF電力の供給は、RF電力を前記内側下部電極から前記外側下部電極に切り換える、方法。
  15. 請求項13に記載の方法であって、前記プラズマは、前記チャンバの内部周辺領域に隣接して生成され、前記内部周辺領域の表面に付着するプラズマ副生成物の除去を助ける、方法。
  16. 請求項13に記載の方法であって、前記RF電力が前記外側下部電極に供給される時に、前記プラズマ処理チャンバの前記上部電極は、容量結合が可能なようにアースに接続されている、方法。
  17. 請求項13に記載の方法であって、前記プロセスガスは、前記プラズマ処理チャンバ内でのドライエッチングを可能にするために調整される、方法。
  18. 請求項13に記載の方法であって、前記プラズマは、前記プラズマ処理チャンバの処理容積内のプラズマ閉じ込め構造の上および周辺に蓄積したエッチング副生成物に衝突するように、前記プラズマ閉じ込め構造に隣接して生成される、方法。
  19. 請求項1に記載の方法であって、前記上部電極は、前記内側下部電極と向かい合う平面を有する、方法。
  20. 請求項7に記載の方法であって、前記上部電極は、前記内側下部電極と向かい合う平面を有する、方法。
JP2012285812A 2006-02-15 2012-12-27 プラズマを生成する方法又はプラズマチャンバの操作方法 Active JP5518174B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/355,458 2006-02-15
US11/355,458 US8012306B2 (en) 2006-02-15 2006-02-15 Plasma processing reactor with multiple capacitive and inductive power sources

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2008555398A Division JP5336199B2 (ja) 2006-02-15 2007-02-15 複数の容量および誘導プラズマ源を備えたプラズマ処理リアクタ

Publications (2)

Publication Number Publication Date
JP2013080956A JP2013080956A (ja) 2013-05-02
JP5518174B2 true JP5518174B2 (ja) 2014-06-11

Family

ID=38367027

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2008555398A Active JP5336199B2 (ja) 2006-02-15 2007-02-15 複数の容量および誘導プラズマ源を備えたプラズマ処理リアクタ
JP2012139706A Active JP5470421B2 (ja) 2006-02-15 2012-06-21 プラズマ処理チャンバ
JP2012285812A Active JP5518174B2 (ja) 2006-02-15 2012-12-27 プラズマを生成する方法又はプラズマチャンバの操作方法

Family Applications Before (2)

Application Number Title Priority Date Filing Date
JP2008555398A Active JP5336199B2 (ja) 2006-02-15 2007-02-15 複数の容量および誘導プラズマ源を備えたプラズマ処理リアクタ
JP2012139706A Active JP5470421B2 (ja) 2006-02-15 2012-06-21 プラズマ処理チャンバ

Country Status (10)

Country Link
US (3) US8012306B2 (ja)
EP (1) EP1993745B1 (ja)
JP (3) JP5336199B2 (ja)
KR (2) KR101391006B1 (ja)
CN (1) CN101557885B (ja)
AT (1) ATE552923T1 (ja)
MY (1) MY151896A (ja)
SG (1) SG169982A1 (ja)
TW (1) TWI354309B (ja)
WO (1) WO2007095388A2 (ja)

Families Citing this family (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070068623A1 (en) * 2005-09-27 2007-03-29 Yunsang Kim Apparatus for the removal of a set of byproducts from a substrate edge and methods therefor
US7909960B2 (en) * 2005-09-27 2011-03-22 Lam Research Corporation Apparatus and methods to remove films on bevel edge and backside of wafer
US8911590B2 (en) * 2006-02-27 2014-12-16 Lam Research Corporation Integrated capacitive and inductive power sources for a plasma etching chamber
US20070245960A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion density
US9184043B2 (en) * 2006-05-24 2015-11-10 Lam Research Corporation Edge electrodes with dielectric covers
US8398778B2 (en) 2007-01-26 2013-03-19 Lam Research Corporation Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter
EP2053631A1 (fr) * 2007-10-22 2009-04-29 Industrial Plasma Services & Technologies - IPST GmbH Procédé et dispositif pour le traitement par plasma de substrats au défilé
US20090286397A1 (en) * 2008-05-15 2009-11-19 Lam Research Corporation Selective inductive double patterning
US8299391B2 (en) * 2008-07-30 2012-10-30 Applied Materials, Inc. Field enhanced inductively coupled plasma (Fe-ICP) reactor
US8382941B2 (en) * 2008-09-15 2013-02-26 Micron Technology, Inc. Plasma reactor with adjustable plasma electrodes and associated methods
US20100098875A1 (en) * 2008-10-17 2010-04-22 Andreas Fischer Pre-coating and wafer-less auto-cleaning system and method
US8317450B2 (en) * 2008-10-30 2012-11-27 Lam Research Corporation Tactile wafer lifter and methods for operating the same
JP5391659B2 (ja) * 2008-11-18 2014-01-15 東京エレクトロン株式会社 プラズマ処理装置
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US8540844B2 (en) * 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
US8900471B2 (en) * 2009-02-27 2014-12-02 Applied Materials, Inc. In situ plasma clean for removal of residue from pedestal surface without breaking vacuum
JP5657262B2 (ja) 2009-03-27 2015-01-21 東京エレクトロン株式会社 プラズマ処理装置
US9287092B2 (en) 2009-05-01 2016-03-15 Advanced Energy Industries, Inc. Method and apparatus for controlling ion energy distribution
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US9435029B2 (en) * 2010-08-29 2016-09-06 Advanced Energy Industries, Inc. Wafer chucking system for advanced plasma ion energy processing systems
US9287086B2 (en) 2010-04-26 2016-03-15 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution
US20110139748A1 (en) 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
US9309594B2 (en) 2010-04-26 2016-04-12 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution of a projected plasma
US9793126B2 (en) 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
US9117767B2 (en) 2011-07-21 2015-08-25 Lam Research Corporation Negative ion control for dielectric etch
US9362089B2 (en) 2010-08-29 2016-06-07 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
JP5730521B2 (ja) * 2010-09-08 2015-06-10 株式会社日立ハイテクノロジーズ 熱処理装置
US20120088371A1 (en) * 2010-10-07 2012-04-12 Applied Materials, Inc. Methods for etching substrates using pulsed dc voltage
US20130098390A1 (en) * 2011-10-25 2013-04-25 Infineon Technologies Ag Device for processing a carrier and a method for processing a carrier
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US9263240B2 (en) 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
US9396908B2 (en) * 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
WO2013078098A1 (en) * 2011-11-23 2013-05-30 Lam Research Corporation Multi zone gas injection upper electrode system
CN104011838B (zh) 2011-11-24 2016-10-05 朗姆研究公司 具有柔性对称的rf返回带的等离子体处理室
US20130220975A1 (en) * 2012-02-27 2013-08-29 Rajinder Dhindsa Hybrid plasma processing systems
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
US9210790B2 (en) 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
US9105447B2 (en) 2012-08-28 2015-08-11 Advanced Energy Industries, Inc. Wide dynamic range ion energy bias control; fast ion energy switching; ion energy control and a pulsed bias supply; and a virtual front panel
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
CN104217914B (zh) * 2013-05-31 2016-12-28 中微半导体设备(上海)有限公司 等离子体处理装置
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
JP6574547B2 (ja) 2013-12-12 2019-09-11 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
CN103752562A (zh) * 2014-02-13 2014-04-30 苏州众显电子科技有限公司 一种利用等离子清洗机洗液晶显示屏基板工艺
CN205741208U (zh) * 2015-09-16 2016-11-30 应用材料公司 用于改进的等离子体处理腔室的***和设备
CN106548914B (zh) * 2015-09-17 2018-10-30 中微半导体设备(上海)有限公司 一种等离子体处理设备及其清洗***和方法
CN106920726B (zh) * 2015-12-24 2018-10-12 中微半导体设备(上海)有限公司 等离子体处理装置及其清洗方法
CN109478489B (zh) 2016-07-14 2021-08-10 东京毅力科创株式会社 用于多区域电极阵列中的rf功率分配的方法
CN108269728A (zh) * 2016-12-30 2018-07-10 中微半导体设备(上海)有限公司 电容耦合等离子体处理装置与等离子体处理方法
CN107610999A (zh) * 2017-08-28 2018-01-19 北京北方华创微电子装备有限公司 下电极机构及反应腔室
KR20200100642A (ko) 2017-11-17 2020-08-26 에이이에스 글로벌 홀딩스 피티이 리미티드 플라즈마 프로세싱을 위한 이온 바이어스 전압의 공간 및 시간 제어
TWI767088B (zh) 2017-11-17 2022-06-11 新加坡商Aes全球公司 電漿處理系統,用於調變其中的電源的控制方法及相關的電漿處理控制系統
US10607813B2 (en) 2017-11-17 2020-03-31 Advanced Energy Industries, Inc. Synchronized pulsing of plasma processing source and substrate bias
TWI697261B (zh) * 2018-05-22 2020-06-21 呈睿國際股份有限公司 感應耦合電漿蝕刻系統及其切換式匹配裝置
US11094508B2 (en) * 2018-12-14 2021-08-17 Applied Materials, Inc. Film stress control for plasma enhanced chemical vapor deposition
US10588212B1 (en) * 2019-05-22 2020-03-10 Georges J. Gorin Plasma initiation in an inductive RF coupling mode
CN112103163B (zh) * 2019-06-17 2022-06-17 北京北方华创微电子装备有限公司 下电极装置及相关等离子体***
CN114222958B (zh) 2019-07-12 2024-03-19 先进工程解决方案全球控股私人有限公司 具有单个受控开关的偏置电源
JP7494291B2 (ja) 2019-09-06 2024-06-03 ラム リサーチ コーポレーション 半導体装置用の収着チャンバ壁
CN110867406A (zh) * 2019-11-27 2020-03-06 北京北方华创微电子装备有限公司 静电卡盘及半导体加工设备
US20210319989A1 (en) * 2020-04-13 2021-10-14 Applied Materials, Inc. Methods and apparatus for processing a substrate
CN114496693A (zh) * 2020-11-11 2022-05-13 中微半导体设备(上海)股份有限公司 多区加热装置、下电极组件、等离子处理装置及调温方法
US11942309B2 (en) 2022-01-26 2024-03-26 Advanced Energy Industries, Inc. Bias supply with resonant switching
US11670487B1 (en) 2022-01-26 2023-06-06 Advanced Energy Industries, Inc. Bias supply control and data processing
US20230317411A1 (en) * 2022-03-13 2023-10-05 Applied Materials, Inc. Radio frequency source for inductively coupled and capacitively coupled plasmas in substrate processing chambers
US11978613B2 (en) 2022-09-01 2024-05-07 Advanced Energy Industries, Inc. Transition control in a bias supply

Family Cites Families (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3678612D1 (de) 1985-05-20 1991-05-16 Tegal Corp Plasmareaktor mit entnehmbarem einsatz.
US4793975A (en) 1985-05-20 1988-12-27 Tegal Corporation Plasma Reactor with removable insert
JP2554896B2 (ja) 1987-10-01 1996-11-20 セイコーエプソン株式会社 プラズマcvd装置
JPH0364460A (ja) 1989-07-31 1991-03-19 Hitachi Ltd 薄膜形成装置
US5273588A (en) 1992-06-15 1993-12-28 Materials Research Corporation Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means
US5286297A (en) * 1992-06-24 1994-02-15 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5865896A (en) * 1993-08-27 1999-02-02 Applied Materials, Inc. High density plasma CVD reactor with combined inductive and capacitive coupling
US5571366A (en) * 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
US6391147B2 (en) * 1994-04-28 2002-05-21 Tokyo Electron Limited Plasma treatment method and apparatus
DE69531880T2 (de) * 1994-04-28 2004-09-09 Applied Materials, Inc., Santa Clara Verfahren zum Betreiben eines CVD-Reaktors hoher Plasma-Dichte mit kombinierter induktiver und kapazitiver Einkopplung
US5540800A (en) * 1994-06-23 1996-07-30 Applied Materials, Inc. Inductively coupled high density plasma reactor for plasma assisted materials processing
US5685942A (en) * 1994-12-05 1997-11-11 Tokyo Electron Limited Plasma processing apparatus and method
US5523261A (en) * 1995-02-28 1996-06-04 Micron Technology, Inc. Method of cleaning high density inductively coupled plasma chamber using capacitive coupling
US5534751A (en) * 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
US6902683B1 (en) 1996-03-01 2005-06-07 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
US6054013A (en) * 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
JP4084335B2 (ja) * 1996-03-01 2008-04-30 株式会社日立製作所 プラズマエッチング処理装置
JPH09260098A (ja) * 1996-03-19 1997-10-03 Fujitsu Ltd プラズマ処理装置
US5788799A (en) 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
JP2929275B2 (ja) * 1996-10-16 1999-08-03 株式会社アドテック 透磁コアを有する誘導結合型−平面状プラズマの発生装置
US5983906A (en) 1997-01-24 1999-11-16 Applied Materials, Inc. Methods and apparatus for a cleaning process in a high temperature, corrosive, plasma environment
US5824607A (en) * 1997-02-06 1998-10-20 Applied Materials, Inc. Plasma confinement for an inductively coupled plasma reactor
JPH10242134A (ja) 1997-02-28 1998-09-11 Sharp Corp プラズマcvd装置
JPH10251849A (ja) 1997-03-07 1998-09-22 Tadahiro Omi スパッタリング装置
JPH10326772A (ja) * 1997-05-26 1998-12-08 Ricoh Co Ltd ドライエッチング装置
JP3676919B2 (ja) * 1997-10-09 2005-07-27 株式会社アルバック 反応性イオンエッチング装置
JP2000021598A (ja) * 1998-07-02 2000-01-21 Sony Corp プラズマ処理装置
JP4066214B2 (ja) 1998-07-24 2008-03-26 財団法人国際科学振興財団 プラズマプロセス装置
US6178919B1 (en) * 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
US6367413B1 (en) * 1999-06-15 2002-04-09 Tokyo Electron Limited Apparatus for monitoring substrate biasing during plasma processing of a substrate
US6232236B1 (en) * 1999-08-03 2001-05-15 Applied Materials, Inc. Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system
US6518190B1 (en) 1999-12-23 2003-02-11 Applied Materials Inc. Plasma reactor with dry clean apparatus and method
US6447636B1 (en) 2000-02-16 2002-09-10 Applied Materials, Inc. Plasma reactor with dynamic RF inductive and capacitive coupling control
JP3586197B2 (ja) * 2000-03-23 2004-11-10 シャープ株式会社 薄膜形成用プラズマ成膜装置
US6872281B1 (en) * 2000-09-28 2005-03-29 Lam Research Corporation Chamber configuration for confining a plasma
JP2003155569A (ja) * 2001-11-16 2003-05-30 Nec Kagoshima Ltd プラズマcvd装置及びそのクリーニング方法
US6744212B2 (en) 2002-02-14 2004-06-01 Lam Research Corporation Plasma processing apparatus and method for confining an RF plasma under very high gas flow and RF power density conditions
KR100442194B1 (ko) 2002-03-04 2004-07-30 주식회사 씨싸이언스 웨이퍼 건식 식각용 전극
US6841943B2 (en) * 2002-06-27 2005-01-11 Lam Research Corp. Plasma processor with electrode simultaneously responsive to plural frequencies
JP2004349171A (ja) 2003-05-23 2004-12-09 Cataler Corp 固体高分子型燃料電池用触媒層
KR20050004995A (ko) * 2003-07-01 2005-01-13 삼성전자주식회사 플라즈마를 이용하는 기판 가공 장치
JP4413084B2 (ja) * 2003-07-30 2010-02-10 シャープ株式会社 プラズマプロセス装置及びそのクリーニング方法
US7405521B2 (en) * 2003-08-22 2008-07-29 Lam Research Corporation Multiple frequency plasma processor method and apparatus
US7144521B2 (en) 2003-08-22 2006-12-05 Lam Research Corporation High aspect ratio etch using modulation of RF powers of various frequencies
US20050241762A1 (en) 2004-04-30 2005-11-03 Applied Materials, Inc. Alternating asymmetrical plasma generation in a process chamber
JP4456412B2 (ja) * 2004-05-27 2010-04-28 株式会社日立製作所 プラズマ処理装置
JP4773079B2 (ja) 2004-11-26 2011-09-14 株式会社日立ハイテクノロジーズ プラズマ処理装置の制御方法
US20060278339A1 (en) 2005-06-13 2006-12-14 Lam Research Corporation, A Delaware Corporation Etch rate uniformity using the independent movement of electrode pieces
US7683289B2 (en) 2005-12-16 2010-03-23 Lam Research Corporation Apparatus and method for controlling plasma density profile
US8911590B2 (en) 2006-02-27 2014-12-16 Lam Research Corporation Integrated capacitive and inductive power sources for a plasma etching chamber

Also Published As

Publication number Publication date
TW200811905A (en) 2008-03-01
JP2009527128A (ja) 2009-07-23
EP1993745A4 (en) 2010-03-17
KR20080094794A (ko) 2008-10-24
KR20130124394A (ko) 2013-11-13
TWI354309B (en) 2011-12-11
JP5336199B2 (ja) 2013-11-06
US20070186855A1 (en) 2007-08-16
CN101557885A (zh) 2009-10-14
WO2007095388A2 (en) 2007-08-23
MY151896A (en) 2014-07-14
JP2012212916A (ja) 2012-11-01
US20110277784A1 (en) 2011-11-17
US8012306B2 (en) 2011-09-06
ATE552923T1 (de) 2012-04-15
US8337623B2 (en) 2012-12-25
US20120279659A1 (en) 2012-11-08
EP1993745A2 (en) 2008-11-26
SG169982A1 (en) 2011-04-29
JP5470421B2 (ja) 2014-04-16
JP2013080956A (ja) 2013-05-02
US8906197B2 (en) 2014-12-09
CN101557885B (zh) 2015-03-11
KR101455954B1 (ko) 2014-10-31
KR101391006B1 (ko) 2014-04-30
WO2007095388A3 (en) 2007-12-13
EP1993745B1 (en) 2012-04-11

Similar Documents

Publication Publication Date Title
JP5518174B2 (ja) プラズマを生成する方法又はプラズマチャンバの操作方法
JP5215875B2 (ja) プラズマエッチングチャンバのための統合型の容量および誘導電源
KR101526020B1 (ko) 플라즈마 프로세싱 챔버 및 이의 내에서 기판의 베벨 에지 및 챔버 내부를 세정하는 방법
US8222157B2 (en) Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof
US9508530B2 (en) Plasma processing chamber with flexible symmetric RF return strap
US7837825B2 (en) Confined plasma with adjustable electrode area ratio
EP1446825A1 (en) Apparatus and method for improving etch rate uniformity

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130125

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20130125

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130208

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140304

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140401

R150 Certificate of patent or registration of utility model

Ref document number: 5518174

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250