JP6392996B2 - 3次元p−i−nメモリデバイスおよび正孔電流検出を用いたその読取方法 - Google Patents

3次元p−i−nメモリデバイスおよび正孔電流検出を用いたその読取方法 Download PDF

Info

Publication number
JP6392996B2
JP6392996B2 JP2017545900A JP2017545900A JP6392996B2 JP 6392996 B2 JP6392996 B2 JP 6392996B2 JP 2017545900 A JP2017545900 A JP 2017545900A JP 2017545900 A JP2017545900 A JP 2017545900A JP 6392996 B2 JP6392996 B2 JP 6392996B2
Authority
JP
Japan
Prior art keywords
layer
semiconductor
dielectric
memory
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2017545900A
Other languages
English (en)
Other versions
JP2018515906A (ja
Inventor
榊原 清彦
清彦 榊原
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SanDisk Technologies LLC
Original Assignee
SanDisk Technologies LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by SanDisk Technologies LLC filed Critical SanDisk Technologies LLC
Publication of JP2018515906A publication Critical patent/JP2018515906A/ja
Application granted granted Critical
Publication of JP6392996B2 publication Critical patent/JP6392996B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • H10B43/35EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region with cell select transistors, e.g. NAND
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/04Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS
    • G11C16/0483Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS comprising cells having several storage transistors connected in series
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/04Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS
    • G11C16/0408Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS comprising cells containing floating gate transistors
    • G11C16/0441Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS comprising cells containing floating gate transistors comprising cells containing multiple floating gate devices, e.g. separate read-and-write FAMOS transistors with connected floating gates
    • G11C16/045Floating gate memory cells with both P and N channel memory transistors, usually sharing a common floating gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40114Multistep manufacturing processes for data storage electrodes the electrodes comprising a conductor-insulator-conductor-insulator-semiconductor structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40117Multistep manufacturing processes for data storage electrodes the electrodes comprising a charge-trapping insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42324Gate electrodes for transistors with a floating gate
    • H01L29/42328Gate electrodes for transistors with a floating gate with at least one additional gate other than the floating gate and the control gate, e.g. program gate, erase gate or select gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/4234Gate electrodes for transistors with charge trapping gate insulator
    • H01L29/42344Gate electrodes for transistors with charge trapping gate insulator with at least one additional gate, e.g. program gate, erase gate or select gate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)

Description

本出願は、2015年5月8日に出願された米国特許出願第14/707,459号に基づく利益を主張する。前述の出願の内容全体は参照により本明細書に組み込まれる。
本開示は概して半導体デバイスの分野に関し、詳細には垂直NANDストリングなどの3次元半導体デバイスおよびその作製方法に関する。
1セルあたり1つのビットを有する3次元垂直NANDストリングは、T.Endohらによる、“Novel Ultra High Density Memory With A Stacked−Surrounding Gate Transistor(S−SGT) Structured Cell(スタック型周囲ゲートトランジスタ(S−SGT)構造セルを備えた新規超高濃度メモリ)”と題された記事(IEDM議事録(2001)33−36)に開示されている。
本開示の一態様によれば、絶縁層および導電層を含み、かつ基板の上に配置された交互層のスタックと、スタックを通って延在するメモリスタック構造であって、基板の上面に対して実質的に垂直にスタックを通って垂直方向に延在するメモリ膜および半導体p−i−n接合構造を含むメモリスタック構造とを含むモノリシック3次元メモリデバイスが提供される。半導体p−i−n接合構造は、真性半導体部分と、第1導電型のドーピングを有する下部ドープ半導体部分との間の下部接合部、および、真性半導体部分と、第2導電型のドーピングを有する上部ドープ半導体部分との間の上部接合部を有する。第1および第2導電型のうちの一方はp型であり、第1および第2導電型の他方はn型である。
本開示の別の態様によれば、不揮発性メモリデバイスを読み取る方法は、半導体p−i−n接合構造と複数のゲート電極との間に配置された複数の電荷蓄積素子を含む不揮発性メモリデバイスを提供するステップと、半導体p−i−n接合構造を通過する正孔電流を測定することによって複数の電荷蓄積素子内に記憶されたデータを読み取るステップとを含む。
本開示の別の態様によれば、メモリデバイスを作製する方法が提供される。第1材料層および第2材料層を含む交互層のスタックが基板の上に形成される。メモリ開口部が、交互層のスタックを貫いて基板の上面まで形成される。第1導電型のドーピングを有する下部ドープ半導体部分が、メモリ開口部の下側部分に形成される。メモリ膜がメモリ開口部の側壁上に形成される。真性半導体チャネル部分が、下部ドープ半導体部分およびメモリ膜上に形成される。第2導電型のドーピングを有する上部ドープ半導体部分が、真性半導体部分上に形成される。第1および第2導電型のうちの一方はp型であり、第1および第2導電型の他方はn型である。
本開示の第1実施形態による交互の複数の材料層を含むスタックおよびスタックを貫いて延在するメモリ開口部の形成後の例示的な構造の垂直断面図である。
本開示の第1実施形態によるメモリスタック構造を形成するために用いられる様々な処理ステップ中の例示的な構造内のメモリ開口部の連続的な垂直断面図である。
本開示の第1実施形態によるメモリスタック構造の形成後の例示的な構造の垂直断面図である。
本開示の第1実施形態による段付きテラスおよびレトロステップ誘電材料部分の形成後の例示的な構造の垂直断面図である。
本開示の第1実施形態による裏側トレンチの形成後の例示的な構造の垂直断面図である。
図5Aの例示的な構造の部分透視平面図である。垂直面A−A’は図5Aの垂直断面図の面である。
本開示の第1実施形態による裏側リセスの形成後の例示的な構造の垂直断面図である。
本開示の第1実施形態による裏側ブロッキング誘電体層の形成後の例示的な構造の垂直断面図である。
本開示の第1実施形態による導電層の形成後の例示的な構造の垂直断面図である。
本発明の第1実施形態による、裏側トレンチの内部から伝導性材料を除去し、裏側トレンチを誘電性充填材料で満たした後の例示的な構造の垂直断面図である。
本開示の第1実施形態による、様々なコンタクトビアを形成した後の例示的な構造の垂直断面図である。
本開示の第1実施形態による様々なコンタクトビア構造の形成後の例示的な構造の垂直断面図である。
本開示の第1実施形態による例示的な構造の第1代替実施形態の垂直断面図である。
本開示の第2実施形態による例示的な構造の第2代替実施形態の垂直断面図である。
図13Aは、デバイスの読み取り動作中に印加される例示的な電圧を示す例示的なデバイスの概略図である。図13Bは、図13Aの例示的なデバイスの動作中の正孔の分布を示す概略図である。
上記したように、本開示は、垂直NANDストリングなどの3次元メモリ構造および他の3次元デバイス、ならびにその作製方法を対象とし、その様々な態様を以下に記載する。本開示の実施形態は、多レベルメモリ構造を含む様々な構造を形成するために使用可能であり、その非限定的な例には、複数のNANDメモリストリングを含む3次元モノリシックメモリアレイデバイスなどの半導体デバイスが含まれる。図面は原寸に比例して描かれていない。素子の複製がないことが明示的に記載されていないか、他の方法で明確に示されていない限り、素子の単一の例が説明されている場合、素子の複数の例が複製されてもよい。「第1(first)」、「第2(second)」、および「第3(third)」などの序数は、単に類似の素子を識別するために使用され、本開示の明細書および特許請求の範囲全体にわたって異なる序数を使用することができる。本明細書で使用される場合、第2素子の「上(on)」に位置する第1素子は、第2素子の表面の外側または第2素子の内側に配置することができる。本明細書で使用される場合、第1素子の表面と第2素子の表面との間に物理的接触が存在する場合、第1素子は第2素子「の上に直接(directly on)」配置される。
本明細書で使用される場合、「層(layer)」とは、実質的に均一な厚さを有する領域を含む材料部分を指す。層は、下または上に横たわる構造の全体にわたって広がっていてもよく、または、下または上に横たわる構造の範囲よりも小さい範囲を有していてもよい。さらに、層は、隣接構造の厚さよりも薄い厚さを有する均一または不均一な隣接構造の領域であってもよい。例えば、層は、隣接構造の上面と底面との間の、または上面および底面の水平面のいずれかの対の間に配置されてもよい。層は、水平方向に、垂直方向に、および/またはテーパ表面に沿って延在してもよい。基板は、層であってもよく、その中に1つまたは複数の層を含んでいてもよく、またはその上に、それより上に、および/またはそれより下に1つまたは複数の層を有してもよい。
モノリシック3次元メモリアレイは、介在する基板なしに複数のメモリレベルが例えば半導体ウェハなどの単一の基板の上に形成されるメモリアレイである。「モノリシック(monolithic)」という用語は、アレイの各レベルの層が、アレイのそれぞれの下に横たわるレベルの層の上に直接堆積されることを意味する。これとは対照的に、2次元アレイは、別々に形成され、次いで非モノリシックメモリデバイスを形成するために一緒にパッケージ化され得る。例えば、非モノリシック積層メモリは、“Three−Dimensional Structure Memory”と題された米国特許第5,915,167号明細書に記載されているように、別々の基板上にメモリレベルを形成し、それらメモリレベルを垂直に積み重ねることによって構成されている。基板はボンディング前に薄くすることができる、またはメモリレベルから取り除くことができるが、メモリレベルは、最初は別々の基板の上に形成されるので、このようなメモリは真のモノリシックな3次元メモリアレイではない。本開示の様々な3次元メモリデバイスは、モノリシックな3次元NANDストリングメモリデバイスを含み、本明細書に記載の様々な実施形態を用いて製造することができる。
図1を参照すると、本開示の第1実施形態による例示的な構造が示されており、この実施形態は例えば垂直NANDメモリデバイスを含むデバイス構造を製造するために使用することができる。この例示的な構造は、半導体基板とすることができる基板を含む。基板は基板半導体層9を含む。基板半導体層9は半導体材料層であり、少なくとも1種類の元素半導体材料、少なくとも1種類のIII−V化合物半導体材料、少なくとも1種類のII−VI化合物半導体材料、少なくとも1種類の有機半導体材料、または当技術分野で知られている他の半導体材料を含むことができる。基板は、例えば、基板半導体層9の最上表面であり得る主表面7を有することができる。主表面7は、半導体表面であり得る。一実施形態では、主表面7は単結晶半導体表面であり得る。
本明細書で使用する際、「半導体材料」は、1.0×10−6S/cmから1.0×10S/cmの範囲の電気伝導率を有する材料を指し、電気ドーパントによる適切なドーピングの際に1.0S/cmから1.0×10S/cmの範囲内の電気抵抗率を有するドープ材料を生成することができる。本明細書で使用する際、「電気ドーパント」は、バンド構造内の価電子帯に正孔を加えるp型ドーパント、またはバンド構造内の伝導帯に電子を加えるn型ドーパントを指す。本明細書で使用する際、「伝導性材料」は、1.0×10S/cmより大きい電気伝導率を有する材料を指す。本明細書で使用する際、「絶縁体材料」または「誘電体材料」は、1.0×10−6S/cm未満の電気伝導率を有する材料を指す。電気伝導率が1.0×10−6S/cmから1.0×10S/cmの範囲にある場合、材料は「半導体」である。電気伝導率のすべての測定は、標準的な条件で実行される。任意選択的に、少なくとも1つのドープされたウェル(明示的に示されない)を、基板半導体層9内に形成することができる。
周辺回路用の少なくとも1つの半導体デバイスを、基板半導体層9の一部の上に形成することができる。少なくとも1つの半導体デバイスは、例えば、電界効果トランジスタを含むことができる。例えば、少なくとも1つのシャロートレンチアイソレーション構造120は、基板半導体層9の複数の部分をエッチングし、その中に誘電体材料を堆積させることによって形成することができる。ゲート誘電体層、少なくとも1つのゲート導体層、およびゲートキャップ誘電体層は、基板半導体層9の上に形成することができ、その後、少なくとも1つのゲート構造(150、152、154、158)を形成するようにパターニングすることができる。そのそれぞれは、ゲート誘電体150と、少なくとも1つのゲート電極(152、154)と、ゲートキャップ誘電体とを含むことができる。ゲート電極(152、154)は、第1ゲート電極部分152と第2ゲート電極部分154との積層を含み得る。少なくとも1つのゲートスペーサ156は、コンフォーマルな誘電体層を堆積させ、異方性エッチングすることによって、少なくとも1つのゲート構造(150、152、154、158)の周囲に形成することができる。活性領域130は、例えば、マスキング構造として少なくとも1つのゲート構造(150、152、154、158)を利用しながら電気ドーパントを導入することによって、基板半導体層9の上側部分内に形成することができる。必要に応じて追加のマスクを使用してもよい。活性領域130は、電界効果トランジスタのソース領域およびドレイン領域を含むことができる。第1誘電体ライナ161および第2誘電体ライナ162を任意選択的に形成することができる。第1および第2誘電体ライナ(161、162)のそれぞれは、酸化シリコン層、窒化シリコン層、および/または誘電性金属酸化物層を含むことができる。説明に役立つ例では、第1誘電体ライナ161は酸化シリコン層であることができ、第2誘電体ライナ162は窒化シリコン層であることができる。周辺回路用の少なくとも1つの半導体デバイスは、メモリデバイスを続いて形成するためにドライバ回路を含むことができ、これは少なくとも1つのNANDデバイスを含むことができる。
酸化シリコンなどの誘電体材料は、少なくとも1つの半導体デバイスを覆うように堆積させることができ、続いて平坦化誘電体層170を形成するために平坦化することができる。一実施形態において、平坦化誘電体層170の平坦化された上面は、誘電体ライナ(161、162)の上面と同一平面上にあることが可能である。続いて、平坦化誘電体層170および誘電体ライナ(161、162)は、基板半導体層9の上面を物理的に露出させるためにある領域から除去することができる。
例えば選択的エピタキシーによる単結晶半導体材料の堆積によって、上面7Aを有する任意選択の半導体材料層10を、基板半導体層9の上面7の上に形成することができる。堆積される半導体材料は、基板半導体層9の半導体材料と同じであってもよいし、異なっていてもよい。堆積される半導体材料は、上述したように半導体基板層9に使用できる任意の材料であってよい。半導体材料層10の単結晶半導体材料は、基板半導体層9の単結晶構造とエピタキシャル整列することができる。平坦化誘電体層170の上面の上に位置する堆積された半導体材料の部分は、例えば、化学的機械的平坦化(CMP)によって除去することができる。この場合、半導体材料層10は、平坦化誘電体層170の上面と同一平面上にある上面を有することができる。
基板(9、10)の上側部分にはドープ半導体ウェル111が形成されている。基板(9、10)の上側部分に半導体物質層10が存在する場合、ドープ半導体ウェル111は、半導体物質層10の上側部分内に形成することができる。基板が半導体物質層を含まず、基板の最上表面が基板半導体層9の表面である場合、ドープ半導体ウェル111は、基板半導体層9の上側部分内に形成される。
ドープ半導体ウェル111は、第1導電型(例えば、p型またはn型、好ましくはp型)の電気ドーパントの注入または拡散によって形成することができる。第1導電型は、基板(9、10)の最も上の半導体層の導電型である。例えば、基板(9、10)が半導体材料層10を含む場合、半導体材料層10およびドープ半導体ウェル111は、第1導電型のドーピングを有する。基板の最上層が基板半導体層9である場合(すなわち、基板が半導体材料層を含まない場合)、基板半導体層9およびドープ半導体ウェル111は、第1導電型のドーピングを有する。換言すると、ドープ半導体ウェル111は、基板(9、10)内の最も上の半導体材料層のドーピングと同じ導電型の電気ドーパントの注入によって形成することができる。
ウェル111は、低濃度または高濃度ウェルであり得る。一実施形態では、ウェルは1.0×1018/cmから2.0×1021/cmの範囲の電気濃度を有する高濃度ドープウェルであり得るが、より低いおよびより高いドーパント濃度を採用することもできる。一実施形態では、ドープ半導体ウェル111内の第1導電型の電気ドーパントの濃度は、5.0×1019/cmから2.0×1021/cmの範囲であることができる。(半導体材料層10または基板半導体層9であり得る)ドープ半導体ウェル111を埋め込む層中の第1導電型の電気ドーパントの濃度は、1.0×1015/cmから1.0×1018/cmの範囲であることができる。
任意選択的に、誘電体パッド層12を、半導体材料層10および平坦化誘電体層170の上に形成することができる。誘電体パッド層12は、例えば、酸化シリコン層とすることができる。誘電体パッド層12の厚さは、3nmから30nmまでの範囲とすることができるが、より薄いおよびより厚い厚さが採用されてもよい。
誘電体キャップ層31を任意選択的に形成することができる。誘電体キャップ層31は、誘電体材料を含み、ゲート電極(24、26)の上面の上に直接形成することができる。誘電体キャップ層31に用いることができる例示的な材料には、酸化シリコン、誘電性金属酸化物、および窒化シリコン(後に形成される第2材料層の材料が窒化シリコンでない場合)が含まれるが、これらに限定されない。誘電体キャップ層31は、ゲート電極(24、26)を電気的に絶縁する。
交互になった複数の第1材料層(絶縁層32とすることができる)と第2材料層(犠牲材料層42とすることができる)のスタックが、基板の上面を覆って形成され、それは例えば、誘電体キャップ層31の上面の上にあることができる。本明細書で使用される場合、「材料層」は、その全体にわたって材料を含む層を指す。本明細書で使用される場合、交互になった複数の第1要素および第2要素は、第1要素の例および第2要素の例が交互になる構造を指す。交互になった複数の終端要素ではない第1要素の各例は、両側で第2要素の2つの例と隣接し、交互になった複数の終端要素ではない第2要素の各例は、両端で第1要素の2つの例と隣接する。第1要素は、それらの間で同じ厚さを有してもよく、または異なる厚さを有してもよい。第2要素は、それらの間で同じ厚さを有してもよく、または異なる厚さを有してもよい。交互になった複数の第1材料層および第2材料層は、第1材料層の例で、または第2材料層の例で始まってもよく、第1材料層の例で、または第2材料の例で終わってもよい。一実施形態では、第1要素の例および第2要素の例は、交互になった複数の範囲内で周期性をもって繰り返される単位を形成し得る。
各第1材料層は第1材料を含み、各第2材料層は第1材料とは異なる第2材料を含む。一実施形態では、各第1材料層は絶縁層32とすることができ、各第2材料層は犠牲材料層とすることができる。この場合、スタックは交互になった複数の絶縁層32および犠牲材料層42を含み、絶縁層32および犠牲材料層42を含む交互になった層の原型スタックを構成する。本明細書で使用する際、「原型」構造または「工程間」構造とは、その中の少なくとも1つの構成要素の形状または組成がその後修正される過渡的な構造を指す。
交互になった複数のスタックは、本明細書では、交互スタック(32、42)と呼ばれる。一実施形態において、交互スタック(32、42)は、第1材料から構成された絶縁層32と、絶縁層32の材料とは異なる第2材料から構成された犠牲材料層42とを含むことができる。絶縁層32の第1材料は、少なくとも1種類の絶縁材料とすることができる。このように、各絶縁層32は絶縁材料層とすることができる。絶縁層32に用いることができる絶縁材料には、酸化シリコン(ドープされたまたはドープされていないシリケートガラスを含む)、窒化シリコン、シリコン酸窒化物、有機シリケートガラス(OSG)、スピンオン誘電体材料、高誘電率(high−k)誘電性酸化物として一般に知られている誘電性金属酸化物(例えば、酸化アルミニウム、酸化ハフニウム等)およびその珪酸塩、誘電性金属酸窒化物およびその珪酸塩、および有機絶縁材料を含むがそれらに限定されない。一実施形態では、絶縁層32の第1材料は酸化シリコンであることができる。
犠牲材料層42の第2材料は、絶縁層32の第1材料に対して選択的に除去することができる犠牲材料である。本明細書で使用される場合、第1材料の除去は、除去プロセスが第2材料の除去速度の少なくとも2倍の速度で第1材料を除去する場合、第2材料に対して「選択的」である。第2材料の除去速度に対する第1材料の除去速度の比は、本明細書では、第2材料に対する第1材料の除去プロセスの「選択率」と呼ばれる。
犠牲材料層42は、絶縁材料、半導体材料、または伝導性材料を含み得る。犠牲材料層42の第2材料は、例えば垂直NANDデバイスの制御ゲート電極として機能することができる電気伝導性電極と後で置き換えることができる。第2材料の非限定的な例には、窒化シリコン、非晶質半導体材料(非晶質シリコンなど)、および多結晶半導体材料(ポリシリコンなど)が含まれる。一実施形態では、犠牲材料層42は、窒化シリコンまたはシリコンおよびゲルマニウムの少なくとも一方を含む半導体材料を含むスペーサ材料層とすることができる。
一実施形態では、絶縁層32は酸化シリコンを含むことができ、犠牲材料層は窒化シリコン犠牲材料層を含むことができる。絶縁層32の第1材料は、例えば、化学蒸着(CVD)によって堆積させることができる。例えば、絶縁層32として酸化シリコンを用いる場合、CVD法の前駆体材料としてオルトケイ酸テトラエチル(TEOS)を用いることができる。犠牲材料層42の第2材料は形成することができる、例えば、CVDまたは原子層堆積(ALD)。
犠牲材料層42は、犠牲材料層42の置換によって後で形成される伝導性材料部分が、その後に形成されるモノリシック3次元NANDストリングメモリの制御ゲート電極など、電気伝導性電極として機能できるように、適切にパターニングすることができる。犠牲材料層42は、基板の主表面7と実質的に平行に延在する帯状部分を含み得る。
絶縁層32および犠牲材料層42の厚さは、20nmから50nmの範囲であり得るが、各絶縁層32および各犠牲材料層42について、より薄い厚さおよびより厚い厚さを採用することができる。絶縁層32および犠牲材料層(例えば、制御ゲート電極または犠牲材料層)42の対の繰り返し回数は、2〜1024、典型的には8〜256の範囲であり得るが、より多くの繰返し回数を採用することもできる。スタック内の上部および底部ゲート電極は、選択ゲート電極として機能し得る。一実施形態では、交互スタック(32、42)内の各犠牲材料層42は、それぞれの犠牲材料層42内で実質的に不変である均一な厚さを有することができる。
任意選択的に、絶縁キャップ層70は、交互スタック(32、42)を覆うように形成することができる。絶縁キャップ層70は、犠牲材料層42の材料とは異なる誘電材料を含む。一実施形態では、絶縁キャップ層70は、上述した絶縁層32に用いることができる誘電材料を含むことができる。絶縁キャップ層70は、絶縁層32のそれぞれよりも厚い厚さを有することができる。絶縁キャップ層70は、例えば、化学蒸着によって堆積させることができる。一実施形態では、絶縁キャップ層70は酸化シリコン層であってもよい。
続いて、少なくともフォトレジスト層を含むリソグラフィ材料スタック(図示せず)を、絶縁キャップ層70および交互スタック(32、42)の上に形成することができ、およびその中に開口部を形成するためにリソグラフ的にパターニングすることができる。リソグラフィ材料スタック内のパターンは、パターニングされたリソグラフィ材料スタックをエッチングマスクとして使用する少なくとも1つの異方性エッチングによって、絶縁キャップ層70を介して、および交互スタック(32、42)の全体を介して、転写することができる。パターニングされたリソグラフィ材料スタック内の開口部の下に横たわる交互スタック(32、42)の部分は、メモリ開口部49を形成するためにエッチングされる。換言すると、交互スタック(32、42)を貫く、パターニングされたリソグラフィ材料スタック内のパターンの転写は、交互スタック(32、42)を貫いて延在するメモリ開口部49を形成する。交互スタック(32、42)の材料をエッチングするために用いられる異方性エッチングプロセスの化学反応は、交互スタック(32、42)における第1および第2材料のエッチングを最適化するために交互に起こることができる。異方性エッチングは、例えば、一連の反応性イオンエッチングであることができる。任意選択的に、誘電体キャップ層31は、交互スタック(32、42)と基板との間のエッチング停止層として使用してもよい。メモリ開口部49の側壁は、実質的に垂直であってもよく、またはテーパ状であってもよい。パターニングされたリソグラフィ材料スタックは、例えば、アッシングによって除去することができる。
メモリ開口部49は、誘電体キャップ層31および誘電体パッド層12を貫いて形成され、それにより、メモリ開口部49は、下部選択ゲート電極(24、26)の間で交互スタック(32、42)の上面から基板内の半導体材料層10の上面まで形成される。一実施形態では、半導体材料層10の上面が各メモリ開口部49の底部に物理的に露出した後で、半導体材料層10へのオーバーエッチングが任意選択的に実行されてもよい。オーバーエッチングは、リソグラフィ材料スタックの除去の前にまたは後で実行されてもよい。換言すると、半導体材料層10のリセス表面が、リセス部深さによって半導体材料層10の暴露された上面から垂直にオフセットされてもよい。リセス部深さは、例えば、1nmから50nmの範囲とすることができるが、より小さいおよびより大きいリセス部深さが採用されてもよい。オーバーエッチングは任意選択であり、省略されてもよい。オーバーエッチングが行われない場合、各メモリ開口部49の底面は、半導体材料層10の最上表面と同一平面上にあり得る。メモリ開口部49のそれぞれは、基板の最上表面に対して実質的に垂直に延びる側壁(または複数の側壁)を含むことができる。メモリ開口部49のアレイが形成される領域は、本明細書においてデバイス領域と呼ばれる。基板半導体層9および半導体材料層10は、半導体基板であることができる基板(9、10)を集合的に構成する。あるいは、半導体材料層10は省略されてもよく、メモリ開口部49は半導体材料層10の上面まで延在することができる。
本開示の様々な実施形態を用いてメモリ開口部のそれぞれの中にメモリスタック構造を形成することができる。図2A〜2Hは、本開示の第1実施形態による例示的なメモリスタック構造を形成する間の例示的な構造内のメモリ開口部の連続的な垂直断面図を示す。例示的なメモリスタック構造の形成は、図1に示す例示的な構造中のメモリ開口部49のそれぞれの中で実行することができる。
図2Aを参照すると、図1の例示的な構造中のメモリ開口部49が示されている。メモリ開口部49は、絶縁キャップ層70、交互スタック(32、42)、誘電体キャップ層31、誘電体パッド層12を貫いて延在し、任意選択的には半導体材料層10の上側部分の中に延在する。半導体材料層10の上面に対する各メモリ開口部の底面のリセス部深さは、0nm〜30nmの範囲内にあることができるが、より深いリセス部深さを採用することもできる。任意選択的に、犠牲材料層42は、例えば等方性エッチングによって横方向リセス部(図示せず)を形成するように横方向に部分的に凹ませることができる。
図2Bを参照すると、エピタキシャルペデスタル11を、例えば、選択エピタキシーによって、各メモリ開口部49の底部に形成することができる。エピタキシャルペデスタル11は、選択的エピタキシャル堆積プロセスを用いて基板(9、10、111)の物理的に露出された表面上に単結晶半導体材料を堆積することによって、メモリ開口部49の底に形成される。基板(9、10、111)の物理的に露出された表面は、ドープ半導体ウェル1111の凹んだ上面および側壁を含むことができる。エピタキシャルペデスタル11は、ドープ半導体ウェル111の単結晶半導体材料とエピタキシャル整列する単結晶半導体材料を含む。一実施形態では、エピタキシャルペデスタル11は、ドープ半導体ウェル111と同じ導電型の電気ドーパントでドープすることができる。一実施形態では、ドープ半導体ウェル111は、第1ドーパント濃度で第1導電型のドーピングを有することができ、エピタキシャルペデスタル11は、ドープ半導体ウェル111の上に直接形成することができ、第1ドーパント濃度よりも低い第2ドーパント濃度で第1導電型のドーピングを有する。一実施形態では、エピタキシャルペデスタル11は、1.0×1015/cmから1.0×1018/cmの範囲の第1導電型の電気ドーパントの濃度を有する一方で、軽くドープされてもよい(例えば、p−ドープされてもよい)。
一実施形態では、各エピタキシャルペデスタル11の上面は、犠牲材料層42の上面を含む水平面より上に形成することができる。この場合、エピタキシャルペデスタル11の上面を含む水平面より下に位置する各犠牲材料層42をそれぞれの伝導性材料層で置き換えることによって、少なくとも1つのソース選択ゲート電極を後で形成することができる。
第1導電型の電気ドーパントをエピタキシャルペデスタル11の上部領域にドープ(例えば、注入または拡散)することができる。エピタキシャルペデスタル11の注入された領域は、本明細書において下部ドープ半導体部分17と呼ばれる。エピタキシャルペデスタル11は、堆積された単結晶半導体材料を含む。このようにして、エピタキシャルペデスタル11の一部は、第1導電型の電気ドーパントを、堆積した単結晶半導体材料の上側部分に注入することによって、下部ドープ半導体部分17に転換される。エピタキシャル部分11の残りの部分は、第1導電型のドーピングを有する下部ドープ半導体部分17よりも低いドーパント濃度で第1導電型のドーピングを有する。下部ドープ半導体部分17は、高濃度にドープされてもよく(例えば、p+ドープされてもよく)、下部ドープ半導体部分17の第1導電型の電気ドーパントの濃度は、1.0×1015/cm〜1.0×1018/cmの範囲であることができる。
イオン注入のエネルギーは、下部ドープ半導体部分17の上面および底面が絶縁体層32の上面を含む第1水平面と絶縁体層32の底面を含む第2水平面との間に位置するように選択することができる。このようにして、下部ドープ半導体部分17は、メモリ開口部49の下側部分に形成される。下部ドープ半導体部分17を形成する間、第1導電型の電気ドーパントはエピタキシャルペデスタル11の下側部分に注入されないので、下部ドープ半導体部分17に変換されないエピタキシャルペデスタル11の下側部分は、軽くドープされたままであり、下部ドープ半導体部分17の形成後、下部ドープ半導体部分17よりも低いドーパント濃度で第1導電型のドーピングを有する。
図2Cを参照すると、少なくとも1つのブロッキング誘電体層(501L、503L)と、メモリ材料層504Lと、トンネル誘電体層506Lと、任意選択の第1半導体チャネル層601Lとを含む一連の層を、メモリ開口部49内に連続的に堆積することができる。少なくとも1つのブロッキング誘電体層(501L、503L)は、例えば、第1ブロッキング誘電体層501Lおよび第2ブロッキング誘電体層503Lを含むことができる。
第1ブロッキング誘電体層501Lは、コンフォーマル堆積法(conformal deposition method)によって、各メモリ開口部49の側壁上に堆積させることができる。第1ブロッキング誘電体層501Lは、誘電性金属酸化物であり得る誘電体材料を含む。本明細書で使用する場合、誘電性金属酸化物は、少なくとも1種類の金属元素と少なくとも酸素とを含む誘電体材料を指す。誘電性金属酸化物は、少なくとも1種類の金属元素と酸素とから本質的になり得るか、または少なくとも1種類の金属元素と、酸素と、窒素などの少なくとも1種類の非金属元素とから本質的になり得る。一実施形態では、第1ブロッキング誘電体層501Lは、7.9より大きい誘電率を有する誘電性金属酸化物を含むことができる、すなわち、窒化シリコンの誘電率より高い誘電率を有する。
誘電性金属酸化物の非限定的な例は、酸化アルミニウム(Al)、酸化ハフニウム(HfO)、酸化ランタン(LaO)、酸化イットリウム(Y)、酸化タンタル(Ta)、これらのケイ酸塩、これらの窒素ドープ化合物、これらの合金、およびこれらのスタックを含む。第1ブロッキング誘電体層501Lは、例えば、化学蒸着(CVD)、原子層堆積(ALD)、パルスレーザ堆積(PLD)、液体源ミスト化化学堆積(liquid source misted chemical deposition)、またはそれらの組み合わせによって堆積させることができる。第1ブロッキング誘電体層501Lの厚さは、1nmから20nmの範囲内であることができるが、より薄い厚さおよびより厚い厚さを採用することもできる。第1ブロッキング誘電体層501Lは、その後、蓄積された電荷の制御ゲート電極への漏れを防止する誘電体材料部分として機能することができる。一実施形態では、第1ブロッキング誘電体層501Lは、酸化アルミニウムを含む。
第2ブロッキング誘電体層503Lは、第1ブロッキング誘電体層501L上に形成することができる。第2ブロッキング誘電体層503Lは、第1ブロッキング誘電体層501Lの誘電体材料とは異なる誘電体材料を含むことができる。一実施形態では、第2ブロッキング誘電体層503Lは、酸化シリコン、第1ブロッキング誘電体層501Lとは異なる組成を有する誘電性金属酸化物、酸窒化シリコン、窒化シリコン、またはそれらの組み合わせを含むことができる。一実施形態では、第2ブロッキング誘電体層503Lは、酸化シリコンを含むことができる。第2ブロッキング誘電体層503Lは、低圧化学蒸着、原子層堆積、またはそれらの組み合わせなどのコンフォーマル堆積法によって形成することができる。第2ブロッキング誘電体層503Lの厚さは、1nmから20nmの範囲内であることができるが、より薄いおよびより厚い厚さを採用することもできる。あるいは、第1ブロッキング誘電体層501Lおよび/または第2ブロッキング誘電体層503Lは省略することができ、ブロッキング誘電体層は、続いて形成されるメモリ膜の表面上に裏側リセス部を形成した後に形成することができる。
続いて、メモリ材料層504L、トンネル誘電体層506Lおよび任意選択の第1半導体チャネル層601Lを順に形成することができる。一実施形態では、メモリ材料層504Lは、例えば窒化シリコンであり得る誘電体電荷トラップ材料を含む電荷トラップ材料とすることができる。あるいは、メモリ材料層504Lは、例えば、犠牲材料層42内に横方向リセス部内に形成されることによって、複数の電気的に絶縁された部分(例えば、フローティングゲート)にパターニングされる、ドープされたポリシリコンまたは金属材料などの伝導性材料を含むことができる。一実施形態では、メモリ材料層504Lは窒化シリコン層を含む。
メモリ材料層504Lは、均質な組成の単一のメモリ材料層として形成することができる、または複数のメモリ材料層のスタックを含むことができる。複数のメモリ材料層は、使用される場合には、伝導性材料(例えば、タングステン、モリブデン、タンタル、チタン、白金、ルテニウム、およびそれらの合金などの金属、またはタングステンシリサイド、モリブデンシリサイド、タンタルシリサイド、チタンシリサイド、ニッケルシリサイド、コバルトシリサイド、またはそれらの組み合わせなどの金属シリサイド)および/または半導体材料(例えば、少なくとも1種類の元素半導体元素または少なくとも1種類の化合物半導体材料を含む多結晶または非晶質半導体材料)を含む複数の離間したフローティングゲート材料層を含むことができる。あるいはまたはそれに加えて、メモリ材料層504Lは、1つまたは複数の窒化シリコンセグメントなどの絶縁電荷トラップ材料を含み得る。あるいは、メモリ材料層504Lは、例えばルテニウムナノ粒子であり得る金属ナノ粒子などの伝導性ナノ粒子を含み得る。メモリ材料層504Lは、その中に電荷を蓄積するために、例えば、化学蒸着(CVD)、原子層堆積(ALD)、物理蒸着(PVD)、またはいずれかの適切な堆積技術によって形成することができる。メモリ材料層504Lの厚さは、2nmから20nmの範囲であり得るが、より薄いおよびより厚い厚さを採用することもできる。
トンネル誘電体層506Lは、適切な電気バイアス条件の下で電荷トンネリングを行うことができる誘電体材料を含む。電荷トンネリングは、形成されるモノリシック3次元NANDストリングメモリデバイスの動作モードに応じて、ホットキャリア注入によって、またはファウラーノルドハイムトンネリング誘起電荷転送によって実行することができる。トンネル誘電体層506Lは、酸化シリコン、窒化シリコン、酸窒化シリコン、誘電性金属酸化物(酸化アルミニウムおよび酸化ハフニウムなど)、誘電性金属オキシナイトライド、誘電性金属ケイ酸塩、それらの合金および/またはそれらの組合せを含むことができる。一実施形態では、トンネル誘電体層506Lは、第1酸化シリコン層、酸窒化シリコン層、および第2酸化シリコン層のスタックを含むことができ、それは一般にONOスタックとして知られている。一実施形態では、トンネル誘電体層506Lは、炭素を実質的に含まない酸化シリコン層または炭素を実質的に含まない酸窒化シリコン層を含むことができる。トンネル誘電体層506Lの厚さは、2nmから20nmの範囲であり得るが、より薄いおよびより厚い厚さを採用することもできる。
任意選択の第1半導体チャネル層601Lは、真性半導体材料を含み、形成されることができる。本明細書で使用される場合、「真性」半導体材料とは、いかなる電気ドーパント原子も含まない半導体材料を指す、または1.0×1015/cm未満の原子濃度の電気ドーパント原子を含む半導体材料を指す。したがって、第1半導体チャネル層601Lは、電気ドーパントを含まない場合があり、1.0×1015/cm未満のドーパント濃度で第1の導電型(例えばp型)の電気ドーパントを含む場合がある。
第1半導体チャネル層601Lは、少なくとも1種類の元素半導体材料、少なくとも1種類のIII−V族化合物半導体材料、少なくとも1種類のII−VI族化合物半導体材料、少なくとも1種類の有機半導体材料、または当該技術分野で知られている他の半導体材料を含むことができる。一実施形態では、第1半導体チャネル層601Lは、非晶質シリコンまたはポリシリコンを含む。第1半導体チャネル層601Lは、低圧化学蒸着(LPCVD)などのコンフォーマル堆積法によって形成することができる。第1半導体チャネル層601Lの厚さは、2nmから10nmまでの範囲であり得るが、より薄いおよびより厚い厚さを採用することもできる。キャビティ49’が、堆積された材料層(501L、503L、504L、506l、601L)で満たされていない各メモリ開口部49の容積内に形成される。
図2Dを参照すると、任意選択の第1半導体チャネル層601L、トンネル誘電体層506L、メモリ材料層504L、少なくとも1つのブロッキング誘電体層(501L、503L)は、少なくとも1つの異方性エッチングプロセスを用いて、順に異方性エッチングされる。絶縁キャップ層70の上面より上に位置する第1半導体チャネル層601L、トンネル誘電体層506L、メモリ材料層504L、および少なくとも1つのブロッキング誘電体層(501L、503L)の部分は、少なくとも1つの異方性エッチングプロセスによって除去することができる。さらに、各キャビティ49’の底部の第1半導体チャネル層601L、トンネル誘電体層506L、メモリ材料層504Lおよび少なくとも1つのブロッキング誘電体層(501L、503L)の水平部分は、その残りの部分に開口部を形成するために除去することができる。第1半導体チャネル層601L、トンネル誘電体層506L、メモリ材料層504Lおよび少なくとも1つのブロッキング誘電体層(501L、503L)のそれぞれは、異方性エッチングプロセスによってエッチングすることができる。
第1半導体チャネル層601Lの各残りの部分は、第1半導体チャネル部分601を構成する。トンネル誘電体層506Lの各残りの部分は、トンネル誘電体506を構成する。メモリ材料層504Lの各残りの部分は、本明細書において電荷蓄積素子504と呼ばれる。一実施形態では、電荷蓄積素子504は連続層であってもよい、すなわち、電荷蓄積層であってもよい。本明細書中、第2ブロッキング誘電体層503Lの各残りの部分は第2ブロッキング誘電体503と呼ばれる。本明細書中、第1ブロッキング誘電体層501Lの各残りの部分は第1ブロッキング誘電体501と呼ばれる。エピタキシャルペデスタル11の表面は、第1半導体チャネル部分601、トンネル誘電体506、電荷蓄積素子504、および少なくとも1つのブロッキング誘電体(501、503)を貫く開口部の下で物理的に露出され得る。任意選択的に、エピタキシャルペデスタル11の物理的に露出された部分は、垂直方向に凹んでいてもよい。トンネル誘電体506が、電荷蓄積素子504内に埋め込まれる。電荷蓄積素子504は、電荷トラップ材料またはフローティングゲート材料を含むことができる。
トンネル誘電体506、電荷蓄積素子504、第2ブロッキング誘電体503、および第1ブロッキング誘電体501の組は集合的にメモリ膜50を構成する。一実施形態では、第1半導体チャネル部分601、トンネル誘電体506、電荷蓄積素子504、第2ブロッキング誘電体503、および第1ブロッキング誘電体501は、垂直方向に一致する側壁を有することができる。本明細書で使用される場合、第1表面と第2表面の両方を含む垂直面が存在する場合、第1表面は第2表面と「垂直方向に一致」する。このような垂直面は、水平曲率を有していても有していなくてもよいが、垂直方向に沿っていかなる曲率も含まない、すなわち、真っ直ぐ上下に延びている。
図2Eを参照すると、基板(9、10)を覆うように、かつ第1半導体チャネル部分601の上に直接的に、下部ドープ半導体部分17の半導体表面の上に第2半導体チャネル層602Lを堆積させることができる。第2半導体チャネル層602Lは真性半導体材料を含む、すなわち、電気ドーパントを含まないか、または1.0×1015/cm以下の原子濃度の電気ドーパントを含む半導体材料を含む。第2半導体チャネル層602Lの真性半導体材料は、第1半導体チャネル層601Lに用いることができる真性半導体材料のいずれかとすることができる。
第2半導体チャネル層602Lは、低圧化学蒸着(LPCVD)などのコンフォーマル堆積法によって形成することができる。第2半導体チャネル層602Lの厚さは、2nmから10nmの範囲内であり得るが、より薄いおよびより厚い厚さを採用することもできる。第2半導体チャネル層602Lは、各メモリ開口部内のキャビティ49’を部分的に満たし得る、または各メモリ開口部内のキャビティを完全に満たし得る。
第1半導体チャネル部分601および第2半導体チャネル層602Lの材料は、集合的に半導体チャネル材料と呼ばれる。換言すると、半導体チャネル材料は、第1半導体チャネル部分601および第2半導体チャネル層602L内のすべての半導体材料の組である。半導体チャネル材料の全体は、真性半導体部分、すなわち真性半導体材料の一部であってもよい。第1および第2半導体チャネル層(601L、602L)の真性半導体材料が、ポリシリコンなどの少なくとも1種類の多結晶半導体材料の堆積によって形成され得、および/または、非晶質シリコンなどの少なくとも1種類の非晶質半導体材料の堆積と、それに続く少なくとも1種類の非晶質半導体材料の少なくとも1種類の多結晶半導体材料への変換とによって形成され得る場合。少なくとも1種類の多結晶半導体材料は、本明細書中、第1多結晶半導体材料と呼ばれる。
図2Fを参照すると、各メモリ開口部内のキャビティ49’が第2半導体チャネル層602Lによって完全に満たされていない場合、誘電体コア層62Lをキャビティ49’内に堆積させて、各メモリ内のキャビティ49’の残りの部分を満たすことができる。誘電体コア層62Lは、酸化シリコンまたは有機シリケートガラスなどの誘電材料を含む。誘電体コア層62Lは、低圧化学蒸着(LPCVD)などのコンフォーマル堆積法によって、またはスピンコーティングなどの自己平坦化堆積法によって堆積させることができる。
図2Gを参照すると、誘電体コア層62Lの水平部分は、例えば、絶縁キャップ層70の上面の上からのリセスエッチングによって除去することができる。さらに、絶縁キャップ層70の上面の上に位置する第2半導体チャネル層602Lの水平部分は、リセスエッチングまたは化学的機械的平坦化(CMP)を用いることができる平坦化プロセスによって除去することができる。メモリ開口部内の第2半導体チャネル層602Lの各残りの部分は、第2半導体チャネル部分602を構成する。
第1半導体チャネル部分601および第2半導体チャネル部分602の隣接する各対は、半導体チャネル60を含む垂直NANDデバイスがオンにされたときに電流が流れることができる半導体チャネル60を集合的に形成することができる。半導体チャネル60は、真性半導体部分である。トンネル誘電体506は、電荷蓄積素子504に埋め込まれ、半導体チャネル60の一部を横方向で囲む。第1ブロッキング誘電体501、第2ブロッキング誘電体503、電荷蓄積素子504、およびトンネル誘電体506の隣接する各組は、集合的に、巨視的な保持時間で電荷を蓄積することができるメモリ膜50を構成する。いくつかの実施形態では、第1ブロッキング誘電体501および/または第2ブロッキング誘電体503はこのステップではメモリ膜50中に存在しないことがあり、ブロッキング誘電体は裏側リセスの形成後に後で形成されてもよい。本明細書で使用される場合、巨視的保持時間は、24時間を超える保持時間など、永久メモリデバイスとしてメモリデバイスが動作するのに適した保持時間を指す。
誘電体コア層62Lの残りの部分の上面は、例えばリセスエッチングによって、絶縁キャップ層70の上面と、絶縁キャップ層70の底面との間に位置する深さまで、各メモリ開口内でさらに凹ませることができる。誘電体コア層62Lの各残りの部分は誘電体コア62を構成する。
図2Hを参照すると、誘電体コア62の上の各リセス領域内に第2導電型(例えば、n型)のドーピングを有するドープ半導体材料を堆積することによって、上部ドープ半導体部分63を形成することができる。第2導電型は第1導電型と反対である。上部ドープ半導体部分63は、高濃度にドープされてもよく(例えば、n+ドープされてもよく)、上部ドープ半導体部分63内の第2導電型のドーパントの濃度は、1.0×1018/cm〜2.0×1021/cmの範囲であり得るが、より低いおよびより高い第2導電型のドーパントの濃度を採用することもできる。したがって、第1および第2導電型のうちの一方はp型であり、第1および第2導電型の他方はn型である。ドープ半導体材料は、例えばドープされたポリシリコンであり得る。堆積された半導体材料の余剰部分は、例えば化学的機械的平坦化(CMP)によって、またはリセスエッチングによって、絶縁キャップ層70の上面の上から除去されて、上部ドープ半導体部分63を形成することができる。
第1導電型のドーピングを有する下部ドープ半導体部分17と、部分(601、602)を含む半導体チャネル60として具体化される真性半導体部分と、第2導電型のドーピングを有する上部ドープ半導体部分63との組み合わせによって、p−i−n接合構造が形成される。p−i−n接合構造(17、60、63)は、基板(9、10)の上面(7、7A)に対して実質的に垂直(例えば、0度〜10度の間)に交互層のスタック(32、42)を通って垂直に延在する。上部接合が真性半導体部分60と上部ドープ半導体部分63との間に形成される。下部接合が真性半導体部分(例えばチャネル)60と下部ドープ半導体部分17との間に形成される。一実施形態では、下部接合、すなわち真性半導体部分(601、602)と下部ドープ半導体部分17との間の接合を含む水平面の下に、第2材料層の少なくとも1つ(少なくとも1つの犠牲材料層42など)を形成することができる。したがって、部分17、部分60および部分63はNANDマルチゲートトランジスタの典型的なn−i−nまたはp−i−p構造ではなくマルチゲート型の垂直p−i−n(またはn−i−p)ダイオード構造を形成しているが、部分17はソースと同様に機能し、部分60はチャネルと同様に機能し、部分63はNANDストリングのドレインと同様に機能する。
メモリスタック構造55が各メモリ開口部49内に形成される。各メモリスタック構造は、エピタキシャルペデスタル11、下部ドープ半導体部分17、メモリ膜50、真性半導体部分60、上部ドープ半導体部分63および任意選択の誘電体コア62を含む。エピタキシャルペデスタル11と下部ドープ半導体部分17の隣接する対の組み合わせを、本明細書ではエピタキシャル半導体部分18と呼ぶ。
図2Hに示される例示的なメモリスタック構造の例は、図1の例示的な構造中のメモリ開口部の例に埋め込むことができる。図3は、図2Hの例示的なメモリスタック構造の複数の例を組み込む例示的な構造を示す。この例示的な構造は、半導体基板(9、10)の上に配置された交互の複数の材料層(例えば、犠牲材料層42)および絶縁層32を含むスタック(32、42)と、スタック(32、42)を貫いて延在するメモリ開口部とを含む。半導体デバイスはさらに、スタックの最下層(例えば、最下犠牲材料層42)からスタックの最上層(例えば、最上犠牲材料層42)まで垂直に延在しかつメモリ開口部の側壁および半導体基板の水平表面と接触する第1ブロッキング誘電体501を含む。本開示は、メモリスタック構造の図示された構成を使用して説明されているが、本開示の方法は、多結晶半導体チャネルを含む代替メモリスタック構造に適用することができる。
図4を参照すると、任意選択の第1接触レベル誘電体層71を基板(9、10)の上に形成することができる。任意選択の構造として、第1接触レベル誘電体層71は形成してもしなくてもよい。第1接触レベル誘電体層71が形成される場合、第1接触レベル誘電体層71は、酸化シリコン、窒化シリコン、酸窒化シリコン、多孔質または非多孔質有機シリケートガラス(OSG)、またはそれらの組み合わせなどの誘電材料を含む。有機シリケートガラスが使用される場合、有機シリケートガラスは窒素でドープされてもされなくてもよい。第1接触レベル誘電体層71は、絶縁キャップ層70の上面および上部ドープ半導体部分63の上面を含む水平面の上に形成することができる。第1接触レベル誘電体層71は、化学蒸着、原子層堆積(ALD)、スピンコーティング、またはそれらの組み合わせによって堆積させることができる。第1接触レベル誘電体層71の厚さは、10nmから300nmの範囲内であり得るが、より薄いおよびより厚い厚さを採用することもできる。
一実施形態では、第1接触レベル誘電体層71は全体的に均一な厚さを有する誘電体材料層として形成することができる。第1接触レベル誘電体層71は、単一の誘電体材料層として形成されてもよく、または複数の誘電体材料層のスタックとして形成されてもよい。あるいは、第1接触レベル誘電体層71の形成は、少なくとも1つのラインレベル誘電体層(図示せず)の形成と統合されてもよい。本開示は、第1接触レベル誘電体層71が任意選択の第2接触レベル誘電体層またはその後に堆積される少なくとも1つのラインレベル誘電体層と別個の構造である実施形態を用いて説明されているが、第1接触レベル誘電体層71および少なくとも1つのラインレベル誘電体層が同一の処理ステップでおよび/または同一材料層として形成されている実施形態が本明細書において明示的に想定されている。
任意選択的に、交互スタック(32、42)の一部は、例えば開口部を備えたフォトレジスト層を塗布しパターニングすることによって、および異方性エッチングなどのエッチングを用いて交互スタック(32、42)を貫く開口部のパターンを転写することによって、除去することができる。交互スタック(32、42)の全厚さを貫いて延在する任意選択のトレンチを、周辺デバイス領域200と、メモリスタック構造55のアレイを含むメモリデバイス領域100に隣接している接触領域300の一部とを含む領域内に形成することができる。続いて、トレンチは、酸化シリコンなどの任意選択の誘電材料で満たすことができる。誘電材料の余分な部分は、第1接触レベル誘電体層71の上面の上から、化学的機械的平坦化および/またはリセスエッチングなどの平坦化プロセスによって除去することができる。第1接触レベル誘電体層71の上面は、平坦化の間、停止表面として用いることができる。トレンチ中の残りの誘電材料は、誘電材料部分64を構成する。
接触領域300内に、誘電材料部分64と交互スタック(32、42)の一部にまたがることができる段付きキャビティを形成することができる。あるいは、誘電材料部分64を省略し、段付キャビティ69をスタック(32、42)内に直接形成してもよい。段付きキャビティは、段付きキャビティの水平断面形状が基板(9、10)の上面からの垂直距離の関数として段階的に変化するように様々な段付き表面を有することができる。一実施形態では、処理ステップの組を繰り返し実行することによって段付きキャビティを形成することができる。処理ステップの組は、例えば、キャビティの深さを1つまたは複数のレベルだけ垂直に増加させる第1タイプのエッチングプロセスと、第1タイプの後続エッチングプロセスにおいて垂直方向にエッチングされる領域を横方向に拡張する第2タイプのエッチングプロセスとを含むことができる。本明細書で使用される場合、交互の複数を含む構造の「レベル」は、構造内の第1材料層および第2材料層の対の相対位置として定義される。
誘電材料部分64は段付きキャビティの形成後に段付き表面を有することができ、交互スタック(32、42)の周辺部分は段付きキャビティの形成後に段付き表面を有することができる。本明細書で使用される場合、「段付き表面」とは、少なくとも2つの水平表面と少なくとも2つの垂直表面とを含み、その結果、各水平表面が水平表面の第1縁から上方に延びる第1垂直表面に隣接し、水平表面の第2縁部から下方に延びる第2垂直表面に隣接している表面の組を指す。「段付きキャビティ」とは、段付き表面を有するキャビティを指す。
段付きキャビティ内に誘電材料を堆積させることによってレトロステップ(retro−stepped)誘電材料部分65(すなわち、絶縁充填材料部分)を段付きキャビティ内に形成することができる。酸化シリコンなどの誘電材料を段付きキャビティ内に堆積させることができる。堆積された誘電材料の余分な部分は、例えば、化学的機械的平坦化(CMP)によって、第1接触レベル誘電体層71の上面の上から除去することができる。段付きキャビティを満たす堆積された誘電材料の残りの部分は、レトロステップ誘電材料部分65を構成する。本明細書で使用される場合、「レトロステップ」素子は、段付き表面と、素子が存在する基板の上面からの垂直距離の関数として単調に増加するする水平断面領域とを有する素子を指す。レトロステップ誘電材料部分65に酸化シリコンが使用される場合、レトロステップ誘電材料部分65の酸化シリコンは、B、Pおよび/またはFなどのドーパントでドープされてもされなくてもよい。
図5Aおよび5Bを参照すると、レトロステップ誘電材料部分65を貫いておよび/または第1接触レベル誘電体層71を貫いておよび/または交互スタック(32、42)を貫いて少なくとも1つの誘電体支柱7Pを任意選択的に形成することができる。図5Bの面A−A’は図5Aの垂直断面図の面に対応する。一実施形態では、少なくとも1つの誘電体支柱7Pは、メモリデバイス領域100に隣接して配置される接触領域300内に形成することができる。少なくとも1つの誘電体支柱7Pは、例えば、レトロステップ誘電材料部分65を貫いておよび/または交互スタック(32、42)を貫いておよび少なくとも基板(9、10)の上面まで延在する開口部を形成することによって、および、犠牲材料層42を除去するために使用されるエッチング化学作用に耐性がある誘電材料で開口部を満たすことによって形成することができる。
一実施形態では、少なくとも1つの誘電体支柱は、酸化シリコンおよび/または酸化アルミニウムなどの誘電性金属酸化物を含むことができる。一実施形態では、少なくとも1つの誘電体支柱7Pの堆積と同時に第1接触レベル誘電体層71の上に堆積される誘電材料の部分は、第2接触レベル誘電体層73として第1接触レベル誘電体層71の上に存在することができる。少なくとも1つの誘電体支柱7Pおよび第2接触レベル誘電体層73のそれぞれは任意選択的な構造である。このように、第2接触レベル誘電体層73は、絶縁キャップ層70およびレトロステップ誘電材料部分65の上に存在してもしなくてもよい。第1接触レベル誘電体層71および第2接触レベル誘電体層73は、本明細書中、少なくとも1つの接触レベル誘電体層(71、73)と総称される。一実施形態では、少なくとも1つの接触レベル誘電体層(71、73)は、第1および第2接触レベル誘電体層(71、73)の両方を含むことができ、任意選択的に、後に形成することができる任意の追加のビアレベル誘電体層を含む。別の実施形態では、少なくとも1つの接触レベル誘電体層(71、73)は、第1接触レベル誘電体層71または第2接触レベル誘電体層73のみを含むことができ、任意選択的に、後に形成することができる任意の追加のビアレベル誘電体層を含む。あるいは、第1および第2接触レベル誘電体層(71、73)の形成を省略して、少なくとも1つのビアレベル誘電体層を後で、すなわち裏側コンタクトビア構造の形成後に形成してもよい。
第2接触レベル誘電体層73および少なくとも1つの誘電体支柱7Pは、一体構造の単一の連続構造として、すなわちそれらの間のいかなる材料界面もなしに形成することができる。別の実施形態では、少なくとも1つの誘電体支柱7Pの堆積と同時に第1接触レベル誘電体層71の上に堆積される誘電材料の部分は、例えば化学機械的平坦化またはリセスエッチングによって除去することができる。この場合、第2接触レベル誘電体層73は存在せず、第1接触レベル誘電体層71の上面を物理的に露出させることができる。
任意選択的に、第1および第2接触レベル誘電体層(73、71)を貫いてメモリコンタクトビア構造88を形成することができる。代替的に、構造88は、図11に示されるステップの間など、プロセスにおける後の段階で形成してもよい。具体的には、フォトレジスト層が第2接触レベル誘電体層73の上に塗布され、リソグラフィによってパターニングされ、ドレイン構造63の上に横たわる開口部を形成することができる。異方性エッチングを行って、第1および第2接触レベル誘電体層(73、71)を通してフォトレジスト層のパターンを転写し、第1および第2接触レベル誘電体層(73、71)を貫いて延在するメモリコンタクトビアキャビティを形成することができる。メモリコンタクトビアキャビティは、少なくとも1種類の伝導性材料で充填することができる。少なくとも1種類の伝導性材料の余剰部分は、第2接触レベル誘電体層73の上面を含む水平面の上にから除去することができる。少なくとも1種類の伝導性材料の残りの連続する部分のそれぞれは、下に横たわる上部ドープ半導体部分63の上面と接触するメモリコンタクトビア構造88を構成する。フォトレジスト層は、例えばアッシングによって、その後除去することができる。
別のフォトレジスト層(図示せず)が、交互スタック(32、42)および/またはレトロステップ誘電材料部分65の上に、および任意選択的にの上に塗布され、リソグラフィによってパターニングされ、裏側コンタクトビア構造の形成が望まれる領域に少なくとも1つの裏側トレンチ79を形成することができる。フォトレジスト層内のパターンは、異方性エッチングを用いて交互スタック(32、42)および/またはレトロステップ誘電材料部分65を通して転写されて、少なくとも基板(9、10)の上面まで延在する少なくとも1つの裏側トレンチ79を形成することができる。一実施形態では、少なくとも1つの裏側トレンチ79は、ソースコンタクトビア構造がその中に続いて形成されるソース接触開口部を含むことができる。各裏側トレンチを介して電気ドーパントを基板(9、10)の上またはその内部に位置する半導体部分に注入することによってブリッジ領域61を形成することができる。例えば、ブリッジ領域61は、各裏側トレンチ79を介して半導体材料層10の一部にドーパント原子を拡散または注入することによって形成されてもよい。ブリッジ領域61は、図9に示す後続のステップで形成される絶縁層174の下に選択ゲートが存在しない領域の正孔輸送を助けるために、第1導電型(例えばp型)のドーパントを用いて高濃度にドープされてもよい。あるいは、選択的エピタキシーによって、および堆積された半導体部分への第1導電型の電気ドーパントの注入、拡散またはその場ドーピングによって、半導体材料の堆積により基板(9、10)上に半導体部分を形成することができる。
図6を参照すると、絶縁層32の第1材料に対して犠牲材料層42の第2材料を選択的にエッチングするエッチング剤を、例えばエッチングプロセスを用いて少なくとも1つの裏側トレンチ79に導入することができる。裏側リセス43は、犠牲材料層42が除去される体積内に形成される。犠牲材料層42の第2材料の除去は、絶縁層32の第1材料、少なくとも1つの誘電体支柱7Pの材料、レトロステップ誘電材料部分65の材料、半導体材料層10の半導体材料、およびメモリ膜50の最外層の材料に対して選択的であることができる。一実施形態では、犠牲材料層42は窒化シリコンを含むことができ、絶縁層32、少なくとも1つの誘電体支柱7P、およびレトロステップ誘電材料部分65の材料は、酸化シリコンおよび誘電性金属酸化物から選択することができる。別の実施形態では、犠牲材料層42は、ポリシリコンなどの半導体材料を含むことができ、絶縁層32、少なくとも1つの誘電体支柱7P、およびレトロステップ誘電材料部分65の材料は、酸化シリコン、窒化シリコン、および誘電性金属酸化物から選択可能である。この場合、少なくとも1つの裏側トレンチ79の最下表面が誘電体パッド層12内に位置するように、すなわち、半導体基板層10の上面の物理的露出を避けるために、少なくとも1つの裏側トレンチ79の深さを変更することができる。
第1材料およびメモリ膜50の最外層に対して選択的に第2材料を除去するエッチングプロセスは、ウェットエッチング溶液を用いたウェットエッチングプロセス、または、エッチング剤が蒸気相で少なくとも1つの裏側トレンチ79に導入される気相(ドライ)エッチングプロセスであり得る。例えば、犠牲材料層42が窒化シリコンを含む場合、エッチングプロセスは、酸化シリコン、シリコン、および当該技術分野で使用される種々の他の材料に対して選択的に窒化シリコンをエッチングするリン酸を含むウェットエッチングタンク内に例示的な構造が浸漬されるウェットエッチングプロセスであり得る。少なくとも1つの誘電体支柱7P、レトロステップ誘電材料部分65、およびメモリスタック構造55は構造支持体を提供する一方、裏側リセス43は犠牲材料層42によって以前占有されていた体積内に存在する。
各裏側リセス43は、キャビティの垂直方向の広がりよりも大きい横方向の寸法を有する横方向に延びるキャビティとすることができる。換言すると、各裏側リセス43の横方向の寸法は、裏側リセス43の高さよりも大きくすることができる。複数の裏側リセス43は、犠牲材料層42の第2材料が除去される体積内に形成することができる。メモリスタック構造55が中に形成されるメモリ開口部は、本明細書において、裏側リセス43とは対照的に、正面側リセスまたは正面側キャビティと呼ばれる。一実施形態では、メモリデバイス領域100は、基板(9、10)の上に配置された複数のデバイスレベルを有するモノリシック3次元NANDストリングのアレイを含む。この場合、各裏側リセス43は、モノリシック3次元NANDストリングのアレイのそれぞれのワード線を受け入れるための空間を画定することができる。
複数の裏側リセス43のそれぞれは、基板(9、10)の上面と実質的に平行に延びることができる。裏側リセス43は、下に横たわる絶縁層32の上面および上に横たわる絶縁層32の底面によって垂直方向に境界を定められ得る。一実施形態では、各裏側リセス43は全体的に均一な高さを有することができる。任意選択的に、裏側ブロッキング誘電体層を裏側リセス内に形成することができる。
図7を参照すると、裏側ブロッキング誘電体層66を任意選択的に形成することができる。裏側ブロッキング誘電体層66は、制御ゲートを裏側リセス43内に後で形成するための制御ゲート誘電体として機能する誘電材料を含む。少なくとも1つのブロッキング誘電体層(501、503)が各メモリ開口部内に存在する場合、裏側ブロッキング誘電体層66は任意のものである。少なくとも1つのブロッキング誘電体層(501、503)が省略される場合、裏側ブロッキング誘電体層66が存在する。
裏側ブロッキング誘電体層66の誘電材料は、酸化アルミニウムなどの誘電性金属酸化物、少なくとも1つの遷移金属元素の誘電性酸化物、少なくとも1つのランタニド元素の誘電性酸化物、アルミニウム、少なくとも1つの遷移金属元素、および/または少なくとも1つのランタニド元素の組み合わせの誘電性金属酸化物であり得る。裏側ブロッキング誘電体層66は、化学蒸着または原子層堆積などのコンフォーマル堆積法によって堆積することができる。裏側ブロッキング誘電体層66の厚さは、1nmから10nmの範囲であり得るが、より薄いおよびより厚い厚さを採用することもできる。裏側ブロッキング誘電体層66は、少なくとも1つの裏側ビアトレンチ79の側壁、絶縁層32の水平表面および側壁、裏側リセス43に物理的に露出されたメモリスタック構造55の側壁表面の部分、および(裏側ブロッキング誘電体層66を形成する前に形成されている場合)ブリッジ領域61の上面の上に形成される。裏側キャビティ79’は、裏側ブロッキング誘電体層66で満たされていない各裏側ビアトレンチ79の部分内に存在する。
図8を参照すると、少なくとも1種類の金属材料を、複数の裏側リセス43内に、少なくとも1つの裏側接触トレンチ79の側壁の上に、および第2接触レベル誘電体層73の上面の上に堆積させることができる。本明細書で使用される場合、金属材料は、少なくとも1つの金属元素を含む導電性材料を指す。
金属材料は、例えば化学蒸着(CVD)、原子層堆積(ALD)、無電解めっき、電気めっき、またはそれらの組み合わせであり得るコンフォーマル堆積法によって堆積させることができる。金属材料は、元素金属、少なくとも2種類の元素金属の金属間合金、少なくとも1種類の元素金属の伝導性窒化物、伝導性金属酸化物、伝導性ドープ半導体材料、金属シリサイドなどの伝導性金属半導体合金、それらの合金、およびそれらの組み合わせまたはスタックであり得る。複数の裏側リセス43内に堆積させることができる非限定的で例示的な金属材料には、タングステン、窒化タングステン、チタン、窒化チタン、タンタル、窒化タンタル、コバルトおよびルテニウムが含まれる。一実施形態では、金属材料は、タングステンおよび/または金属窒化物などの金属を含むことができる。一実施形態では、複数の裏側リセス43を満たすための金属材料は、窒化チタン層とタングステン充填材料との組み合わせであり得る。一実施形態では、金属材料は化学蒸着によって堆積させることができる。
複数の裏側リセス43内に複数の導電層46を形成することができ、各裏側接触トレンチ79の側壁の上におよび少なくとも1つの接触レベル誘電体層(71、73)の上に、連続した金属材料層46Lを形成することができる。したがって、各犠牲材料層42は導電層46で置き換えることができる。裏側ブロッキング誘電体層66および連続金属材料層46Lで満たされていない各裏側接触トレンチ79の部分に裏側キャビティ79’が存在する。誘電体スペーサ116が、下部ドープ半導体部分の下に横たわる半導体部分を横方向で取り囲み、導電層の形成の際に導電層の1つが誘電体スペーサを横方向で取り囲む。
図9を参照すると、隣接する金属材料層46Lの堆積された金属材料は、例えば等方性エッチングによって、各裏側接触トレンチ79の側壁から、および第2接触レベル誘電体層73の上にからエッチバックされる。裏側リセス43内に堆積された金属材料の各残りの部分は導電層46を構成する。各導電層46は伝導性ライン構造であり得る。したがって、犠牲材料層42は導電層46で置き換えられる。
各導電層46は、同一レベルに位置する複数の制御ゲート電極と、同レベルに位置する複数の制御ゲート電極を電気的に接続する、すなわち電気的に短絡するワード線との組み合わせとして機能することができる。各導電層46内の複数の制御ゲート電極は、メモリスタック構造55を含む垂直メモリデバイス用の制御ゲート電極である。換言すると、各導電層46は、複数の垂直メモリデバイスの共通の制御ゲート電極として機能するワード線であり得る。犠牲誘電体部分616は、ソース領域61の上にから除去してもしなくてもよい。
裏側接触トレンチ79の内側から伝導性材料を除去した後、裏側接触トレンチ79内にキャビティが存在する。トレンチ充填誘電材料がキャビティの全容積を満たすべくキャビティ内に堆積される。トレンチ充填誘電材料部分174が、裏側接触トレンチ79内に形成される。裏側ブロッキング誘電体層66の最上表面を含む水平面(または裏側ブロッキング誘電体層66の水平部分が第2接触レベル誘電体層73の上に存在しない場合、例示的な構造の最上誘電材料層を含む水平面)を覆う堆積されたトレンチ充填誘電材料の部分は誘電材料層を構成し、これは本明細書では第1相互接続誘電材料層74Lと呼ばれる。トレンチ充填誘電材料部分174および第1相互接続誘電材料層74Lの誘電材料は、酸化シリコン、有機シリケートガラス、窒化シリコン、誘電性金属酸化物、またはそれらの組み合わせを含むことができる。トレンチ充填誘電材料部分174は、図12Aに示すように、および以下に記載するように、層73の上で任意選択的に除去することができる。従って、スタック内の隣接する細長い制御ゲート電極46を水平に分離するトレンチ79内にソース電極も電気接触構造も存在しない。
図10を参照すると、第1相互接続誘電材料層74Lを覆うように第2相互接続誘電材料層92を任意選択的に堆積させることができる。第2相互接続誘電材料層92は、相互接続レベル用の層間誘電体を含み、それは例えば、酸化シリコン、多孔質または非多孔質有機シリケートガラス、窒化シリコン、誘電性金属酸化物、またはそれらの組み合わせとすることができる。第2相互接続誘電材料層92は、例えば、化学蒸着によって形成することができる。第1および第2相互接続誘電材料層(74L、92)は、金属相互接続構造を形成できる誘電中間層として使用することができる。
フォトレジスト層(図示せず)が、例示的な構造(例えば、第2相互接続誘電材料層92であり得る)の最上層の上に塗布されることができ、様々な開口部を形成するためにリソグラフィによってパターニングされることができる。周辺デバイス領域200における開口部の位置および形状は、コンタクトビア構造によって電気的に接触されるべく、周辺デバイス領域200内の半導体デバイスの電気ノードに対応するように選択可能である。接触領域300内の開口部の位置は、導電層46のためのコンタクトビア構造の形成が望まれる領域に対応する。メモリデバイス領域100内の開口部の位置は、メモリコンタクトビア構造88(または構造88が後のステップで形成される場合は部分63)の上に重なるように選択することができる。
周辺デバイス領域100において、少なくとも1つのゲートビアキャビティ7Gが、各ゲートビアキャビティの底面がゲート電極(152、154)の表面であるように形成されることができ、少なくとも1つの活性領域ビアキャビティ7Aが、各活性領域ビアキャビティの底面が活性領域130の表面であるように形成されることができる。制御ゲートコンタクトビアキャビティ(図示せず)は、異方性エッチングによる開口部のパターンの転写によって、レトロステップ誘電材料部分65を貫いて形成可能である。各制御ゲートコンタクトビアキャビティは、それぞれの導電層46の上面まで垂直に延在することができる。制御ゲートコンタクトビアキャビティは、レトロステップ誘電材料部分65と、絶縁体層32および導電層46の交互スタックと間の段付き界面内の水平表面まで延在することができる。メモリコンタクトビア構造88(または構造88が後のステップで形成される場合は部分63)の上に横たわるアレイコンタクトビアキャビティ(すなわち開口部)97は、メモリデバイス領域100内に形成可能である。ウェルコンタクトビアキャビティ95は、領域300などのいずれかの適切な領域において、ウェル111まで形成されてもよい。必要に応じて、高濃度にドープされた接触領域261が、イオン注入または拡散によって、キャビティ95を介してウェル111内に形成されてもよい。領域261は、第1導電型のドーパントで高濃度にドープされてもよい(例えばp+ドープされてもよい)。フォトレジスト層は例えばアッシングによって後で除去することができる。
図11に示されるように、様々なビアキャビティ(7A、7G、95、97)を伝導性材料で充填して、様々なコンタクトビア構造(8G、8A、96、98)を形成することができる。コンタクトビア構造(8G、8A、96、98)は、例えば、周辺デバイス領域200においてそれぞれビアキャビティ7Gおよび7A内に形成される少なくとも1つのゲートコンタクトビア構造8Gおよび少なくとも1つの活性領域コンタクトビア構造8Aと、ウェルコンタクトビアキャビティ95内のウェルコンタクトビア構造95と、メモリデバイス領域100内のビアキャビティ97内のアレイコンタクトビア構造98と、コンタクト領域300内に形成された制御ゲートコンタクトビア構造(図示せず)とを含むことができる。周辺デバイス領域200内の少なくとも1つのゲートコンタクトビア構造8Gおよび少なくとも1つの活性領域コンタクトビア構造8Aは、周辺デバイス領域200内の周辺デバイスの様々なノードに電気接点を提供する。ウェルコンタクト構造96は、pウェル111またはウェル111内の接触領域261と接触する。メモリデバイス領域100内のアレイコンタクトビア構造98は、メモリコンタクトビア構造88と接触するか、または、構造88が省略されている場合、部分63と接触することができる。コンタクト領域300内の制御ゲートコンタクトビア構造は、導電層46に電気接点を提供することができる。
図12Aを参照すると、例示的な構造の第1代替的実施形態が示されており、これは平坦化プロセスにより第1相互接続誘電体層74Lを除去することにより図9の例示的な構造から派生させることができる。例えば、第1相互接続誘電体層74Lは、リセスエッチングまたは化学的機械的平坦化によって除去することができる。この場合、第2相互接続誘電体層92は、裏側ブロッキング誘電体層66、第2接触レベル誘電体層73、または第1接触レベル誘電体層71の上面の上に直接形成することができる。したがって、トレンチ充填誘電材料部分174は層73より上に延びない。
第2代替的実施形態において、図12Aに示すように、ウェル111は省略されてもよい。この実施形態では、層10は、読取り正孔電流がそれを通って構造96と98の間(すなわち、領域261と部分63の間)に流れる「ソース」または「チャネル」の水平部分として機能する。任意選択のブリッジ領域61は、トレンチ充填誘電材料部分174より下の正孔の流れを容易にする。
第3の代替的実施形態において、図12Bに示すように、別個のブリッジ領域61および/または接触領域261を省略し、第1導電型(例えばp+ウェル)の細長い高濃度にドープされた211で置き換えてもよい。
第4の代替的実施形態において、デバイスがスタックの底部に複数の下部選択ゲート電極を含む場合、図12Bに示される底部選択ゲート電極46Aなどの1つまたは複数の下部選択ゲート電極は省略されてもよい。電極46Aは省略されてもよい、それというのも、NANDストリングの読み取り動作中にpウェル111、211またはp型層10を通る正孔読み取り電流が使用される場合には、層10を通る電子輸送のための空乏領域を生成する必要がないからである。
例示的な構造、またはその様々な代替的実施形態は、モノリシック3次元メモリデバイスを含むことができる。モノリシック3次元メモリデバイスは、絶縁層32および導電層46を含みかつ基板(9、10)を覆うように配置された交互層(32、46)のスタックを含むことができる。モノリシック3次元メモリデバイスはさらに、スタック(32、46)を通って延びるメモリスタック構造55を含む。p−i−n接合構造(17、60、63)が、基板(9、10)の上面(7、7A)に対して実質的に垂直にスタック(32、46)を通って垂直に延びている。p−i−n接合構造(17、60、63)は、真性半導体部分60と、第1導電型のドーピングを有する下部ドープ半導体部分17との間に下部接合部を有し、および真性半導体部分60と第2導電型のドーピングを有する上部ドープ半導体部分63との間に上部接合部を有する。第1および第2導電型のうちの一方はp型であり、第1および第2導電型の他方はn型である。好ましくは、第1導電型はp型であり、第2導電型はn型である。
一実施形態では、真性半導体部分60は、第1多結晶半導体材料を含むことができ、上部ドープ半導体部分63は第2多結晶半導体材料を含むことができる。一実施形態では、下部ドープ半導体部分17は単結晶半導体材料を含むことができる。一実施形態では、下部ドープ半導体部分17は、基板(9、10)内の半導体材料の単結晶格子構造とエピタキシャル整列した状態であり得る。例えば、下部ドープ半導体部分17は、基板内のドープ半導体ウェル111の単結晶格子構造とエピタキシャル整列した状態であり得る。
エピタキシャルペデスタル11として具体化されるエピタキシャル材料部分が、下部ドープ半導体部分17の下に横たわることができる。エピタキシャルペデスタル11は、下部ドープ半導体部分17よりも低いドーパント濃度で第1導電型のドーピングを有することができる。エピタキシャルペデスタル11は、ドープ半導体ウェル111などの基板(9、10、11、61)内の半導体材料の単結晶格子構造とエピタキシャル整列した状態であり得る。
一実施形態では、基板(9、10)は、第1導電型のドーピングを有するエピタキシャル材料部分(エピタキシャルペデスタル11として具体化される)と接触するドープ半導体ウェル111を含むことができる。一実施形態では、ドープ半導体ウェル111は、エピタキシャルペデスタル11内のドーパント濃度よりも高いドーパント濃度で第1導電型のドーピングを有する。基板(9、10)はさらに、基板(9、10)の上面7Aの下に位置し、ドープ半導体ウェル111と接触し、ドープ半導体ウェル111の領域によってエピタキシャルペデスタル11から垂直方向に離間された、第1導電型でドープされた半導体領域(半導体材料層10として具体化される)を含むことができる。
一実施形態では、誘電体スペーサ116は、エピタキシャルペデスタル11を横方向に取り囲むことができる。導電層46の少なくとも1つは、誘電体スペーサ116を横方向に取り囲むことができる。
一実施形態では、下部接合部は、真性半導体部分60を含む多結晶半導体材料部分と、下部ドープ半導体部分17を含む単結晶半導体材料部分との間の界面に位置することができる。一実施形態では、下部接合部は交互層(32、46)のスタック内の導電層46のうち最も下の導電層46(例えば、下部選択ゲート電極)より上に配置することができる。あるいは、最も下の選択ゲート電極46Aが省略される場合、下部接合部は残りの最も下の導電層46(例えば、残りの最も下の選択ゲート電極)より下に配置される。
一実施形態では、トレンチは、交互層(32、46)のスタックの最上表面を含む第1水平面から、交互層(32、46)のスタックの最底表面を含む第2水平面まで垂直に延びる。トレンチ充填誘電材料部分174がトレンチ内に配置され、好ましくはトレンチを完全に満たし、導電層46のそれぞれの側壁と接触する。
一実施形態では、メモリスタック構造55は、交互層のスタックを貫いて延びるメモリ開口部内に配置可能であり、メモリ開口部の側壁と接触するメモリ膜50を含む。一実施形態では、メモリ膜50は、外側から内側に向かって、ブロッキング誘電体層(501、503)、メモリ材料層504、およびトンネル誘電体層506を含む。
一実施形態では、モノリシック3次元メモリデバイスは、基板(9、10)の上に配置された垂直NANDデバイスを含む。導電層46は、垂直NANDデバイスのそれぞれのワード線を含むか、またはそれらに電気的に接続される。基板はシリコン基板を含むことができる。垂直NANDデバイスは、シリコン基板の上に配置されたモノリシック3次元NANDストリングのアレイを含むことができる。NANDストリングの3次元アレイの第1デバイスレベルの少なくとも1つのメモリセルは、NANDストリングの3次元アレイの第2デバイスレベルの別のメモリセルの上に配置される。シリコン基板は、その上に位置するメモリデバイス用のドライバ回路を含む集積回路を含むことができる。
一実施形態では、モノリシック3次元NANDストリングのアレイは、複数の半導体チャネル(60)を含むことができ、複数の半導体チャネルのそれぞれの少なくとも1つの端部部分は、基板の上面に対して実質的に垂直に延在する。モノリシック3次元NANDストリングのアレイは、複数の電荷蓄積素子を含むことができる。各電荷蓄積要素は、複数の半導体チャネルのそれぞれ1つに隣接して配置することができる。モノリシック3次元NANDストリングのアレイは、基板の上面に対して実質的に平行に延在するストリップ形状を有する複数の制御ゲート電極(導電層46のサブセットとして具体化される)を含むことができる。複数の制御ゲート電極は、第1デバイスレベルに配置された少なくとも第1制御ゲート電極と、第2デバイスレベルに配置された第2制御ゲート電極とを含むことができる。
一実施形態では、本開示のモノリシック3次元メモリデバイスは、メモリスタック構造55内のメモリ素子に電荷を蓄積することによってデータを記憶するようにプログラムすることができる。データは、p−i−n接合構造(17、60、63)を通過する電流を測定することによって読み取り可能である。好ましくは、下部ドープ半導体部分17からチャネル60を通って上部ドープ半導体部分63に流れる正孔電流(すなわち、正孔の流れ)が、読み取り動作中に使用される。第1導電型の電荷キャリア(例えば、正孔)は、ドープウェル領域111または接触領域261(存在する場合)から供給され、ドープウェル領域111または領域261からエピタキシャルペデスタル11へ、次いで下部ドープ半導体部分17へ、次いで真性半導体部分(すなわちチャネル)60へ、そして上部ドープ半導体部分63へ流れ込むことができる。ドープウェル領域111および/または接触領域261、エピタキシャルペデスタル11、および下部ドープ半導体部分17は、エピタキシャルペデスタル11内のドーパント濃度が、ドープウェル領域111または接触領域261内のドーパント濃度よりも低く、および/または、下部ドープ半導体領域17内のドーパント濃度よりも低くなるように、第1導電型のドーピングを有することができる。
下部ドープ半導体部分17は、真性半導体部分60とエピタキシャルペデスタル11との間のオーム接触を提供するために、エピタキシャルペデスタル11よりも高いドーパント濃度を有することができる。下部ドープ半導体部分17は伝導性であることができる、すなわち、1.0×10S/cmより高い導電率を有するように縮退的にドーピング可能である。エピタキシャルペデスタルが半伝導性である、すなわち1.0×10−6S/cm〜1.0×10S/cmの範囲の導電率を有するように、エピタキシャルペデスタル11は、下部ドープ半導体部分17およびドープ半導体ウェル111よりも低いドーパント濃度を有することができ、エピタキシャルペデスタルを通る電流は、(選択ゲート電極46が省略されない限り)誘電体スペーサ116を横方向に取り囲む少なくとも1つの導電層46Aによって制御される。ドープ半導体ウェル111は、低い電気抵抗を提供するために、エピタキシャルペデスタル11よりも高いドーパント濃度を有することができる。ドープ半導体ウェル111は、p−i−n接合構造(17、60、63)を通る電流の導通のための第1導電型の電荷キャリアを提供するソースラインとして機能するので、ドープ半導体ウェル111の低抵抗は、p−i−n接合構造(17、60、63)を通るオン電流を増大することができる。
図13Aを参照すると、正孔電流検出の例示的なバイアス設定が示され、この場合、第1導電型がp型であり、第2導電型がn型である。メモリスタック構造55内のメモリ素子のプログラミングおよび消去は、ファウラー・ノルドハイム電子トンネリング、ホットエレクトロン注入および/またはゲート誘導ドレインリーク(gate induced drain leakage)(GIDL)によって適切な導電層(すなわち制御ゲート電極)46へ印加される電気バイアスを介して真性半導体部分60からメモリ膜50内のメモリ素子への電子注入を誘発することによって実行可能であり、その際、制御ゲート電極に正のバイアスを印加してチャネル内に正孔を生成した後、制御ゲート電極に負のバイアスを印加して正孔をメモリ膜に引き込んで、メモリ膜内にトラップされた電子と再結合して電荷を除去する。さらに、抑制動作中、電子は部分(例えばドレイン)63からチャネル60に注入され得る。
読み取り動作の間、ドープ半導体ウェル111は電気的に接地することができる、すなわち0Vでバイアスすることができる。メモリスタック構造55が読み取り動作のために選択されていない場合、0Vのバイアス電圧をビット線(例えば、構造98または構造98に接続された付加的な上層配線)から上部ドープ半導体部分63に印加することができる。メモリスタック構造55がメモリ膜50内のメモリ要素の内容を読み取るために選択されるとき、上部ドープ半導体部分63は、ビット線から−5Vなど基板のバイアスに対して負の電圧で電気的にバイアスすることができる。選択ゲート電極として使用される導電層46A、46B、46C(例えば、誘電体スペーサ116を横方向に取り囲む導電層46A)は、正孔による電気伝導のためにエピタキシャルチャネル11を作動する、−7Vなどの作動電圧で電気的にバイアスすることができる。選択されていない制御ゲート電極(真性半導体部分60を横方向に取り囲む導電層46Uとして具体化される)は、−7Vなどの(基板のバイアスに対して)負の作動電圧で電気的にバイアスされ、この電圧は、選択されない制御ゲート電極のレベルにおける真性半導体部分60の部分を作動する。選択された制御ゲート電極46Sは、0Vであり得る選択電圧で電気的にバイアスすることができる。正孔のフェルミエネルギーおよび対応する正孔分布は、図13Bにおいて上部ドープ半導体部分63を0Vおよび−5Vで電気的にバイアスする場合について説明される。
本開示のデバイスは、読み取り動作の間、真性半導体部分60を通る電流の大部分を伝導するために使用される電荷キャリアとして、ドープウェル領域111、エピタキシャルペデスタル11、および下部ドープ半導体部分17の大多数の電荷キャリアを使用する。したがって、メモリスタック構造55の各組の近くに、第2導電型のドープ領域(従来技術の構造ではソース領域など)を設ける必要はない。したがって、コンタクトビアキャビティ79を満たす大きな金属コンタクトビア構造は不要であり、コンタクトビアキャビティ79は誘電性充填材料部分174で満たすことができる。誘電性充填材料部分174を含むトレンチ79は、ソース電極/コンタクトビア構造が中に配置されていないため、従来技術のトレンチ79より狭くてもよい。これは活性素子面積を増加させ、ストリング当たりの製造コストを削減し、制御ゲート46とソース電極との間の起こり得る短絡を回避する。さらに、制御ゲート「フィンガ」によって囲まれたメモリスタック構造55の各組に近接して大きな金属コンタクトビア構造(例えばタングステン構造)が必要とされないので、大きな金属コンタクトビア構造からの機械的応力は本開示の構成において回避することができる。応力の減少は望ましくない基板の反りを減少させる。したがって、本開示は、p−n−pトランジスタ構造またはn−p−nトランジスタ構造を採用する従来技術の構造よりも少ない機械的応力を有しかつメモリ構造55の複数の組に対してコンタクト構造96を介して1つの共通ウェルを使用する3次元メモリ構造を提供する。
上の記載は特定の好ましい実施形態を参照しているが、本開示はそれに限定されないことは理解されるであろう。当業者であれば、開示された実施形態に様々な修正を加えることができ、そのような修正は本開示の範囲内にあることが意図されていることに気が付くであろう。特定の構造および/または構成を採用する実施形態が本開示に示されている場合、本開示は、そのような置換が明示的に禁止されていない限りまたは当業者に不可能であることが知られていない限り、機能的に等価である他のいずれかの適合可能な構造および/または構成で実施され得ることが理解される。本明細書で引用した刊行物、特許出願および特許のすべては、その全体が参照により本明細書に組み込まれる。

Claims (4)

  1. モノリシック3次元メモリデバイスであって、
    絶縁層および導電層を含むとともに、基板の上に配置された交互層のスタックと、
    前記スタックを通って延在するメモリスタック構造であって、前記基板の上面に対して実質的に垂直に前記スタックを通って垂直に延在するメモリ膜および半導体p−i−n接合構造を含むメモリスタック構造と、を含み、
    前記半導体p−i−n接合構造が、真性半導体部分と第1導電型のドーピングを有する下部ドープ半導体部分との間の下部接合部、および、前記真性半導体部分と第2導電型のドーピングを有する上部ドープ半導体部分との間の上部接合部を有し、
    前記第1および第2導電型の一方がp型であり、前記第1および第2導電型の他方がn型であり、
    前記第1導電型がp型であり、前記第2導電型がn型であり、
    前記真性半導体部分が第1多結晶半導体材料を含み、
    前記上部ドープ半導体部分が第2多結晶半導体材料を含み、
    前記下部ドープ半導体部分が単結晶半導体材料を含み、
    前記下部ドープ半導体部分が、前記基板内の半導体材料の単結晶格子構造とエピタキシャル整列した状態にある、モノリシック3次元メモリデバイス。
  2. 前記下部ドープ半導体部分の下に横たわり、前記下部ドープ半導体部分よりも低いドーパント濃度で前記第1導電型のドーピングを有するエピタキシャル材料部分をさらに含む、請求項に記載のモノリシック3次元メモリデバイス。
  3. 前記エピタキシャル材料部分が、前記基板内の半導体材料の単結晶格子構造とエピタキシャル整列した状態にあり、
    前記基板が、前記エピタキシャル材料部分と接触し、前記エピタキシャル材料部分内のドーパント濃度よりも高いドーパント濃度で前記第1導電型のドーピングを有するドープ半導体ウェルを含み、
    前記基板が、前記基板の上面の下に位置し、前記ドープ半導体ウェルと接触し、前記ドープ半導体ウェルの領域によって前記エピタキシャル材料部分から垂直方向に離間された第1導電型でドープされた半導体領域を含む、請求項に記載のモノリシック3次元メモリデバイス。
  4. 前記エピタキシャル材料部分を横方向に取り囲む誘電体スペーサをさらに含み、前記導電層の1つが前記誘電体スペーサを横方向に取り囲む、請求項に記載のモノリシック3次元メモリデバイス。
JP2017545900A 2015-05-08 2016-04-20 3次元p−i−nメモリデバイスおよび正孔電流検出を用いたその読取方法 Expired - Fee Related JP6392996B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/707,459 2015-05-08
US14/707,459 US9666281B2 (en) 2015-05-08 2015-05-08 Three-dimensional P-I-N memory device and method reading thereof using hole current detection
PCT/US2016/028445 WO2016182705A1 (en) 2015-05-08 2016-04-20 Three-dimensional p-i-n memory device and method of reading thereof using hole current detection

Publications (2)

Publication Number Publication Date
JP2018515906A JP2018515906A (ja) 2018-06-14
JP6392996B2 true JP6392996B2 (ja) 2018-09-19

Family

ID=55861251

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2017545900A Expired - Fee Related JP6392996B2 (ja) 2015-05-08 2016-04-20 3次元p−i−nメモリデバイスおよび正孔電流検出を用いたその読取方法

Country Status (3)

Country Link
US (1) US9666281B2 (ja)
JP (1) JP6392996B2 (ja)
WO (1) WO2016182705A1 (ja)

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160143261A (ko) * 2015-06-05 2016-12-14 에스케이하이닉스 주식회사 비휘발성 메모리 소자 및 그의 제조 방법
KR102392685B1 (ko) * 2015-07-06 2022-04-29 삼성전자주식회사 배선 구조체를 갖는 반도체 소자
KR102437416B1 (ko) * 2015-08-28 2022-08-30 삼성전자주식회사 3차원 반도체 메모리 장치
US9754958B2 (en) 2015-10-30 2017-09-05 Sandisk Technologies Llc Three-dimensional memory devices having a shaped epitaxial channel portion and method of making thereof
US9842851B2 (en) * 2015-10-30 2017-12-12 Sandisk Technologies Llc Three-dimensional memory devices having a shaped epitaxial channel portion
KR102636463B1 (ko) * 2016-10-05 2024-02-14 삼성전자주식회사 반도체 메모리 장치
US10269824B2 (en) * 2017-04-01 2019-04-23 Intel Corporation Non-volatile memory structures having multi-layer conductive channels
KR20180133742A (ko) * 2017-06-07 2018-12-17 에스케이하이닉스 주식회사 반도체 장치 및 그 제조 방법
SG10201803464XA (en) 2017-06-12 2019-01-30 Samsung Electronics Co Ltd Semiconductor memory device and method of manufacturing the same
US10727244B2 (en) 2017-06-12 2020-07-28 Samsung Electronics Co., Ltd. Semiconductor memory devices and methods of fabricating the same
US10403634B2 (en) 2017-06-12 2019-09-03 Samsung Electronics Co., Ltd Semiconductor memory device and method of manufacturing the same
US10381373B2 (en) 2017-06-16 2019-08-13 Sandisk Technologies Llc Three-dimensional memory device having a buried source line extending to scribe line and method of making thereof
US10115730B1 (en) 2017-06-19 2018-10-30 Sandisk Technologies Llc Three-dimensional memory device containing structurally reinforced pedestal channel portions and method of making thereof
KR20180138403A (ko) * 2017-06-21 2018-12-31 에스케이하이닉스 주식회사 반도체 장치 및 그 제조방법
KR102307057B1 (ko) 2017-07-27 2021-10-01 삼성전자주식회사 수직형 메모리 장치
US10199359B1 (en) * 2017-08-04 2019-02-05 Sandisk Technologies Llc Three-dimensional memory device employing direct source contact and hole current detection and method of making the same
KR102442933B1 (ko) * 2017-08-21 2022-09-15 삼성전자주식회사 3차원 반도체 장치
CN107527919A (zh) * 2017-08-31 2017-12-29 长江存储科技有限责任公司 一种3d nand存储器件及其制造方法
US10290647B2 (en) 2017-09-26 2019-05-14 Sandisk Technologies Llc Three-dimensional memory device containing structurally reinforced pedestal channel portions and method of making the same
US10256252B1 (en) 2017-12-13 2019-04-09 Sandisk Technologies Llc Three-dimensional memory device containing structurally reinforced pedestal channel portions and methods of making the same
US10269820B1 (en) 2018-04-03 2019-04-23 Sandisk Technologies Llc Three-dimensional memory device containing different pedestal width support pillar structures and method of making the same
KR102612021B1 (ko) 2018-04-03 2023-12-11 삼성전자주식회사 3차원 반도체 메모리 장치
US10580787B2 (en) 2018-05-29 2020-03-03 Sandisk Technologies Llc Three-dimensional memory device containing dummy antenna diodes
KR102614427B1 (ko) 2018-09-19 2023-12-18 삼성전자주식회사 반도체 소자 및 그 형성 방법
US10886222B2 (en) * 2019-04-10 2021-01-05 Macronix International Co., Ltd. Via contact, memory device, and method of forming semiconductor structure
US11121143B2 (en) * 2019-05-24 2021-09-14 Micron Technology, Inc. Integrated assemblies having conductive posts extending through stacks of alternating materials
CN110574162B (zh) 2019-08-02 2021-02-12 长江存储科技有限责任公司 三维存储器器件及其制造方法
US11018154B2 (en) * 2019-08-19 2021-05-25 Macronix International Co., Ltd. Memory device and method for fabricating the same
US11011209B2 (en) * 2019-10-01 2021-05-18 Sandisk Technologies Llc Three-dimensional memory device including contact-level bit-line-connection structures and methods of making the same
JP7257545B2 (ja) * 2020-03-20 2023-04-13 長江存儲科技有限責任公司 三次元メモリデバイス及びその動作方法
CN112567519B (zh) * 2020-11-13 2021-11-23 长江存储科技有限责任公司 三维存储器件及其形成方法
JP2022146030A (ja) 2021-03-22 2022-10-05 キオクシア株式会社 半導体記憶装置及びその製造方法
CN116507110A (zh) * 2022-01-17 2023-07-28 长鑫存储技术有限公司 半导体结构的制作方法及半导体结构
CN115719600B (zh) * 2022-11-16 2023-08-11 南京大学 存储单元、存储的方法、存储阵列、存储器及其制备方法

Family Cites Families (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5915167A (en) 1997-04-04 1999-06-22 Elm Technology Corporation Three dimensional structure memory
KR100821456B1 (ko) 2000-08-14 2008-04-11 샌디스크 쓰리디 엘엘씨 밀집한 어레이 및 전하 저장 장치와, 그 제조 방법
TWI266386B (en) 2001-10-03 2006-11-11 Hannstar Display Corp Dual vertical cannel thin film transistor for SRAM and manufacturing method thereof
US7005350B2 (en) 2002-12-31 2006-02-28 Matrix Semiconductor, Inc. Method for fabricating programmable memory array structures incorporating series-connected transistor strings
US7233522B2 (en) 2002-12-31 2007-06-19 Sandisk 3D Llc NAND memory array incorporating capacitance boosting of channel regions in unselected memory cells and method for operation of same
US7221588B2 (en) 2003-12-05 2007-05-22 Sandisk 3D Llc Memory array incorporating memory cells arranged in NAND strings
US7023739B2 (en) 2003-12-05 2006-04-04 Matrix Semiconductor, Inc. NAND memory array incorporating multiple write pulse programming of individual memory cells and method for operation of same
US7177191B2 (en) 2004-12-30 2007-02-13 Sandisk 3D Llc Integrated circuit including memory array incorporating multiple types of NAND string structures
US7535060B2 (en) 2006-03-08 2009-05-19 Freescale Semiconductor, Inc. Charge storage structure formation in transistor with vertical channel region
JP5016832B2 (ja) 2006-03-27 2012-09-05 株式会社東芝 不揮発性半導体記憶装置及びその製造方法
US7575973B2 (en) 2007-03-27 2009-08-18 Sandisk 3D Llc Method of making three dimensional NAND memory
US7745265B2 (en) 2007-03-27 2010-06-29 Sandisk 3D, Llc Method of making three dimensional NAND memory
US7808038B2 (en) 2007-03-27 2010-10-05 Sandisk 3D Llc Method of making three dimensional NAND memory
US7514321B2 (en) 2007-03-27 2009-04-07 Sandisk 3D Llc Method of making three dimensional NAND memory
US7851851B2 (en) 2007-03-27 2010-12-14 Sandisk 3D Llc Three dimensional NAND memory
US7848145B2 (en) 2007-03-27 2010-12-07 Sandisk 3D Llc Three dimensional NAND memory
US7701746B2 (en) 2007-06-28 2010-04-20 Sandisk 3D, Llc Method of making memory cell with voltage modulated sidewall poly resistor
US7846782B2 (en) 2007-09-28 2010-12-07 Sandisk 3D Llc Diode array and method of making thereof
KR101226685B1 (ko) 2007-11-08 2013-01-25 삼성전자주식회사 수직형 반도체 소자 및 그 제조 방법.
JP5142692B2 (ja) 2007-12-11 2013-02-13 株式会社東芝 不揮発性半導体記憶装置
US7764534B2 (en) 2007-12-28 2010-07-27 Sandisk 3D Llc Two terminal nonvolatile memory using gate controlled diode elements
JP4660567B2 (ja) * 2008-03-18 2011-03-30 株式会社東芝 半導体記憶装置
KR101065140B1 (ko) 2008-03-17 2011-09-16 가부시끼가이샤 도시바 반도체 기억 장치
JP2010027870A (ja) 2008-07-18 2010-02-04 Toshiba Corp 半導体記憶装置及びその製造方法
JP4802313B2 (ja) 2008-08-01 2011-10-26 ニッコー株式会社 圧電振動子の保持装置
JP5288936B2 (ja) 2008-08-12 2013-09-11 株式会社東芝 不揮発性半導体記憶装置
KR101478678B1 (ko) 2008-08-21 2015-01-02 삼성전자주식회사 비휘발성 메모리 소자 및 그 제조 방법
US7994011B2 (en) 2008-11-12 2011-08-09 Samsung Electronics Co., Ltd. Method of manufacturing nonvolatile memory device and nonvolatile memory device manufactured by the method
KR101527192B1 (ko) 2008-12-10 2015-06-10 삼성전자주식회사 불휘발성 메모리 소자 및 그의 제조방법
KR101495806B1 (ko) 2008-12-24 2015-02-26 삼성전자주식회사 비휘발성 기억 소자
US20100155818A1 (en) 2008-12-24 2010-06-24 Heung-Jae Cho Vertical channel type nonvolatile memory device and method for fabricating the same
KR101481104B1 (ko) 2009-01-19 2015-01-13 삼성전자주식회사 비휘발성 메모리 장치 및 그 제조 방법
KR101548674B1 (ko) 2009-08-26 2015-09-01 삼성전자주식회사 3차원 반도체 메모리 장치 및 그 제조 방법
KR101579587B1 (ko) 2009-04-01 2015-12-22 삼성전자주식회사 반도체 장치 및 그 형성 방법
US8284601B2 (en) 2009-04-01 2012-10-09 Samsung Electronics Co., Ltd. Semiconductor memory device comprising three-dimensional memory cell array
KR101616089B1 (ko) 2009-06-22 2016-04-28 삼성전자주식회사 3차원 반도체 메모리 소자
JP5121792B2 (ja) 2009-08-06 2013-01-16 株式会社東芝 半導体装置の製造方法
KR101584113B1 (ko) 2009-09-29 2016-01-13 삼성전자주식회사 3차원 반도체 메모리 장치 및 그 제조 방법
KR101559958B1 (ko) 2009-12-18 2015-10-13 삼성전자주식회사 3차원 반도체 장치의 제조 방법 및 이에 따라 제조된 3차원 반도체 장치
JP2011142276A (ja) 2010-01-08 2011-07-21 Toshiba Corp 不揮発性半導体記憶装置、及びその製造方法
US8520425B2 (en) 2010-06-18 2013-08-27 Sandisk 3D Llc Resistive random access memory with low current operation
US8193054B2 (en) 2010-06-30 2012-06-05 SanDisk Technologies, Inc. Ultrahigh density vertical NAND memory device and method of making thereof
US8198672B2 (en) 2010-06-30 2012-06-12 SanDisk Technologies, Inc. Ultrahigh density vertical NAND memory device
US8349681B2 (en) 2010-06-30 2013-01-08 Sandisk Technologies Inc. Ultrahigh density monolithic, three dimensional vertical NAND memory device
JP2012069606A (ja) * 2010-09-21 2012-04-05 Toshiba Corp 不揮発性半導体記憶装置
US8187932B2 (en) 2010-10-15 2012-05-29 Sandisk 3D Llc Three dimensional horizontal diode non-volatile memory array and method of making thereof
JP5481565B2 (ja) * 2010-12-06 2014-04-23 株式会社日立製作所 不揮発性記憶装置およびその製造方法
WO2012082654A2 (en) 2010-12-14 2012-06-21 Sandisk 3D Llc Architecture for three dimesional non-volatile storage with vertical bit lines
KR20130045622A (ko) 2011-10-26 2013-05-06 에스케이하이닉스 주식회사 3차원 구조의 비휘발성 메모리 소자 및 그 제조 방법
US8847302B2 (en) 2012-04-10 2014-09-30 Sandisk Technologies Inc. Vertical NAND device with low capacitance and silicided word lines
US8828884B2 (en) 2012-05-23 2014-09-09 Sandisk Technologies Inc. Multi-level contact to a 3D memory array and method of making
KR102054226B1 (ko) 2013-03-14 2019-12-10 삼성전자주식회사 반도체 소자 및 그 제조 방법
KR102154784B1 (ko) * 2013-10-10 2020-09-11 삼성전자주식회사 반도체 장치 및 그 제조방법
US9711524B2 (en) * 2015-01-13 2017-07-18 Sandisk Technologies Llc Three-dimensional memory device containing plural select gate transistors having different characteristics and method of making thereof
US9583615B2 (en) * 2015-02-17 2017-02-28 Sandisk Technologies Llc Vertical transistor and local interconnect structure

Also Published As

Publication number Publication date
WO2016182705A1 (en) 2016-11-17
US20160329101A1 (en) 2016-11-10
US9666281B2 (en) 2017-05-30
JP2018515906A (ja) 2018-06-14

Similar Documents

Publication Publication Date Title
JP6392996B2 (ja) 3次元p−i−nメモリデバイスおよび正孔電流検出を用いたその読取方法
US10074661B2 (en) Three-dimensional junction memory device and method reading thereof using hole current detection
US9935124B2 (en) Split memory cells with unsplit select gates in a three-dimensional memory device
US9524981B2 (en) Three dimensional memory device with hybrid source electrode for wafer warpage reduction
US10381229B2 (en) Three-dimensional memory device with straddling drain select electrode lines and method of making thereof
US9941299B1 (en) Three-dimensional ferroelectric memory device and method of making thereof
US10453798B2 (en) Three-dimensional memory device with gated contact via structures and method of making thereof
CN108431955B (zh) 具有虚设电介质层堆叠体下方的***器件的三维存储器器件及其制造方法
US10192877B2 (en) Three-dimensional memory device with level-shifted staircase structures and method of making thereof
US9230979B1 (en) High dielectric constant etch stop layer for a memory structure
US9711524B2 (en) Three-dimensional memory device containing plural select gate transistors having different characteristics and method of making thereof
US9799671B2 (en) Three-dimensional integration schemes for reducing fluorine-induced electrical shorts
US9543320B2 (en) Three-dimensional memory structure having self-aligned drain regions and methods of making thereof
US9478558B2 (en) Semiconductor structure with concave blocking dielectric sidewall and method of making thereof by isotropically etching the blocking dielectric layer
US9356043B1 (en) Three-dimensional memory devices containing memory stack structures with position-independent threshold voltage
US9620512B1 (en) Field effect transistor with a multilevel gate electrode for integration with a multilevel memory device
US9853043B2 (en) Method of making a multilevel memory stack structure using a cavity containing a sacrificial fill material
US9443861B1 (en) Fluorine-blocking insulating spacer for backside contact structure of three-dimensional memory structures
US9754956B2 (en) Uniform thickness blocking dielectric portions in a three-dimensional memory structure
US9437543B2 (en) Composite contact via structure containing an upper portion which fills a cavity within a lower portion
US9530788B2 (en) Metallic etch stop layer in a three-dimensional memory structure
US9443866B1 (en) Mid-tunneling dielectric band gap modification for enhanced data retention in a three-dimensional semiconductor device
US20180130812A1 (en) Three-dimensional memory device with electrically isolated support pillar structures and method of making thereof
US9748266B1 (en) Three-dimensional memory device with select transistor having charge trapping gate dielectric layer and methods of making and operating thereof

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180319

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20180319

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20180319

A975 Report on accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A971005

Effective date: 20180424

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180529

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180710

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20180814

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20180823

R150 Certificate of patent or registration of utility model

Ref document number: 6392996

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees