JP5923641B2 - 3次元メモリおよびその形成方法 - Google Patents

3次元メモリおよびその形成方法 Download PDF

Info

Publication number
JP5923641B2
JP5923641B2 JP2015083308A JP2015083308A JP5923641B2 JP 5923641 B2 JP5923641 B2 JP 5923641B2 JP 2015083308 A JP2015083308 A JP 2015083308A JP 2015083308 A JP2015083308 A JP 2015083308A JP 5923641 B2 JP5923641 B2 JP 5923641B2
Authority
JP
Japan
Prior art keywords
memory
forming
memory device
dielectric
conductive material
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2015083308A
Other languages
English (en)
Other versions
JP2015149503A (ja
Inventor
ディー. タン,サン
ディー. タン,サン
ケー. ザフラク,ジョン
ケー. ザフラク,ジョン
Original Assignee
マイクロン テクノロジー, インク.
マイクロン テクノロジー, インク.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by マイクロン テクノロジー, インク., マイクロン テクノロジー, インク. filed Critical マイクロン テクノロジー, インク.
Publication of JP2015149503A publication Critical patent/JP2015149503A/ja
Application granted granted Critical
Publication of JP5923641B2 publication Critical patent/JP5923641B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C13/00Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00
    • G11C13/0002Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using resistive RAM [RRAM] elements
    • G11C13/0021Auxiliary circuits
    • G11C13/004Reading or sensing circuits or methods
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C13/00Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00
    • G11C13/0002Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using resistive RAM [RRAM] elements
    • G11C13/0021Auxiliary circuits
    • G11C13/0069Writing or programming circuits or methods
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C13/00Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00
    • G11C13/0002Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using resistive RAM [RRAM] elements
    • G11C13/0021Auxiliary circuits
    • G11C13/0097Erasing, e.g. resetting, circuits or methods
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/06Auxiliary circuits, e.g. for writing into memory
    • G11C16/10Programming or data input circuits
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/06Auxiliary circuits, e.g. for writing into memory
    • G11C16/10Programming or data input circuits
    • G11C16/14Circuits for erasing electrically, e.g. erase voltage switching circuits
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/06Auxiliary circuits, e.g. for writing into memory
    • G11C16/26Sensing or reading circuits; Data output circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40114Multistep manufacturing processes for data storage electrodes the electrodes comprising a conductor-insulator-conductor-insulator-semiconductor structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66825Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a floating gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66833Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a charge trapping gate insulator, e.g. MNOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/788Field effect transistors with field effect produced by an insulated gate with floating gate
    • H01L29/7889Vertical transistors, i.e. transistors having source and drain not in the same horizontal plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/792Field effect transistors with field effect produced by an insulated gate with charge trapping gate insulator, e.g. MNOS-memory transistors
    • H01L29/7926Vertical transistors, i.e. transistors having source and drain not in the same horizontal plane
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/10Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the top-view layout
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/50Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the boundary region between the core region and the peripheral circuit region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/10EEPROM devices comprising charge-trapping gate insulators characterised by the top-view layout
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/50EEPROM devices comprising charge-trapping gate insulators characterised by the boundary region between the core and peripheral circuit regions
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/30Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having three or more electrodes, e.g. transistors
    • H10B63/34Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having three or more electrodes, e.g. transistors of the vertical channel field-effect transistor type
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/80Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays
    • H10B63/84Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays arranged in a direction perpendicular to the substrate, e.g. 3D cell arrays
    • H10B63/845Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays arranged in a direction perpendicular to the substrate, e.g. 3D cell arrays the switching components being connected to a common vertical conductor
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C2213/00Indexing scheme relating to G11C13/00 for features not covered by this group
    • G11C2213/70Resistive array aspects
    • G11C2213/71Three dimensional array
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40117Multistep manufacturing processes for data storage electrodes the electrodes comprising a charge-trapping insulator
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • H10N70/231Multistable switching devices, e.g. memristors based on solid-state phase change, e.g. between amorphous and crystalline phases, Ovshinsky effect

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)

Description

関連出願の説明
本特許出願は、参照により本明細書に組み込まれる、2010年6月28日出願の米国特許出願第12/825,211号の優先権の利益を主張するものである。
フラッシュメモリデバイスなどの不揮発性メモリデバイスは、情報を格納するために、多くのコンピュータおよび電子デバイス内で使用される。フラッシュメモリデバイスは、通常は、情報(例えば、データおよび命令コード)を格納するための書き込み動作、格納された情報を引き出すための読み出し動作、およびメモリから情報を除去するための消去動作を有する。より高密度のメモリデバイスに対する需要が増大すると共に、3次元(3D)メモリデバイスが提案されてきた。従来の3Dメモリデバイスの一例は、Jiyoung Kimらによる、表題「Novel 3−D Structure for Ultra High Density Flash Memory with Vertical−Array−Transistor(VRAT)and Planarized
Integration on the same Plane(PIPE)」(2008 Symposium on VLSI Technology Digest of Technical Papers、22〜23ページ)の論文で説明されている。
また、従来の3Dメモリデバイスの一例が、特許文献1及び2に記載されている。
特開2009−266945号公報 特開2009−117843号公報
3Dメモリデバイスは比較的新しいものであるため、これらのデバイスは、製造プロセス上の課題を提起し得る。
上記の課題を解決するために、本発明の一態様に係る半導体装置は、水平に延在する第1の導電材料と、水平に延在し、前記第1の導電材料の上方に形成された第2の導電材料と、第1、第2および第3の誘電材料があって、前記第1および前記第2の誘電材料はそれらの間に前記第1の導電材料を挟み、前記第2の誘電材料は前記第1および前記第2の導電材料の間に挟まれ、前記第2および前記第3の誘電材料はそれらの間に前記第2の導電材料を挟み、前記第1および前記第2の導電材料、ならびに前記第1、前記第2および前記第3の誘電材料を垂直に貫通する導電チャネル柱があって、前記第1の導電材料は、前記第1の導電材料の端部と前記導電チャネル柱との間に第1の空洞を形成するように、前記導電チャネル柱から水平に後退し、前記第2の導電材料は、前記第2の導電材料の端部と前記導電チャネル柱との間に第2の空洞を形成するように、前記導電チャネル柱から水平に後退し、前記第1の導電材料と、前記第1および前記第2の誘電材料の各々と、の間に挟まれることを回避しながら、前記第1の空洞内で終端するように形成された、第1のメモリ素子と、前記第2の導電材料と、前記第2および前記第3の誘電材料の各々と、の間に挟まれることを回避しながら、前記第2の空洞内で終端するように形成された、第2のメモリ素子と、を備え、前記第1および第2のメモリ素子は、前記第2の誘電材料に
よって互いに分離されている、ことを特徴とする。
また、本発明の他の態様に係る半導体装置は、垂直方向に延在する導電チャネル柱と、それぞれが水平方向に延在しながら、それぞれの一部分が前記導電チャネル柱に隣接し、前記導電チャネル柱に沿って前記垂直方向に積層されるように配列された複数の誘電材料層と、前記垂直方向は前記複数の誘電材料層のそれぞれに挟まれ、前記水平方向の一方でそれらの各々が、前記導電チャネル柱と隣接する、複数のメモリ素子と、前記垂直方向は前記複数の誘電材料層のそれぞれに挟まれ、前記水平方向でそれらの各々が、前記複数のメモリ素子の各々を挟んで前記導電チャネル柱と対向する、複数の制御ゲートと、を備え、前記複数のメモリ素子のそれぞれは、前記垂直方向を前記複数の誘電材料層で、前記水平方向を前記複数の制御ゲートおよび前記導電チャネル柱で区画された各々の領域内で終端する、ことを特徴とする。
更に、本発明の一態様に係る半導体装置の製造方法は、導電材料層の形成と当該導電材料層上への誘電材料層の形成とを複数回繰り返し、複数の導電材料層および複数の誘電材料層とを形成する工程と、前記複数の導電材料層および前記複数の誘電材料層を垂直方向に貫通する開口を形成する工程と、前記開口内に露出した前記複数の導電材料層を選択的にエッチングし、前記複数の導電材料層のそれぞれの側面を前記複数の誘電材料層のそれぞれの側面から水平に後退させる工程と、前記複数の導電材料層の前記後退した側面のそれぞれと、前記複数の誘電材料層のそれぞれと、で区画された複数の空洞の各々を、埋設するように複数のメモリ素子を形成する工程と、前記複数のメモリ素子と前記複数の誘電材料層の側面のそれぞれを覆い垂直方向に延在する導電チャネル柱を形成する工程と、を含むことを特徴とする。
本発明の一実施形態による、メモリセルを備えるメモリアレイを有するメモリデバイスのブロック図を示す。 本発明の一実施形態による、メモリセルの下方にデータ線が配置されるメモリデバイスの一部分の回路図を示す。 本発明の一実施形態による、図2のメモリデバイスの一部分の3次元視図を示す。 本発明の一実施形態による、図3のメモリデバイスの制御ゲートおよびメモリセルの一部分を示す。 本発明の一実施形態による、メモリセルの下方にデータ線が配置されるメモリデバイスを形成する、様々なプロセスを示す。 本発明の一実施形態による、メモリセルの下方にデータ線が配置されるメモリデバイスを形成する、様々なプロセスを示す。 本発明の一実施形態による、メモリセルの下方にデータ線が配置されるメモリデバイスを形成する、様々なプロセスを示す。 本発明の一実施形態による、メモリセルの下方にデータ線が配置されるメモリデバイスを形成する、様々なプロセスを示す。 本発明の一実施形態による、メモリセルの下方にデータ線が配置されるメモリデバイスを形成する、様々なプロセスを示す。 本発明の一実施形態による、メモリセルの下方にデータ線が配置されるメモリデバイスを形成する、様々なプロセスを示す。 本発明の一実施形態による、メモリセルの下方にデータ線が配置されるメモリデバイスを形成する、様々なプロセスを示す。 本発明の一実施形態による、メモリセルの下方にデータ線が配置されるメモリデバイスを形成する、様々なプロセスを示す。 本発明の一実施形態による、メモリセルの下方にデータ線が配置されるメモリデバイスを形成する、様々なプロセスを示す。 本発明の一実施形態による、メモリセルの下方にデータ線が配置されるメモリデバイスを形成する、様々なプロセスを示す。 本発明の一実施形態による、メモリセルの下方にデータ線が配置されるメモリデバイスを形成する、様々なプロセスを示す。 本発明の一実施形態による、メモリセルの下方にデータ線が配置されるメモリデバイスを形成する、様々なプロセスを示す。 本発明の一実施形態による、メモリセルの下方にデータ線が配置されるメモリデバイスを形成する、様々なプロセスを示す。 本発明の一実施形態による、メモリセルの下方にデータ線が配置されるメモリデバイスを形成する、様々なプロセスを示す。 本発明の一実施形態による、メモリセルの下方にデータ線が配置されるメモリデバイスを形成する、様々なプロセスを示す。 本発明の一実施形態による、メモリセルの下方にデータ線が配置されるメモリデバイスを形成する、様々なプロセスを示す。 本発明の一実施形態による、メモリセルの下方にデータ線が配置されるメモリデバイスを形成する、様々なプロセスを示す。 本発明の一実施形態による、メモリセルの下方にデータ線が配置されるメモリデバイスを形成する、様々なプロセスを示す。 本発明の一実施形態による、メモリセルの下方にデータ線が配置されるメモリデバイスを形成する、様々なプロセスを示す。 本発明の一実施形態による、メモリセルの下方にデータ線が配置されるメモリデバイスを形成する、様々なプロセスを示す。 本発明の一実施形態による、メモリセルの下方にデータ線が配置されるメモリデバイスを形成する、様々なプロセスを示す。 本発明の一実施形態による、メモリセルの下方にデータ線が配置されるメモリデバイスを形成する、様々なプロセスを示す。 本発明の一実施形態による、メモリセルの下方にデータ線が配置されるメモリデバイスを形成する、様々なプロセスを示す。 本発明の一実施形態による、メモリセルの下方にデータ線が配置されるメモリデバイスを形成する、様々なプロセスを示す。 本発明の一実施形態による、メモリセルの下方にデータ線が配置されるメモリデバイスを形成する、様々なプロセスを示す。 本発明の一実施形態による、メモリセルの上方にデータ線が配置されるメモリデバイスの一部分の回路図を示す。 本発明の一実施形態による、図30のメモリデバイスの一部分の3次元視図を示す。 本発明の一実施形態による、メモリセルの上方にデータ線が配置されるメモリデバイスを形成する、様々なプロセスを示す。 本発明の一実施形態による、メモリセルの上方にデータ線が配置されるメモリデバイスを形成する、様々なプロセスを示す。 本発明の一実施形態による、メモリセルの上方にデータ線が配置されるメモリデバイスを形成する、様々なプロセスを示す。 本発明の一実施形態による、メモリセルの上方にデータ線が配置されるメモリデバイスを形成する、様々なプロセスを示す。 本発明の一実施形態による、メモリセルの上方にデータ線が配置されるメモリデバイスを形成する、様々なプロセスを示す。 本発明の一実施形態による、メモリセルの上方にデータ線が配置されるメモリデバイスを形成する、様々なプロセスを示す。 本発明の一実施形態による、メモリセルの上方にデータ線が配置されるメモリデバイスを形成する、様々なプロセスを示す。
図1は、本発明の一実施形態による、メモリセル110を備えるメモリアレイ102を有するメモリデバイス100のブロック図を示す。メモリセル110は、アクセス線123(例えば、信号を有するワード線WL0〜WLM)および線124(例えば、信号を有するビット線BL0〜BLN)を伴って、行ならびに列の形で配置構成することができる。メモリデバイス100は、線124および線128を使用して、メモリセル110内部の情報を転送することができる。メモリセル110は、複数のデバイスレベル内に物理的に配置することができ、そのため、メモリセル110の1つのグループを、他のメモリセル110の1つ以上のグループ上に積み重ねることができる。行デコーダ132および列デコーダ134は、線125(例えば、アドレス線)上のアドレス信号A0〜AXを復号して、どのメモリセル110にアクセスさせるべきかを決定する。x行及び列デコーダ132及び134の行及び列レベルデコーダ136及び138は各々、アクセスさせるべきメモリセル110が配置された、メモリデバイス100の複数のデバイスレベルのうちのどれかを決定する。
センス増幅器回路140は、メモリセル110から読み出される情報の値を判定して、その情報を、線124および線128に、信号の形態で提供するように動作する。センス増幅器回路140はまた、線124および線128上の信号を使用して、メモリセル110に書き込まれる情報の値を判定することもできる。メモリデバイス100は、メモリアレイ102と線(例えば、データ線)126との間で情報を転送するための、回路機構150を含み得る。線126上の信号DQ0〜DQNは、メモリセル110から読み出されるか、またはメモリセル110内に書き込まれる情報を示し得る。線126は、メモリデバイス100内部のノード、またはメモリデバイス100が存在するパッケージ上のノード(例えば、ピンまたはハンダボール)を含み得る。メモリデバイス100の外部の他のデバイス(例えば、メモリコントローラまたはプロセッサ)は、線125、126、および127を通じて、メモリデバイス100と通信することができる。
メモリデバイス100は、メモリセル110から情報を読み出すための読み出し動作、およびメモリセル110内に情報を書き込む書き込み動作(プログラミング動作と称される場合もある)などの、メモリ動作を実行する。メモリ制御ユニット118が、線127上の制御信号に基づいて、このメモリ動作を制御する。線127上の制御信号の例としては、1つ以上のクロック信号、およびメモリデバイス100が実行するいずれかの動作(例えば、書き込み動作または読み出し動作)を指示するための他の信号が挙げられる。メモリデバイス100の外部の他のデバイス(例えば、プロセッサまたはメモリコントローラ)は、線127上の制御信号の値を制御することができる。これらの線上の信号の組み合わせの、特定の値が、コマンド(例えば、書き込みコマンドまたは読み出しコマンド)を生成して、このコマンドが、メモリデバイス100に、対応するメモリ動作(例えば、書き込み動作または読み出し動作)を実行させることができる。
メモリセル110のそれぞれは、単一ビットの値、または2つ、3つ、4つ、もしくは他の数のビットなどの複数ビットの値を表す情報を、格納することができる。例えば、メモリセル110のそれぞれは、単一ビットのバイナリ値「0」または「1」を表す情報を格納することができる。別の実施例では、メモリセル110のそれぞれは、2ビットの、4つの可能な値「00」、「01」、「10」、および「11」のうちの1つ、8つの可能な値「000」、「001」、「010」、「011」、「100」、「101」、「110」、および「111」のうちの1つ、あるいは他の数の複数ビットの、他の値のうちの1つなどの、複数ビットの値を表す情報を、格納することができる。
メモリデバイス100は、線141上の供給電圧信号Vccおよび線142上の供給電圧信号Vssを含めた、供給電圧を受け取ることができる。供給電圧信号Vssは、接地電位(例えば、約0ボルトの値を有する)で動作することができる。供給電圧信号Vccは、バッテリーまたは交直流(AC−DC)変換回路機構などの、外部電源から、メモリデバイス100に供給される、外部電圧を含み得る。
メモリデバイス100の回路機構150は、選択回路152および入出力(I/O)回路116を含み得る。選択回路152は、信号SEL0〜SELnに応答して、メモリセル110から読み出されるか、またはメモリセル110内に書き込まれる情報を表し得る、線124および線128上の信号を選択する。列デコーダ134が、アドレス信号A0〜AXに基づいて、SEL0〜SELn信号を選択的にアクティブにする。選択回路152が、線124および線128上の信号を選択して、読み出し動作および書き込み動作の間の、メモリアレイ102とI/O回路116との通信を提供する。
メモリデバイス100は、不揮発性メモリデバイスとすることができ、メモリセル110は、不揮発性メモリセルとすることができるため、メモリセル110は、電力(例えば、VccもしくはVss、または双方)がメモリデバイス100から切断される際に、メモリセル110上に格納された情報を保持することができる。例えば、メモリデバイス100は、NANDフラッシュもしくはNORフラッシュメモリデバイスなどの、フラッシュメモリデバイス、または可変抵抗メモリデバイスなど(例えば、相変化ランダムアクセスメモリ(PCRAM)、抵抗変化RAM(RRAM(登録商標))など)の、他の種類のメモリデバイスとすることができる。
メモリデバイス100は、本明細書で説明される実施形態に焦点を合わせる手助けとするために、図1には示されない他の機構を含み得ることが、当業者には理解されるであろう。
メモリデバイス100は、図2〜図38を参照して以下で説明されるメモリデバイスおよびメモリセルのうちの、少なくとも1つを含み得る。
図2は、本発明の一実施形態による、メモリセル210、211、および212の下方に、データ線251、252、および253が配置される、メモリデバイス200の一部分の回路図を示す。メモリセル210、211、および212は、メモリセル210のグループ、メモリセル211のグループ、およびメモリセル212のグループなどのグループへと、グループ化することができる。図2に示すように、各グループ内のメモリセルは、制御ゲート221、222、または223(関連する信号WL0、WL1、およびWL2を有する)などの、同じ制御ゲートを共有する。これらのメモリセルは、ストリング215およびストリング216などのストリング内で直列に結合される。各ストリングは、異なるグループからのメモリセルのうちの1つを含み得、トランジスタ231のうちの1つとトランジスタ232のうちの1つとの間に結合される。
図2に示すように、トランジスタ231は、選択線241、242、および243(関連する信号SGD0、SGD1、およびSGD2を有する)に結合される、ゲートを有する。トランジスタ231は、データ線251、252、および253(関連する信号BL0、BL1、およびBL2を有する)に結合される、ノード(例えば、ソース)を有する。データ線251、252、および253は、不揮発性メモリデバイスのビット線またはセンス線に対応する場合がある。
トランジスタ232は、選択線261、262、および263(関連する信号SGS0、SGS1、およびSGS2を有する)に結合される、ゲートを有する。トランジスタ2
32は、不揮発性メモリデバイス内のメモリセルストリングの共通ソース270に結合される、ノード(例えば、ドレイン)を有する。
図2は、関連する構成要素が結合された、メモリセルの3つのグループを、一実施例として示す。メモリセルのグループ、およびそれらのグループの関連する構成要素(例えば、制御ゲートおよびデータ線)の数は、変動し得る。
メモリデバイス200は、メモリセル210、211、および212内に格納された情報を検知する(例えば、読み出す)ための読み出し動作の間、ならびにメモリセル210、211、および212内に情報を格納するための書き込み動作の間の、メモリセル210、211、および212へのアクセスを制御するために、制御ゲート221、222、および223を使用する。メモリデバイス200は、読み出し動作の間にこれらのメモリセルから読み出された情報を転送するために、データ線251、252、および253を使用する。
トランジスタ231およびトランジスタ232は、読み出し動作または書き込み動作の間、それぞれ、信号SGD0、SGD1、およびSGD2、ならびに信号SGS0、SGS1、およびSGS2に応答して、データ線251、252、および253、ならびに共通ソース270に、メモリセルを選択的に結合する。
本明細書での説明に焦点を合わせる手助けとするために、本明細書での説明では、書き込み、読み出し、および消去動作などの、メモリデバイスの動作の詳細な説明は省略される。これらの動作は、当業者には理解されるであろう。例えば、メモリデバイス200の消去動作では、約20ボルトの電圧を、データ線251、252、および253に印加することができ、その一方で、制御ゲート221、222、および223、選択線241、242、および243、ならびに選択線261、262、および263を、「浮遊」させる(例えば、電圧に対して非接続のままにする)ことができる。この消去動作で、メモリセル210、211、および212のメモリ素子からの電子は、データ線251、253、および253に移動することができる。
図3は、本発明の一実施形態による、メモリデバイス200の一部分の3D視図を示す。図3はまた、X方向、Y方向、およびZ方向も示し、デバイスレベル301、302、および303が、Z方向で配置構成される。同じグループのメモリセル210は、X方向およびY方向で、行および列の形に配置構成することができる。メモリセルの各グループは、異なるデバイスレベル301、302、および303内に配置される。例えば、メモリセル210を有するグループは、デバイスレベル301内に配置される。メモリセル211を有するグループは、デバイスレベル302内に配置される。メモリセル212を有するグループは、デバイスレベル303内に配置される。
図3に示すように、各ストリング内のメモリセル210、211、および212(例えば、トランジスタ231とトランジスタ232との間のメモリセル)は、データ線251、252、および253の下の基材(基板)に対して、Z方向に、実質的に垂直に位置合わせされる。この基材は、図3には示されないが、図5および図6の基材503と同様のものとすることができる。図3はまた、図2のトランジスタ231およびトランジスタ232に対応する、トランジスタ231とトランジスタ232との間の、同じストリング内のメモリセル210、211、および212のメモリ素子430を通って、Z方向に垂直に延びる、チャネル441ならびに導電材料部分442も示す。図3に示すように、トランジスタ231は、本体391(例えば、トランジスタチャネル)に結合されるダブルゲートを含み、トランジスタを制御する(オンまたはオフにする)ことができる。このダブルゲートの構造は、同じ選択線241の2つの区画(図3に示すような)を含み得、その
2つの区画は、本体391の2つの両側上にのみ配置される。
図3のメモリデバイス200はまた、コンタクト329、349、および359も含み得る。コンタクト329は、制御ゲート221、222、および223への電気的接続を提供する。コンタクト349は、選択線241、242、243、および244への電気的接続を提供する。コンタクト359は、データ線251、252、および253との間の電気的接続を提供する。選択線244、および選択線244とZ方向で関連するメモリセルは、図2には示されない。
図4は、図3のメモリデバイス200の制御ゲート221およびメモリセル210の一部分を示す。図2の制御ゲート222および制御ゲート223、ならびにメモリセル211およびメモリセル212は、それぞれ、制御ゲート221およびメモリセル210と同様の構造を有する。図4に示すように、制御ゲート221は、空洞420を有する均質材料を含み、各空洞は、この均質材料とは異なる材料を含めた、様々な構成要素で充填することができる。その様々な構成要素としては、メモリ素子430、チャネル441、導電材料部分442、ならびに誘電体421および誘電体427が挙げられる。誘電体421は、異なる層として配置構成される、複数の材料422、423、および424を含み得る。図4に示すように、各メモリセル210のメモリ素子430は、内側面451および外側面452を有する、リング形状(例えば、ドーナツ形状)を有する。図3に示す他のメモリセル211およびメモリセル212のそれぞれもまた、リング形状を有する。図3に示すように、同じストリング内(例えば、トランジスタ231とトランジスタ232との間)のメモリセル210、211、および212の内部では、各メモリセルのリング形状メモリ素子430の全体が、同じストリング内の他のメモリセルのそれぞれの、リング形状メモリ素子の全体と、実質的に垂直に(Z方向で)位置合わせされる。
各メモリ素子430は、その中の電荷の量(例えば、電子の数)に基づくような、情報を格納することができる。そのような各メモリ素子430では、電荷の量は、そのメモリ素子が格納する情報の値に対応する。この電荷の量は、書き込み動作で、または消去動作で、制御することができる。例えば、チャネル441もしくは導電材料部分442、または双方からの電子は、当業者には既知のトンネル効果により、書き込み動作の間に、メモリ素子430に移動することができる。消去動作では、メモリ素子430からの電子は、チャネル441もしくは導電材料部分442、または双方へ戻り、データ線251、253、および253(図2および図3)に移動することができる。代替的実施形態は、例えば、素子430の抵抗に基づくような、情報を格納することができる、メモリ素子430を使用することも可能である。 図3のメモリデバイス200は、図5〜図29を参照して以下で説明されるものと同様のプロセス、または同一のプロセスを使用して、形成することができる。
図5〜図29は、本発明の一実施形態による、メモリセルの下方にデータ線が配置されるメモリデバイス500を形成する、様々なプロセスを示す。メモリデバイス500(図29に、より詳細に示す)は、図3のメモリデバイス300に対応し得る。
図5は、基材(基板)503を有するメモリデバイス500を示し、この基材503は、層として配置構成される材料501および材料502を含み得る。材料501は、バルクシリコンを含み得、または別の半導体材料とすることも可能である。材料502は、誘電材料(誘電体材料)、例えば、シリコン酸化物とすることができる。図5はまた、基材503の上に形成される、材料504および材料505も示す。材料504および材料505の形成は、基材503の上に導電材料を付着(堆積)させ、次に材料504の上に別の導電材料を付着させる工程を含み得る。材料504は、金属、または他の導電材料を含み得る。材料505は、非ドープのポリシリコン、もしくはp型シリコンなどのドープポ
リシリコン、または別の導電材料を含み得る。
図5はまた、X方向、X方向に対して垂直なY方向、ならびにX方向およびY方向の双方に対して垂直なZ方向も示す。図5に示すように、材料504および材料505は、1つの層が、Z方向で1つ以上の他の層の上方に(例えば、層上に)ある、異なる層として形成することができる。
本明細書で使用するとき、一方が他方「上に」ある、2つ以上の材料に関して使用される用語「〜上に」とは、それらの材料の間の少なくとも一部の接触を意味し、一方で、「の上方に」または「上にある」は、材料が別の材料「上に」あることか、またはそれらの材料の間に、1つ以上の追加的な介在材料が存在する場合を指すことができる(例えば、接触は必ずしも必要とされない)。これらの用語「〜上に」、「の上方に」、または「上にある」は、本明細書で使用するとき、特にそのような明示的記述がない限り、いずれの方向性も示すものではない。
図6は、データ線651、652、および653、ならびにデバイス構造体605が形成された後の、メモリデバイス500を示す。エッチング(例えば、乾式エッチング)などのプロセスを使用して、材料504および材料505(図5)の諸部分を除去し、トレンチ511およびトレンチ512を形成することができ、このトレンチ511およびトレンチ512は、材料502で、トレンチの底部を有する。データ線651、652、および653のそれぞれ、ならびにデバイス構造体605のそれぞれは、X方向に延びる、より大きい寸法(例えば、長さ)を有する。X方向に延びる個別の開口部を有するマスク(図6には示さず)を使用して、トレンチ511およびトレンチ512を形成することができる。図6に示すように、トレンチ511およびトレンチ512は、材料504(図5)を、図2のデータ線251、252、および253に対応し得る、個別のデータ線651、652、および653へと分割する。
図7は、ピラー705が、メモリデバイス500の区域701内に形成された後の、メモリデバイス500を示す。ピラー705は、メモリデバイス500の区域702内には形成されない。簡略化のために、図7〜図29には、図6の基材503は示されない。図7では、エッチング(例えば、乾式エッチング)などのプロセスを使用して、デバイス構造体605の諸部分を除去し、トレンチ511およびトレンチ512と垂直なY方向で、トレンチ711、712、および713を形成することができ、それによりピラー705を、図7に示すように形成することができる。Y方向に延びる個別の開口部を有するマスク(図7には示さず)を使用して、トレンチ711、712、および713を形成することができる。各ピラー705は、約20〜50ナノメートルの、Z方向での高さを含み得る。図7に示すように、ピラー705は、X方向およびY方向で、行および列の形に(例えば、マトリックスの形に)配置構成される。簡略化のために、図7には、トレンチ511およびトレンチ512内に充填される誘電材料は示されない。しかしながら、図7のメモリデバイス500の形成はまた、デバイス構造体605の頂部表面715まで、トレンチ511およびトレンチ512を充填するように、誘電材料(例えば、シリコン酸化物)を形成する工程も含み得る。
図8は、誘電体831、ならびに選択線841、842、843、および844が形成された後の、メモリデバイス500を示す。選択線841、842、843、および844は、それぞれ、図3の選択線241、242、243、および244に対応し得る。図8では、誘電体831は、選択線841、842、843、および844を、ピラー705から電気的に絶縁するように形成される。誘電体831は、例えば、各ピラー705の少なくとも2つの面上に、誘電材料(例えば、シリコン酸化物)を付着させることによって、またはピラー705を酸化させることによって、形成することができる。誘電体83
1が形成された後、例えば、ピラー705、ならびにトレンチ711、712、および713(図7)の上に、導電材料を付着させ、次にその導電材料の一部分を除去(例えば、エッチング)することによって、選択線841、842、843、および844を形成し、図8に示す構造を有する、選択線841、842、843、および844を形成することができる。選択線841、842、843、および844のための導電材料の例としては、ポリシリコン、金属、あるいは、TiNおよびTaNなどの、他の導電材料が挙げられる。
図8はまた、デバイス構造体605の選択部分内にn型不純物を挿入する(例えば、注入する)ことによって形成することができる、ドープ領域833も示す。n型不純物の例としては、リン(P)またはヒ素(As)などの元素が挙げられる。n型不純物が挿入されていない、デバイス構造体605の残余部分は、図5を参照して上記で説明されたような、p型シリコンなどの、その本来の材料を維持し得る。
図9は、図8の選択線841、842、843、および844の代替的構造体である、選択線941、942、943、および944を有する、メモリデバイス500を示す。図8では、各ピラー705の相対する側面は、同じ選択線841、842、843、または844の、2つの異なる区画と関連する。図9では、ピラー705の頂部表面を除いて、選択線941、942、943、または944のうちの1つの材料によって、各ピラー705を完全に取り囲むことができる(例えば、各ピラー705の4つの側面が、同じ選択線の4つの異なる区画と関連する)。選択線841、842、843、および844と比較して、より高効率のメモリデバイスを、選択線941、942、943、および944を使用して達成することができる。選択線941、942、943、および944はまた、それぞれ、図3の選択線241、242、243、および244の代替的構造体とすることもできる。それゆえ、図2および図3の各トランジスタ231は、図9に示す構造を有する、取り囲まれたゲート(サラウンドゲート)を含み得る。それゆえ、図3に示すダブルゲートの代わりに、図3の各トランジスタ231は、代替的には、本体391(図3)を取り囲む、同じ選択線(選択線941など)の4つの異なる区画を有する、取り囲まれたゲートを含み得る。
図10は、材料1001〜1007が、ピラー705、ならびに選択線841、842、843、および844の上に形成された後の、メモリデバイス500を示す。材料1001〜1007は、メモリデバイス500の区域701内および区域702内の双方に形成することができる。しかしながら、本明細書での説明に焦点を合わせるために、図10には、区域702内の、材料1001〜1007の一部の部分は示されない。図28および図29を参照する下記の説明で、メモリデバイス500の区域702内の追加的構成要素(例えば、図3のコンタクト329と同様の構成要素)の形成が説明される。
図10〜図29では、簡略化のために、メモリデバイス500の一部の構成要素に関連する一部の番号指定は、1つ1つの図ごとに繰り返さない場合がある。図10では、材料1001〜1007を形成する前に、シリコン酸化物などの誘電材料(図10には示さず)を、間隙1041、1042、および1043を充填するように形成することができる。材料1001〜1007の形成は、誘電材料と導電材料とを、交互配置方式で交互に付着させる工程を含み得ることにより、これらの材料は、図10に示すように、Z方向で互いの上に交互に積み重ねられる。材料1001、1003、1005、および1007は、シリコン酸化物などの誘電材料を含み得る。材料1002、1004、および1006は、金属またはポリシリコン(例えば、p型シリコンに対するn型シリコン)などの導電材料を含み得る。図10に示すように、材料1001〜1007は、材料1002、1004、および1006が、材料1001、1003、1005、および1007によって互いに電気的に絶縁されるように、形成される。
図11は、開口部(例えば、穴)1101が、材料1002〜1107内に形成された後の、メモリデバイス500を示す。穴1101は、図11に示すように、各穴1101を、対応するピラー705の実質的に直接上に、位置合わせすることができるように形成される。穴1101の形成は、材料1002〜1007のそれぞれの一部分を除去(例えば、エッチング)して、材料1001で停止させる工程を含み得ることにより、材料1001の少なくとも一部分、または材料1001の全体が、穴1101をピラー705から隔てるように残存する。穴1101の形成は、材料1003、1005、および1007内のそれぞれの空洞1110、ならびに材料1002、1004、および1006内のそれぞれの空洞1120の形成をもたらす。図11に示すように、材料1003、1005、および1007内の空洞1110は、他の材料1002、1004、および1006内の空洞1120の実質的に直接上に、位置合わせされる。各空洞1110および各空洞1120は、実質的に同じ直径D1を有し得る。直径D1はまた、各空洞1110および各空洞1120の場所での、各穴1101の直径と見なすことができる。
図12は、空洞1220が、材料1002、1004、および1006(制御ゲート1221、1222、および1223を形成するために使用される)内に形成された後の、メモリデバイス500を示す。空洞1220の形成は、空洞1120(図11)のサイズを拡大し、その一方で、空洞1110のサイズを実質的に変化させずに保つ(例えば、実質的に直径D1で維持する)工程を含み得る。例えば、空洞1120(図11)のサイズの拡大は、各空洞1120(図11)で、材料1002、1004、および1006のそれぞれの一部分を選択的に除去(例えば、選択的な、湿式または乾式エッチング)する工程を含み得ることにより、各空洞1220の直径が、実質的に直径D2へと増大し、その一方で、各空洞1110での直径D1は、実質的に変化せずに維持される。直径D2は、直径D1よりも大きい。材料1002、1004、および1006内の空洞1120の形成はまた、図2の制御ゲート221、222、および223に対応し得る、制御ゲート1221、1222、および1223も形成する。
図13は、図12の制御ゲート1221の、更なる詳細を示す。図12の制御ゲート1222および制御ゲート1223は、制御ゲート1221と同様の構造を有する。図13に示すように、制御ゲート1221は、図11の空洞1220が、X方向およびY方向で、行および列の形に配置構成される、均質材料を含み得る。各空洞1220は、側壁1225を含み得る。
図14および図15は、誘電体1421およびメモリ素子1430が、空洞1220内に形成された後の、メモリデバイス500を示す。簡略化のために、図15には、全ての空洞1220内の誘電体1421およびメモリ素子1430は示されない。各誘電体1421は、制御ゲート1221の材料とメモリ素子1430との間に各誘電体1421を配置することができるように、側壁1225上に形成することができ、それにより、メモリ素子1430を、誘電体1421の少なくとも一部分によって、制御ゲート1221の材料から電気的に絶縁することができる。誘電体1421の形成は、複数の材料1422、1423、および1424(図15)を、異なる時点で、次々と形成する工程を含み得る。材料1422の形成は、側壁1225の一部分(例えば、表面)を酸化させて、側壁1225上に誘電材料(例えば、シリコン酸化物)を形成する工程を含み得る。あるいは、材料1422の形成は、側壁1225上に誘電材料(例えば、シリコン酸化物)を付着させる工程を含み得る。材料1423の形成は、材料1422上に誘電材料(例えば、シリコン窒化物)を付着させる工程を含み得、その誘電材料の一部分はまた、各空洞1110の側壁1425上にも形成される。材料1424の形成は、材料1423上に誘電材料(例えば、シリコン酸化物)を付着させる工程を含み得る。
メモリ素子1430は、誘電体1421が形成された後に、形成することができる。図15に示すように、各メモリ素子1430は、図14の内側面1451および外側面1452を有する、リング形状(例えば、ドーナツ形状)を有する。メモリ素子1430の形成は、穴1101内に材料を付着させる工程を含み得る。図14の空洞1220は、空洞1110と実質的に位置合わせされているため、この材料(メモリ素子1430を形成する)は、空洞1110および空洞1120の双方に充填することができる。次に、メモリ素子1430を形成する材料の一部分(例えば、各穴の中心部分)を除去する(例えば、同じ単一のエッチング工程での、エッチングによって)ことができ、それにより、空洞1110内の材料を除去(例えば、完全に除去)することができ、空洞1220内の材料は、完全に除去されることなく、部分的に除去される。図14に示すように、メモリ素子1430を形成する材料が、空洞1110から除去された後、誘電材料1423(例えば、材料1422上に形成された、シリコン窒化物)の一部分を、露出させることができる。図14に示すように、メモリ素子1430を形成する材料が、空洞1220から部分的に除去された後、同じ穴1101に関連するメモリ素子1430(空洞1220内の残留材料によって形成される)は、空洞1110の側壁1425(または、空洞1110の、材料1422および材料1423の諸部分を有する側壁1425)と実質的に位置合わせされる、その内側面1451を有し得る。
メモリ素子1430の材料としては、例えば、半導体材料(例えば、ポリシリコン)、シリコン窒化物もしくは他の誘電電荷トラッピング材料などの誘電電荷トラッピング材料、または相変化材料(例えば、GST)などの可変抵抗材料を挙げることができる。メモリ素子1430を形成する材料の一部分を除去(例えば、エッチング)する間、ピラー705の上に配置された、材料1001の部分1401もまた除去して、部分1401の厚さを低減することができる。
図16および図17は、誘電体1627が、メモリ素子1430の内側面1451上、および空洞1110内に形成された後の、メモリデバイス500を示す。誘電体1627の形成は、内側面1451上に誘電材料(例えば、シリコン酸化物)を付着させる工程を含み得る。あるいは、誘電体1627の形成は、メモリ素子1430の一部分(例えば、内側面1451)を酸化させる工程を含み得る。誘電体1627の形成(例えば、酸化による)はまた、空洞1110の側壁1425上に形成された材料1422上に形成される、材料1423(図14)もまた、消耗させることができる。それゆえ、誘電体1627はまた、材料1422の上で、空洞内に形成することもできる。
図18および図19は、チャネル1841が、空洞1110内および空洞1220内の双方の、誘電体1627上に形成された後の、メモリデバイス500を示す。チャネル1841の形成は、誘電体1627上に導電材料を付着させる工程を含み得る。エッチングプロセスを使用して、その付着の後に、導電材料の厚さを低減することができる。チャネル1841の導電材料は、ピラー705と同じ材料のタイプ(例えば、p型)を有し得る、ドープポリシリコンを含み得る。図18はまた、開口部1801の形成も示し、この開口部1801は、ピラー705の上に配置された部分1401(図14)を除去することによって、(例えば、エッチングによって)形成することができる。図19に示すように、チャネル1841は、メモリ素子1430と対向しており、誘電体1627の少なくとも一部分によって、メモリ素子1430から電気的に絶縁される。
図20は、例えば非ドープまたは低ドープのポリシリコンを付着させることによって、導電材料2001が形成され、チャネル1841とピラー705とが電気的に連通された後の、メモリデバイス500を示す。図20に示すように、導電材料2001は、ピラー705を通じて、チャネル1841とデータ線651、652、および653との間に、連続的な導電経路を形成する。
図21は、誘電材料2101(例えば、シリコン酸化物)が、導電材料2001の上に形成された後の、メモリデバイス500を示す。
図22は、開口部(例えば、穴2201)、導電材料部分2260、および導電材料部分2241の形成後の、メモリデバイス500を示す。穴2201は、図22に示すように、各穴2201を、チャネル1841の実質的に直接上に、位置合わせすることができるように形成される。穴2201の形成は、誘電材料2101の一部分、および導電材料2001(図21)の一部分を除去(例えば、エッチング)して、材料1007内の場所で停止させる工程を含み得る。穴2201が形成されることにより、導電材料2001の一部分が、穴2201の形成の間に除去された後、導電材料2001は、図22に示すように、導電材料部分2260と導電材料部分2241とに分離することができる。
図23は、ドープ領域2301が形成された後の、メモリデバイス500を示す。ドープ領域2301の形成は、導電材料部分2241の頂部部分内に、n型不純物を挿入する(例えば、埋め込む)工程を含み得る。ドープ領域2301は、チャネル1841とメモリデバイス500の他の構成要素との間に、比較的低い抵抗の接続を提供することができる。
図24は、誘電体2401およびチャネル2402が形成された後の、メモリデバイス500を示す。誘電体2401(例えば、シリコン酸化物)は、穴2201の場所で、導電材料部分2260の側壁上に形成される。チャネル2402は、誘電材料2101の側壁上、および誘電体2401上に形成される。
図25は、導電材料2501が、各穴2201内に形成された後の、メモリデバイス500を示し、このことにより、チャネル2402は、導電材料2501、ドープ領域2301、および導電材料部分2241を通じて、チャネル1841と電気的に結合することができる。各穴2201内の導電材料2501の形成は、材料の上に導電材料(例えば、ポリシリコン)を付着させることにより、その導電材料が穴2201を充填する工程を含み得る。次に、例えば導電材料をエッチ(etching)バックすることによって、または化学機械研磨(CMP)によって、その導電材料の頂部部分を除去することができる。
図26は、ドープ領域2601、ならびに選択線2661、2662、および2663が形成された後の、メモリデバイス500を示す。ドープ領域2601の形成は、導電材料部分2501の頂部部分内に、n型不純物を挿入する(例えば注入する)工程を含み得る。選択線2661、2662、および2663の形成は、誘電材料2101および導電材料部分2260の諸部分を除去して、トレンチ2602を形成する工程を含み得、このトレンチ2602は、材料1007内に部分的に延びる、トレンチの底部を有する。図26に示すように、トレンチ2602は、導電材料部分2260を、図2の選択線261、262、および263に対応し得る、選択線2661、2662、および2663へと分離する。
図27は、材料2701および共通ソース2770が形成された後の、メモリデバイス500を示す。材料2701の形成は、材料2101の上に誘電材料(例えば、シリコン酸化物)を付着させることにより、その誘電材料がトレンチ2602を充填する工程を含み得る。次に、例えば誘電材料をエッチバックすることによって、またはCMPによって、その誘電材料の頂部部分を除去することができる。共通ソース2770の形成は、材料2701および材料2101の上に導電材料(例えば、金属)を付着させる工程を含み得る。
図28は、区域702(図10)内の材料1001〜1007が、階段状パターンを形成するように処理(例えば、パターニングによって)された後の、メモリデバイス500を示し、階段の間の材料は、図28には示されない。図10の説明で上述されたように、材料1001〜1007の一部の部分は、簡略化のために、図10〜図27の区域702からは省略されている。図28は、階段状パターンを形成するように処理された後の、区域702内の材料1001〜1007を示す。図28に示すように、制御ゲート1221、1222、および1223が、それぞれ、階段状パターンに形成される材料1002、1004、および1006から形成される。
図29は、コンタクト2929、2949、および2959が形成された後の、メモリデバイス500を示す。コンタクト2929は、制御ゲート1221、1222、および1223への電気的接続を提供する。コンタクト2949は、選択線841、842、843、および844への電気的接続を提供する。コンタクト2959は、データ線651、652、および653との間の電気的接続を提供する。
図29に示すように、メモリデバイス500は、図2および図3を参照して上記で説明された、メモリデバイス300の構成要素ならびにメモリセル210、211、および212と同様、もしくは同一の、構成要素ならびにメモリセル2910、2911、および2912を含み得る。
追加的なプロセスを実行して、上述のメモリデバイス500などのメモリデバイスの、追加的な機構を形成することができる点が、当業者には容易に理解されるであろう。それゆえ、本明細書で説明される実施形態に焦点を合わせる手助けとするために、上述の図5〜図29、および以下で説明される図30〜図38には、メモリデバイス500などのメモリデバイスの、機構の一部のみが示される。
図30は、本発明の一実施形態による、メモリセル210、211、および212の上方に、データ線251、252、および253が配置される、メモリデバイス3000の一部分の回路図を示す。メモリデバイス3000は、図3のメモリデバイス200のものと同様の構成要素を含み得る。それゆえ、簡略化のために、メモリデバイス200とメモリデバイス3000との間で同様の構成要素、または同じ構成要素には、同じ番号指定が与えられる。これらの同様の構成要素の詳細な説明は、図30では繰り返されない。メモリデバイス3000とメモリデバイス200との主要な相違としては、大域消去動作を可能にするための、メモリデバイス3000の、データ線251、252、および253、ならびに共通ソース3070の場所が挙げられる。図30に示すように、データ線251、252、および253は、メモリセル210、211、および212の上方に配置される。共通ソース3070は、メモリセル210、211、および212の下方に配置され、メモリデバイス3000の基材(例えば、図31の基材3101)の少なくとも一部分に、直接結合することができる。この主要な相違により、メモリデバイス200の消去動作(例えば、局所消去動作)と比較して、消去動作の間、メモリデバイス3000の様々な構成要素に、異なる方法で電圧を印加することが可能になり、メモリデバイス3000は、異なる方式で機能する(例えば、大域消去動作の間)ことが可能になる。例えば、メモリデバイス3000の消去動作では、約20ボルトの電圧を、共通ソース3070に印加することができ、その一方で、制御ゲート221、222、および223、データ線251、252、および253、選択線241、242、および243、ならびに選択線261、262、および263を「浮遊」させることができる。この消去動作では、メモリセル210、211、および212のメモリ素子からの電子は、共通ソース3070に移動する(例えば、トンネル現象によって)ことができる(例えば、大域消去)。メモリ200では、図2、図3、および図4を参照して上記で説明されたように、消去動作の間、メモリセル210、211、および212のメモリ素子からの電子は、データ線251、
253、および253へと移動することができる(例えば、局所消去)。
図31は、本発明の一実施形態による、図30のメモリデバイス3000の一部分の3D視図を示す。図31に示すように、データ線251、252、および253は、メモリセル210、211、および212の上方に配置され、共通ソース3070は、メモリセル210、211、および212の下方に配置されて、基材3101に結合される。基材3101は、p型シリコンなどの半導体材料を含み得る。
図31に示すように、各ストリング内のメモリセル210、211、および212(例えば、トランジスタ231とトランジスタ232との間のメモリセル)は、基材3101に対して、Z方向で実質的に垂直に位置合わせされる。トランジスタ232は、図3のトランジスタ231の、ダブルゲート(図3)または取り囲まれたゲート(図9)と同様の、ダブルゲートまたは取り囲まれたゲートを含み得る。図31はまた、Z方向で垂直に延び、図30のトランジスタ231およびトランジスタ232に対応する、トランジスタ231とトランジスタ232との間の、同じストリング内のメモリセル210、211、および212の、メモリ素子430を貫通する、チャネル441ならびに導電材料部分442も示す。
各メモリセル210、211、および212内のメモリ素子430は、リング形状を有する。図31に示すように、同じストリング内のメモリセル210、211、および212の内部では、各メモリセルのリング形状メモリ素子430の全体が、同じストリング内の他のメモリセルのそれぞれの、リング形状メモリ素子の全体と、実質的に垂直に(Z方向で)位置合わせされる。
図32〜図38は、本発明の一実施形態による、メモリセルの上方にデータ線が配置されるメモリデバイス3200を形成する、様々なプロセスを示す。メモリデバイス3200(図38に、より詳細に示す)は、図31のメモリデバイス3000に対応し得る。
図32は、基材3201、ならびにトレンチ3211、3212、および3213を有する、メモリデバイス3200を示し、基材部分3270および基材部分3271が、基材3201の頂部部分上に形成される。基材3201は、バルクシリコンなどの、半導体材料を含み得る。頂部の基材部分3270および基材部分3271は、基材3201の頂部部分内にp型不純物を挿入する(例えば、注入する)ことによって形成することができる。それゆえ、基材部分3270および基材部分3271は、p型シリコンを含み得る。トレンチ3211、3212、および3213、ならびに基材部分3270の形成は、基材部分3271の一部分を除去(例えば、エッチング)する工程を含み得る。メモリデバイス3200の書き込み動作または読み出し動作の間、基材部分3270は、接地などの電位に結合することができる。メモリデバイス3200の消去動作の間、基材部分3270は、例えば約20ボルトの電圧に結合することができる。
図33は、材料3301が、トレンチ3211、3212、および3213(図32)内に形成された後の、メモリデバイス3200を示す。材料3301の形成は、基材3201の上に誘電材料(例えば、シリコン酸化物)を付着させ、トレンチ3211、3212、および3213を充填する工程を含み得る。次に、その誘電材料の頂部部分を、例えばCMPによって、除去することができる。
図34は、材料3401、ならびにトレンチ3411、3412、および3413、ならびにデバイス構造体3460が形成された後の、メモリデバイス3200を示す。材料3401の形成は、基材3201および材料3301の上に誘電材料(例えば、シリコン酸化物またはシリコン窒化物)を付着させる工程を含み得る。トレンチ3411、341
2、および3413の形成は、基材3201、材料3301、および材料3401の諸部分を除去(例えば、エッチング)する工程を含み得る。デバイス構造体3460は、トレンチ3411、3412、および3413の形成の結果として形成される。
図35は、ドープ領域3501、材料3502、ならびに選択線3561、3562、および3563の形成後の、メモリデバイス3200を示す。ドープ領域3501の形成は、基材部分3271の選択部分内にn型不純物を挿入する(例えば、注入する)工程を含み得る。材料3502(例えば、シリコン酸化物)を、各デバイス構造体3460の両側面上に形成して、選択線3561、3562、および3563を、デバイス構造体3460から電気的に絶縁することができる。選択線3561、3562、および3563の材料としては、1種以上の金属、合金、他の導電材料、またはそれらの組み合わせなどの、1種以上の導電材料を挙げることができる。選択線3561、3562、および3563は、図30のメモリデバイス3000の選択線261、262、および263に対応し得る。
図36は、材料3601が、トレンチ3411、3412、および3413内に形成された後の、メモリデバイス3200を示す。材料3601の形成は、誘電材料(例えば、シリコン酸化物)を付着させ、トレンチ3411、3412、および3413を充填する工程を含み得る。次に、例えば導電材料をエッチバックすることによって、またはCMPによって、その誘電材料の頂部部分を除去し、基材部分3270で停止させることができる。
図37は、選択線3561、3562、および3563を形成するために使用される材料の頂部部分を除去することによって(例えば、湿式エッチングによって)、溝3701が形成された後の、メモリデバイス3200を示す。あるいは、溝3701の形成は、省略することができる。
図38は、他の構成要素が形成された後の、メモリデバイス3200を示す。図38のメモリデバイス3200の構成要素を形成するためのプロセスは、図10〜図29を参照して上記で説明された、メモリデバイス500の構成要素を形成するための、同様のプロセス、または同一のプロセスを含み得る。例えば、図38の制御ゲート3821、3822、および3823は、図5〜図29を参照して上記で説明された、メモリデバイス500の制御ゲート1221、1222、および1223を形成するものと同様のプロセス、もしくは同一のプロセスを使用して、形成することができる。図38のデータ線3851、3852、および3853は、図30および図31のデータ線251、252、および253に対応し得る。図38に示すように、メモリデバイス3200は、図5〜図29を参照して上記で説明された、メモリデバイス500のメモリセル2910、2911、および2912を形成するものと同様のプロセス、もしくは同一のプロセスを使用して形成することができる、メモリセル3810、3811、および3812を含み得る。
本明細書で説明される1つ以上の実施形態は、メモリデバイス、およびそのメモリデバイスの形成方法を含む。1つのそのようなメモリデバイスは、メモリセルの第1のグループを含み得、この第1のグループの各セルは、そのメモリデバイスの1つのデバイスレベル内に配置される第1の制御ゲートの、対応する空洞内に形成される。このメモリデバイスはまた、メモリセルの第2のグループも含み得、この第2のグループの各セルは、そのメモリデバイスの別のデバイスレベル内に配置される第2の制御ゲート内の、空洞内に形成される。追加的な装置および方法が説明される。追加的な装置および方法を含めた、他の実施形態は、図1〜図38を参照して上記で説明される。
メモリデバイス100、200、500、3000、および3200、ならびにメモリ
セル210、211、212、2910、2911、2912、3810、3811、および3812などの装置の説明は、様々な実施形態の構造の、全般的な理解を提供することを意図するものであり、本明細書で説明される構造を利用することができる装置の、全ての要素および機構の、完全な説明を提供することを意図するものではない。
その様々な実施形態の装置は、高速コンピュータ内で使用される電子回路機構、通信および信号処理回路機構、メモリモジュール、携帯用メモリ記憶装置(例えば、サムドライブ)、単一またはマルチプロセッサモジュール、単一または多重埋め込みプロセッサ、マルチコアプロセッサ、データスイッチ、ならびにマルチレイヤー、マルチチップモジュールを含む、特定用途向けモジュールを含み得るか、もしくはこれらに含めることができる。そのような装置は、テレビジョン、携帯電話、パーソナルコンピュータ(例えば、ラップトップコンピュータ、デスクトップコンピュータ、ハンドヘルドコンピュータ、タブレットコンピュータなど)、ワークステーション、ラジオ、ビデオプレーヤー、オーディオプレーヤー、(例えば、MP3(Motion Picture Experts Group、Audio Layer3)プレーヤー)、車両、医療装置(例えば、ハートモニター、血圧モニターなど)、セットトップボックスなどのような、様々な電子システム内部のサブコンポーネントとして、更に含めることができる。
上記の説明および図面は、本発明の一部の実施形態を説明することにより、当業者が、本発明の実施形態を実践することを可能にする。他の実施形態は、構造的変更、論理的変更、電気的変更、プロセスの変更、および他の変更を、組み込むことができる。実施例は、単に可能な変型を代表するものである。一部の実施形態の諸部分および諸機構は、他の諸部分および諸機構内に含めるか、またはそれらに置き換えることができる。上記の説明を研究し、理解することで、当業者には、多くの多の実施形態が明らかとなるであろう。
要約は、本技術的開示の本質および要旨を、読者が手早く確認することを可能にする要約を要求する、37C.F.R.Section1.72(b)に従って、提供されるものである。本開示の要約は、特許請求の範囲の範囲または意味を、解釈もしくは限定するために使用されることがないという理解のもとに提示される。
100 メモリデバイス
102 メモリアレイ
110 メモリセル
116 I/O回路
118 メモリ制御ユニット
132 行デコーダ
134 列デコーダ
140 センス増幅器回路
200 メモリデバイス
210、211、212 メモリセル
215、216 ストリング
221、222、223 制御ゲート
231、232 トランジスタ
241、242、243、244 選択線
251、252、253 データ線
261、262、263 選択線
270 共通ソース
301、302、303 デバイスレベル
329、349、359 コンタクト
391 本体
420 空洞
421、427 誘電体
430 メモリ素子
441 チャネル
442 導電材料部分
500 メモリデバイス
501、502 材料
503 基材(基板)
504、505 材料
511、512 トレンチ
605 デバイス構造体
651、652、653 データ線
705 ピラー
711、712、713 トレンチ
831 誘電体
833 ドープ領域
841、842、843、844 選択線
941、942、943、944 選択線
1001、1003、1005、1007 材料
1002、1004、1006 材料
1041、1042、1043 間隙
1101 開口部(穴)
1110、1120 空洞
1220 空洞
1221、1222、1223 制御ゲート
1225 側壁
1421 誘電体
1422、1423、1424 材料
1425 側壁
1430 メモリ素子
1627 誘電体
1801 開口部
1841 チャネル
2001 導電材料
2101 誘電材料
2201 開口部(穴)
2241、2260 導電材料部分
2301 ドープ領域
2401 誘電体
2402 チャネル
2501 導電材料
2601 ドープ領域
2602 トレンチ
2661、2662、2663 選択線
2701 材料
2770 共通ソース
2910、2911、2912 メモリセル
2929、2949、2959 コンタクト
3000 メモリデバイス
3070 共通ソース
3101 基材(基板)
3200 メモリデバイス
3201 基材(基板)
3211、3212、3213 トレンチ
3270、3271 基材部分
3301 材料
3401 材料
3411、3412、3413 トレンチ
3460 デバイス構造体
3501 ドープ領域
3502 材料
3561、3562、3563 選択線
3601 材料
3701 溝
3810、3811、3812 メモリセル
3821、3822、3823 制御ゲート
3851、3852、3853 データ線

Claims (3)

  1. 導電材料層の形成と当該導電材料層上への誘電材料層の形成とを複数回繰り返し、複数の導電材料層および複数の誘電材料層とを形成する工程と、
    前記複数の導電材料層および前記複数の誘電材料層を垂直方向に貫通する開口を形成する工程と、
    前記開口内に露出した前記複数の導電材料層を選択的にエッチングし、前記複数の導電材料層のそれぞれの側面を前記複数の誘電材料層のそれぞれの側面から水平に後退させる工程と、
    前記複数の導電材料層の前記後退した側面のそれぞれと、前記複数の誘電材料層のそれぞれと、で区画された複数の空洞の各々を、埋設するように複数のメモリ素子を形成する工程と、
    前記複数のメモリ素子と前記複数の誘電材料層の側面のそれぞれを覆い垂直方向に延在する導電チャネル柱を形成する工程と、
    を含み、
    前記複数のメモリ素子を形成した後、前記複数のメモリ素子の表面のそれぞれを覆うように第1の誘電体膜を形成する工程と、
    前記複数の導電材料層のそれぞれの側面を水平方向に後退させた後、前記後退した側面のそれぞれを覆うように第2の誘電体膜を形成する工程と、
    を更に含み、
    前記第2の誘電体膜は、前記複数の導電材料層の前記後退した側面から、前記複数の誘電材料層の前記複数の空洞内の露出面および前記開口内の露出側面へ渡って連続して延在するように形成され、
    前記第2の誘電体膜を形成する工程は、前記複数の導電材料層の前記後退した側面を酸化する工程と、2つの誘電材料層を順次堆積する工程と、を含む、
    ことを特徴とする半導体装置の製造方法。
  2. 前記複数のメモリ素子を形成する工程は、
    前記メモリ素子を形成する材料を、前記複数の空洞を埋設し、且つ前記開口の内壁面を覆うように形成する工程と、
    前記メモリ素子を形成する材料の前記開口の内壁面を覆う部分を異方性のエッチングで除去し、前記メモリ素子を形成する材料を、前記複数の空洞内のそれぞれを埋設する前記複数のメモリ素子に分離する工程と、
    を含む、ことを特徴とする請求項に記載の半導体装置の製造方法。
  3. 前記導電チャネル柱は、側壁面のみを有する中空構造であって、当該中空部分を埋設材料で充填する工程を更に含む、ことを特徴とする請求項に記載の半導体装置の製造方法。
JP2015083308A 2010-06-28 2015-04-15 3次元メモリおよびその形成方法 Active JP5923641B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/825,211 US8803214B2 (en) 2010-06-28 2010-06-28 Three dimensional memory and methods of forming the same
US12/825,211 2010-06-28

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2013518511A Division JP5735107B2 (ja) 2010-06-28 2011-06-24 3次元メモリおよびその形成方法

Publications (2)

Publication Number Publication Date
JP2015149503A JP2015149503A (ja) 2015-08-20
JP5923641B2 true JP5923641B2 (ja) 2016-05-24

Family

ID=45351712

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2013518511A Active JP5735107B2 (ja) 2010-06-28 2011-06-24 3次元メモリおよびその形成方法
JP2015083308A Active JP5923641B2 (ja) 2010-06-28 2015-04-15 3次元メモリおよびその形成方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2013518511A Active JP5735107B2 (ja) 2010-06-28 2011-06-24 3次元メモリおよびその形成方法

Country Status (7)

Country Link
US (8) US8803214B2 (ja)
EP (2) EP4109537A3 (ja)
JP (2) JP5735107B2 (ja)
KR (2) KR102147786B1 (ja)
CN (1) CN103038882B (ja)
SG (3) SG186827A1 (ja)
WO (1) WO2012009140A2 (ja)

Families Citing this family (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8803214B2 (en) 2010-06-28 2014-08-12 Micron Technology, Inc. Three dimensional memory and methods of forming the same
US8759895B2 (en) 2011-02-25 2014-06-24 Micron Technology, Inc. Semiconductor charge storage apparatus and methods
KR101794017B1 (ko) * 2011-05-12 2017-11-06 삼성전자 주식회사 비휘발성 메모리 장치 및 그 제조 방법
KR20130046700A (ko) * 2011-10-28 2013-05-08 삼성전자주식회사 3차원적으로 배열된 메모리 요소들을 구비하는 반도체 장치
KR101989514B1 (ko) 2012-07-11 2019-06-14 삼성전자주식회사 반도체 소자 및 그 제조 방법
US8841649B2 (en) * 2012-08-31 2014-09-23 Micron Technology, Inc. Three dimensional memory array architecture
KR20140076097A (ko) * 2012-12-12 2014-06-20 에스케이하이닉스 주식회사 저항 메모리 소자 및 그 제조 방법
US9105737B2 (en) * 2013-01-07 2015-08-11 Micron Technology, Inc. Semiconductor constructions
US8853769B2 (en) 2013-01-10 2014-10-07 Micron Technology, Inc. Transistors and semiconductor constructions
US8946807B2 (en) * 2013-01-24 2015-02-03 Micron Technology, Inc. 3D memory
US10546998B2 (en) * 2013-02-05 2020-01-28 Micron Technology, Inc. Methods of forming memory and methods of forming vertically-stacked structures
US9184175B2 (en) 2013-03-15 2015-11-10 Micron Technology, Inc. Floating gate memory cells in vertical memory
US9064970B2 (en) 2013-03-15 2015-06-23 Micron Technology, Inc. Memory including blocking dielectric in etch stop tier
US9276011B2 (en) 2013-03-15 2016-03-01 Micron Technology, Inc. Cell pillar structures and integrated flows
CN104183552B (zh) * 2013-05-23 2017-09-19 北京兆易创新科技股份有限公司 Nor型闪存存储单元及其制造方法
CN104183553B (zh) * 2013-05-23 2017-09-26 北京兆易创新科技股份有限公司 一种nor型闪存存储单元的制造方法
US9437604B2 (en) 2013-11-01 2016-09-06 Micron Technology, Inc. Methods and apparatuses having strings of memory cells including a metal source
EP2887396B1 (en) 2013-12-20 2017-03-08 Imec Three-dimensional resistive memory array
US9449924B2 (en) * 2013-12-20 2016-09-20 Sandisk Technologies Llc Multilevel contact to a 3D memory array and method of making thereof
US9252148B2 (en) * 2014-01-22 2016-02-02 Micron Technology, Inc. Methods and apparatuses with vertical strings of memory cells and support circuitry
US10446193B2 (en) * 2014-04-14 2019-10-15 HangZhou HaiCun Information Technology Co., Ltd. Mixed three-dimensional memory
US9230984B1 (en) * 2014-09-30 2016-01-05 Sandisk Technologies Inc Three dimensional memory device having comb-shaped source electrode and methods of making thereof
JP6437351B2 (ja) 2015-03-13 2018-12-12 東芝メモリ株式会社 半導体記憶装置及び半導体装置の製造方法
US9608000B2 (en) 2015-05-27 2017-03-28 Micron Technology, Inc. Devices and methods including an etch stop protection material
US10103162B2 (en) * 2015-07-30 2018-10-16 Snu R&Db Foundation Vertical neuromorphic devices stacked structure and array of the structure
US9978810B2 (en) * 2015-11-04 2018-05-22 Micron Technology, Inc. Three-dimensional memory apparatuses and methods of use
US10134470B2 (en) 2015-11-04 2018-11-20 Micron Technology, Inc. Apparatuses and methods including memory and operation of same
US20170141124A1 (en) * 2015-11-17 2017-05-18 Kabushiki Kaisha Toshiba Semiconductor memory device and method for manufacturing same
CN105575972B (zh) * 2016-01-05 2018-12-07 清华大学 一种蛋糕结构的3d nor型存储器及其形成方法
US9768233B1 (en) 2016-03-01 2017-09-19 Toshiba Memory Corporation Semiconductor device and method of manufacturing the same
JP6524006B2 (ja) 2016-03-18 2019-06-05 東芝メモリ株式会社 半導体記憶装置
US9947721B2 (en) 2016-04-01 2018-04-17 Micron Technology, Inc. Thermal insulation for three-dimensional memory arrays
US10277671B2 (en) 2016-06-03 2019-04-30 Logitech Europe S.A. Automatic multi-host discovery in a flow-enabled system
KR102626838B1 (ko) 2016-06-20 2024-01-18 삼성전자주식회사 수직형 비휘발성 메모리 소자 및 그 제조방법
US10446226B2 (en) 2016-08-08 2019-10-15 Micron Technology, Inc. Apparatuses including multi-level memory cells and methods of operation of same
US9853052B1 (en) * 2016-09-16 2017-12-26 Toshiba Memory Corporation Semiconductor device and method for manufacturing same
WO2018090059A1 (en) * 2016-11-14 2018-05-17 Instrinsic Value, LLC Systems, devices, and methods for access control and identification of user devices
JP6306233B1 (ja) * 2017-02-28 2018-04-04 ウィンボンド エレクトロニクス コーポレーション フラッシュメモリおよびその製造方法
JP7136766B2 (ja) * 2017-03-31 2022-09-13 ソニーセミコンダクタソリューションズ株式会社 メモリ装置
US10832753B2 (en) * 2017-07-31 2020-11-10 General Electric Company Components including structures having decoupled load paths
JP6563988B2 (ja) * 2017-08-24 2019-08-21 ウィンボンド エレクトロニクス コーポレーション 不揮発性半導体記憶装置
US10790008B2 (en) * 2017-08-29 2020-09-29 Micron Technology, Inc. Volatile memory device with 3-D structure including vertical pillars and memory cells vertically stacked one over anoher in multiple levels
US10170194B1 (en) * 2017-08-31 2019-01-01 Micron Technology, Inc. Asymmetrical multi-gate string driver for memory device
US10734399B2 (en) * 2017-12-29 2020-08-04 Micron Technology, Inc. Multi-gate string drivers having shared pillar structure
JP7026537B2 (ja) * 2018-03-07 2022-02-28 ルネサスエレクトロニクス株式会社 半導体装置及び半導体装置の製造方法
JP2019165114A (ja) 2018-03-20 2019-09-26 東芝メモリ株式会社 抵抗変化型記憶装置
US10700004B2 (en) * 2018-04-23 2020-06-30 Macronix International Co., Ltd. 3D NAND world line connection structure
US10950663B2 (en) * 2018-04-24 2021-03-16 Micron Technology, Inc. Cross-point memory array and related fabrication techniques
KR102641737B1 (ko) * 2018-06-21 2024-03-04 삼성전자주식회사 3차원 반도체 메모리 장치
CN110660822A (zh) * 2018-06-29 2020-01-07 三星电子株式会社 可变电阻存储器装置
US11164638B2 (en) * 2018-07-03 2021-11-02 Samsung Electronics Co., Ltd. Non-volatile memory device
US11631465B2 (en) 2018-07-03 2023-04-18 Samsung Electronics Co., Ltd. Non-volatile memory device
KR102578801B1 (ko) 2018-08-29 2023-09-18 삼성전자주식회사 가변 저항 메모리 장치
CN111180460B (zh) * 2018-11-22 2021-02-19 长江存储科技有限责任公司 三维存储设备及其制造方法
US11244855B2 (en) 2019-05-03 2022-02-08 Micron Technology, Inc. Architecture of three-dimensional memory device and methods regarding the same
KR20210015172A (ko) 2019-08-01 2021-02-10 삼성전자주식회사 비휘발성 메모리 장치 및 그 제조 방법
TWI723645B (zh) * 2019-11-25 2021-04-01 旺宏電子股份有限公司 立體記憶體元件
US11476266B2 (en) * 2020-02-24 2022-10-18 Micron Technology, Inc. Microelectronic devices including staircase structures, and related memory devices, electronic systems, and methods
US11355554B2 (en) * 2020-05-08 2022-06-07 Micron Technology, Inc. Sense lines in three-dimensional memory arrays, and methods of forming the same
US11296024B2 (en) * 2020-05-15 2022-04-05 Qualcomm Incorporated Nested interconnect structure in concentric arrangement for improved package architecture
WO2022018477A1 (en) * 2020-07-22 2022-01-27 Micron Technology, Inc. Memory device and method for manufacturing the same
US11637178B2 (en) * 2020-10-23 2023-04-25 Micron Technology, Inc. Microelectronic devices including isolation structures neighboring staircase structures, and related memory devices, electronic systems, and methods
CN113629011A (zh) * 2021-07-02 2021-11-09 芯盟科技有限公司 半导体器件及其制造方法
US20230200065A1 (en) * 2021-12-20 2023-06-22 Tokyo Electron Limited 3d memory with conductive dielectric channel integrated with logic access transistors

Family Cites Families (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6338602A (ja) 1986-08-04 1988-02-19 Toshiba Corp 動翼連結構造
JP3651689B2 (ja) * 1993-05-28 2005-05-25 株式会社東芝 Nand型不揮発性半導体記憶装置及びその製造方法
US5352619A (en) 1993-07-22 1994-10-04 United Microelectronics Corporation Method for improving erase characteristics and coupling ratios of buried bit line flash EPROM devices
CN1146249A (zh) 1994-04-13 1997-03-26 艾利森公司 大存储器的高效寻址
JP2002176114A (ja) * 2000-09-26 2002-06-21 Toshiba Corp 半導体装置及びその製造方法
US6933556B2 (en) * 2001-06-22 2005-08-23 Fujio Masuoka Semiconductor memory with gate at least partially located in recess defined in vertically oriented semiconductor layer
US6753224B1 (en) 2002-12-19 2004-06-22 Taiwan Semiconductor Manufacturing Company Layer of high-k inter-poly dielectric
JP2005038909A (ja) 2003-07-15 2005-02-10 Fujio Masuoka 不揮発性メモリ素子の駆動方法、半導体記憶装置及びそれを備えてなる液晶表示装置
US7788451B2 (en) 2004-02-05 2010-08-31 Micron Technology, Inc. Apparatus and method for data bypass for a bi-directional data bus in a hub-based memory sub-system
US20050283743A1 (en) 2004-06-07 2005-12-22 Mulholland Philip J Method for generating hardware information
US20060277355A1 (en) 2005-06-01 2006-12-07 Mark Ellsberry Capacity-expanding memory device
WO2006132158A1 (ja) 2005-06-10 2006-12-14 Sharp Kabushiki Kaisha 不揮発性半導体記憶装置およびその製造方法
US7636881B2 (en) 2005-06-30 2009-12-22 International Business Machines Corporation Displaying a portal with render-when-ready portlets
US7462550B2 (en) * 2005-10-24 2008-12-09 Semiconductor Components Industries, L.L.C. Method of forming a trench semiconductor device and structure therefor
US7409491B2 (en) 2005-12-14 2008-08-05 Sun Microsystems, Inc. System memory board subsystem using DRAM with stacked dedicated high speed point to point links
KR100707217B1 (ko) * 2006-05-26 2007-04-13 삼성전자주식회사 리세스-타입 제어 게이트 전극을 구비하는 반도체 메모리소자 및 그 제조 방법
JP2008034456A (ja) 2006-07-26 2008-02-14 Toshiba Corp 不揮発性半導体記憶装置
KR101196392B1 (ko) * 2006-11-28 2012-11-02 삼성전자주식회사 비휘발성 메모리 소자 및 그 제조 방법
US7642160B2 (en) 2006-12-21 2010-01-05 Sandisk Corporation Method of forming a flash NAND memory cell array with charge storage elements positioned in trenches
JP2008160004A (ja) * 2006-12-26 2008-07-10 Toshiba Corp 半導体記憶装置及びその製造方法
JP5118347B2 (ja) * 2007-01-05 2013-01-16 株式会社東芝 半導体装置
JP4939955B2 (ja) 2007-01-26 2012-05-30 株式会社東芝 不揮発性半導体記憶装置
KR100866966B1 (ko) * 2007-05-10 2008-11-06 삼성전자주식회사 비휘발성 메모리 소자, 그 제조 방법 및 반도체 패키지
SG148901A1 (en) * 2007-07-09 2009-01-29 Micron Technology Inc Packaged semiconductor assemblies and methods for manufacturing such assemblies
KR20090037690A (ko) * 2007-10-12 2009-04-16 삼성전자주식회사 비휘발성 메모리 소자, 그 동작 방법 및 그 제조 방법
JP4957500B2 (ja) 2007-10-12 2012-06-20 日本電気株式会社 文字列照合回路
KR101226685B1 (ko) 2007-11-08 2013-01-25 삼성전자주식회사 수직형 반도체 소자 및 그 제조 방법.
JP2009158775A (ja) 2007-12-27 2009-07-16 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
EP2225774A4 (en) 2007-12-27 2013-04-24 Toshiba Kk SEMICONDUCTOR MEMORY DEVICE AND MANUFACTURING METHOD THEREFOR
US7906818B2 (en) * 2008-03-13 2011-03-15 Micron Technology, Inc. Memory array with a pair of memory-cell strings to a single conductive pillar
JP5086851B2 (ja) * 2008-03-14 2012-11-28 株式会社東芝 不揮発性半導体記憶装置
JP5283960B2 (ja) * 2008-04-23 2013-09-04 株式会社東芝 三次元積層不揮発性半導体メモリ
JP5072696B2 (ja) * 2008-04-23 2012-11-14 株式会社東芝 三次元積層不揮発性半導体メモリ
JP2009277770A (ja) * 2008-05-13 2009-11-26 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
JP5230274B2 (ja) 2008-06-02 2013-07-10 株式会社東芝 不揮発性半導体記憶装置
US7732891B2 (en) * 2008-06-03 2010-06-08 Kabushiki Kaisha Toshiba Semiconductor device
KR20100001260A (ko) * 2008-06-26 2010-01-06 삼성전자주식회사 비휘발성 메모리 소자 및 그 제조 방법
KR101052921B1 (ko) 2008-07-07 2011-07-29 주식회사 하이닉스반도체 버티컬 플로팅 게이트를 구비하는 플래시 메모리소자의제조방법
JP5321589B2 (ja) 2008-08-13 2013-10-23 日本電気株式会社 有限オートマトン生成装置、パターンマッチング装置、有限オートマトン回路生成方法およびプログラム
KR101498676B1 (ko) * 2008-09-30 2015-03-09 삼성전자주식회사 3차원 반도체 장치
JP5193796B2 (ja) * 2008-10-21 2013-05-08 株式会社東芝 3次元積層型不揮発性半導体メモリ
KR101495803B1 (ko) * 2008-11-12 2015-02-26 삼성전자주식회사 비휘발성 메모리 장치의 제조 방법 및 이에 따라 제조된 비휘발성 메모리 장치
US8148763B2 (en) * 2008-11-25 2012-04-03 Samsung Electronics Co., Ltd. Three-dimensional semiconductor devices
JP5317664B2 (ja) 2008-12-17 2013-10-16 株式会社東芝 不揮発性半導体記憶装置の製造方法
KR101495806B1 (ko) * 2008-12-24 2015-02-26 삼성전자주식회사 비휘발성 기억 소자
JP5388600B2 (ja) 2009-01-22 2014-01-15 株式会社東芝 不揮発性半導体記憶装置の製造方法
US7878507B1 (en) * 2009-02-09 2011-02-01 John Joseph Dimond Spatial game apparatus
KR101539699B1 (ko) * 2009-03-19 2015-07-27 삼성전자주식회사 3차원 구조의 비휘발성 메모리 소자 및 그 제조방법
JP4897009B2 (ja) 2009-03-24 2012-03-14 株式会社東芝 不揮発性半導体記憶装置の製造方法
JP2011009409A (ja) * 2009-06-25 2011-01-13 Toshiba Corp 不揮発性半導体記憶装置
JP2011035228A (ja) 2009-08-04 2011-02-17 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
US8508997B2 (en) 2009-12-23 2013-08-13 Intel Corporation Multi-cell vertical memory nodes
US8803214B2 (en) 2010-06-28 2014-08-12 Micron Technology, Inc. Three dimensional memory and methods of forming the same
US8193054B2 (en) 2010-06-30 2012-06-05 SanDisk Technologies, Inc. Ultrahigh density vertical NAND memory device and method of making thereof
US8237213B2 (en) 2010-07-15 2012-08-07 Micron Technology, Inc. Memory arrays having substantially vertical, adjacent semiconductor structures and the formation thereof
US8759895B2 (en) 2011-02-25 2014-06-24 Micron Technology, Inc. Semiconductor charge storage apparatus and methods
KR20130046700A (ko) * 2011-10-28 2013-05-08 삼성전자주식회사 3차원적으로 배열된 메모리 요소들을 구비하는 반도체 장치
US10902921B2 (en) * 2018-12-21 2021-01-26 Texas Instruments Incorporated Flash memory bitcell erase with source bias voltage

Also Published As

Publication number Publication date
US20200119046A1 (en) 2020-04-16
US10872903B2 (en) 2020-12-22
US20190006387A1 (en) 2019-01-03
JP2015149503A (ja) 2015-08-20
EP2586060A2 (en) 2013-05-01
EP2586060B1 (en) 2022-04-27
JP5735107B2 (ja) 2015-06-17
US10090324B2 (en) 2018-10-02
JP2013533628A (ja) 2013-08-22
US20230413559A1 (en) 2023-12-21
WO2012009140A3 (en) 2012-06-21
SG10201505052SA (en) 2015-07-30
KR20130131285A (ko) 2013-12-03
US20160300850A1 (en) 2016-10-13
WO2012009140A2 (en) 2012-01-19
SG186827A1 (en) 2013-02-28
KR102005475B1 (ko) 2019-07-31
US9379005B2 (en) 2016-06-28
CN103038882A (zh) 2013-04-10
EP4109537A3 (en) 2023-03-22
EP2586060A4 (en) 2015-08-12
US20210183887A1 (en) 2021-06-17
KR20190090079A (ko) 2019-07-31
CN103038882B (zh) 2016-10-26
US8803214B2 (en) 2014-08-12
KR102147786B1 (ko) 2020-08-27
US20110316063A1 (en) 2011-12-29
SG10201907425TA (en) 2019-09-27
US20180047747A1 (en) 2018-02-15
US20140030856A1 (en) 2014-01-30
EP4109537A2 (en) 2022-12-28
US10510769B2 (en) 2019-12-17
US11700730B2 (en) 2023-07-11
US9780115B2 (en) 2017-10-03

Similar Documents

Publication Publication Date Title
JP5923641B2 (ja) 3次元メモリおよびその形成方法
TWI686922B (zh) 記憶體設備及其形成之方法
KR102333567B1 (ko) 다수의 게이트 유도 드레인 누설 전류 발생기
TWI639162B (zh) 包括多個選擇閘及不同偏壓條件的記憶體裝置
KR20160131458A (ko) 비휘발성 메모리 장치 및 비휘발성 메모리 장치의 제조 방법
US10042755B2 (en) 3D vertical NAND memory device including multiple select lines and control lines having different vertical spacing
US20210065799A1 (en) Non-volatile memory device
US11723208B2 (en) Memory device
US9209225B2 (en) Cell structure of resistive non-volatile memory and manufacturing method thereof
CN104240754B (zh) 阻变存储器件和装置及其制造方法、操作方法以及***
JP2006332671A (ja) 相変化記憶素子及びその製造方法
JP2022189117A (ja) 不揮発性半導体記憶装置
CN107808883B (zh) 具有可交换栅极/通道晶体管的存储器元件与其制造方法
US20230345722A1 (en) Memory apparatus and methods including merged process for memory cell pillar and source structure
US20230290739A1 (en) Memory device including support structures and contact structures having different materials
TW202324715A (zh) 具有記憶體單元串及獨立讀寫控制閘之記憶體裝置
US20170040333A1 (en) Contact Plug Constrained By Dielectric Portions
JP2017158166A (ja) 再構成可能半導体論理回路メモリ積層接続型集積回路

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20151215

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20151222

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160317

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20160412

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160418

R150 Certificate of patent or registration of utility model

Ref document number: 5923641

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250