JP2009277770A - 不揮発性半導体記憶装置及びその製造方法 - Google Patents

不揮発性半導体記憶装置及びその製造方法 Download PDF

Info

Publication number
JP2009277770A
JP2009277770A JP2008125856A JP2008125856A JP2009277770A JP 2009277770 A JP2009277770 A JP 2009277770A JP 2008125856 A JP2008125856 A JP 2008125856A JP 2008125856 A JP2008125856 A JP 2008125856A JP 2009277770 A JP2009277770 A JP 2009277770A
Authority
JP
Japan
Prior art keywords
hole
electrode film
opening
electrode
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2008125856A
Other languages
English (en)
Inventor
Katsuro Ishikawa
勝朗 石川
Katsunori Yahashi
勝典 矢橋
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP2008125856A priority Critical patent/JP2009277770A/ja
Priority to US12/408,344 priority patent/US8072024B2/en
Publication of JP2009277770A publication Critical patent/JP2009277770A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/4234Gate electrodes for transistors with charge trapping gate insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40117Multistep manufacturing processes for data storage electrodes the electrodes comprising a charge-trapping insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66833Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a charge trapping gate insulator, e.g. MNOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/792Field effect transistors with field effect produced by an insulated gate with charge trapping gate insulator, e.g. MNOS-memory transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/792Field effect transistors with field effect produced by an insulated gate with charge trapping gate insulator, e.g. MNOS-memory transistors
    • H01L29/7926Vertical transistors, i.e. transistors having source and drain not in the same horizontal plane
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8221Three dimensional integrated circuits stacked in different levels

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Non-Volatile Memory (AREA)
  • Semiconductor Memories (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

【課題】個々のメモリセルの電荷蓄積量が多い不揮発性半導体記憶装置及びその製造方法を提供する。
【解決手段】シリコン基板11上に、それぞれ複数の絶縁膜12及び電極膜13を交互に積層して積層体14を形成する。次に、積層体14を積層方向に貫通する貫通孔15を形成する。このとき、貫通孔15における電極膜13内に位置する部分の側面15aを、貫通孔15の中心軸15cを含む断面において、貫通孔15の内側から見て凹状に湾曲させる。その後、貫通孔15の側面上に電荷蓄積層26を形成し、貫通孔15の内部に半導体ピラー17を形成する。
【選択図】図2

Description

本発明は、不揮発性半導体記憶装置及びその製造方法に関し、特に、基板上に複数の絶縁膜及び電極膜が交互に積層された不揮発性半導体記憶装置及びその製造方法に関する。
近年、フラッシュメモリの高密度化を図るために、セルを多層化する技術が開発されている。この技術は、基板上に絶縁膜と電極膜とを交互に積層した後、一括で貫通孔を形成し、この貫通孔の側面上に電荷を保持する電荷蓄積層を形成し、貫通孔の内部に柱状電極を埋め込むというものである。これにより、各柱状電極と電極膜との交差部分に、メモリセルが3次元的に配列される。そして、最上層の電極膜上に一方向に延びる複数本の選択ゲート線を設け、その上方に他方向に延びる複数本のビット線を設けて柱状電極の上端部に接続することにより、任意の柱状電極が選択可能となる。一方、各電極膜を相互に異なるワード配線に接続することにより、任意の電極膜が選択可能となる。この結果、任意のメモリセルを選択して、データの書き込み、読み出しを行うことができる(例えば、特許文献1参照。)。
しかしながら、このような積層型のフラッシュメモリにおいては、微細化が進むと個々のメモリセルの電荷蓄積量が少なくなり、信頼性が低下するという問題点がある。
特開2007−266143号公報
本発明の目的は、個々のメモリセルの電荷蓄積量が多い不揮発性半導体記憶装置及びその製造方法を提供することである。
本発明の一態様によれば、基板と、前記基板上に交互に積層され、積層方向に貫通する貫通孔が形成されたそれぞれ複数の絶縁膜及び電極膜と、前記貫通孔の内部に形成された半導体ピラーと、少なくとも前記半導体ピラーと前記電極膜との間に設けられた電荷蓄積層と、を備え、前記貫通孔における前記電極膜内に位置する部分の側面の少なくとも一部は、前記積層方向に対して傾斜していることを特徴とする不揮発性半導体記憶装置が提供される。
本発明の他の一態様によれば、基板上にそれぞれ複数の絶縁膜及び電極膜を交互に積層する工程と、前記積層された絶縁膜及び電極膜を積層方向に貫通する貫通孔を形成する工程と、前記貫通孔の側面における少なくとも前記電極膜に相当する領域上に電荷蓄積層を形成する工程と、前記貫通孔の内部に半導体ピラーを形成する工程と、を備え、前記貫通孔を形成する工程において、前記貫通孔における前記電極膜内に位置する部分の側面の少なくとも一部が、前記積層方向に対して傾斜するように、前記電極膜をエッチングすることを特徴とする不揮発性半導体記憶装置の製造方法が提供される。
本発明によれば、個々のメモリセルの電荷蓄積量が多い不揮発性半導体記憶装置及びその製造方法を実現することができる。
以下、図面を参照しつつ、本発明の実施形態について説明する。
図1は、本実施形態に係る不揮発性半導体記憶装置を例示する斜視図であり、
図2は、本実施形態に係る不揮発性半導体記憶装置を例示する断面図である。
なお、図1においては、図を見易くするために、導電部分のみを示し、絶縁部分は図示を省略している。また、シリコン基板11(図2参照)におけるセルソースCS以外の部分も図示を省略している。更に、図1及び図2においては、積層数を実際よりも少なく描いている。
図1及び図2に示すように、本実施形態に係る不揮発性半導体記憶装置1(以下、単に「装置1」ともいう)においては、例えば単結晶シリコンからなるシリコン基板11が設けられている。シリコン基板11の上層部分における矩形の領域には、不純物が導入されて半導体領域が形成されており、セルソースCSとなっている。シリコン基板11上におけるセルソースCSの直上域には、例えば酸化シリコン(SiO)からなる絶縁膜D1が設けられており、その上には、多結晶シリコンからなる下側選択ゲートLSGが設けられている。
下側選択ゲートLSGの上方には、例えば酸化シリコン(SiO)からなる複数の絶縁膜12(図2参照)と、例えば多結晶シリコンからなる複数の電極膜13とが、交互に積層された積層体14が形成されている。電極膜13はワード線WLとなっており、絶縁膜12は、ワード線WL同士を絶縁する層間絶縁膜となっている。積層体14全体の厚さは例えば300乃至600nmであり、電極膜13の層数は、例えば64層である。
以下、本明細書においては、説明の便宜上、XYZ直交座標系を導入する。この座標系においては、シリコン基板11の上面に平行な方向であって相互に直交する2方向をX方向及びY方向とし、X方向及びY方向の双方に対して直交する方向、すなわち、絶縁膜12及び電極膜13の積層方向をZ方向とする。
電極膜13は、上層に配置された電極膜13ほどX方向における長さが短くなっており、上方(+Z方向)から見て、各電極膜13は、それより下方に配置された電極膜13、下側選択ゲートLSG及びセルソースCSの内側に配置されている。電極膜13から見て±X方向及び±Y方向の領域には、絶縁膜(図示せず)が設けられている。また、積層体14は、Y方向に沿って複数個設けられている。
そして、積層体14上には絶縁膜D2が設けられており、その上には、例えば多結晶シリコンからなる上側選択ゲートUSGが設けられており、その上には、絶縁膜D3が設けられている。上側選択ゲートUSGは、例えば多結晶シリコンからなる1枚の導電膜がY方向に沿って分断されて形成されたものであり、X方向に延びる複数本の配線状の導電部材となっている。これに対して、ワード線WL及び下側選択ゲートLSGは、積層体14ごとに形成されており、それぞれがXY平面に平行な1枚の板状の導電部材となっている。また、セルソースCSも分断されておらず、複数の積層体14の直下域をつなぐように、XY平面を構成する1枚の板状の導電部材となっている。
積層体14を構成する各絶縁膜12及び各電極膜13には、積層方向(Z方向)に延びる複数本の貫通孔15が形成されている。各貫通孔15は積層体14全体を貫いており、その直径は例えば90nmである。また、例えば、貫通孔15は、X方向及びY方向に沿ってマトリクス状に配列されており、X方向及びY方向のそれぞれにおいて、貫通孔15の配列周期は一定である。
そして、本実施形態においては、貫通孔15の形状は単純な筒形ではなく、側面に絶縁膜12及び電極膜13の積層周期に対応した凹凸が形成されている。すなわち、貫通孔15における電極膜13内に位置する部分は、上下方向(Z方向)中央部が上部及び下部よりも膨らんだボウイング形状である。一方、貫通孔15における絶縁膜12内に位置する部分は、上下方向中央部が上部及び下部よりも狭い形状となる。一例では、上方から見た貫通孔15の形状は円形であり、電極膜13内に位置する部分の形状は樽型であり、絶縁膜12内に位置する部分の形状は鼓状となる。
すなわち、図2は、貫通孔15の中心軸15cを含む断面であるが、図2に示すように、貫通孔15における電極膜13内に位置する部分の側面15aは、貫通孔15の内側から見て凹状に湾曲している。一方、貫通孔15における絶縁膜12内に位置する部分の側面15bは、貫通孔15の内側から見て凸状になっている。
各貫通孔15の内部には、半導体ピラー17が形成されている。半導体ピラー17は、不純物がドープされた半導体、例えば、多結晶シリコン又は非晶質シリコンによって形成されている。また、半導体ピラー17と絶縁膜12及び電極膜13との間の円筒状の部分には、外側、すなわち、絶縁膜12及び電極膜13側から順に、絶縁層25、電荷蓄積層26、絶縁層27がこの順に積層されている。絶縁層25及び27は、例えば酸化シリコン(SiO)からなり、電荷蓄積層26は、例えば窒化シリコン(SiN)からなる。
そして、半導体ピラー17がソース、チャネル、ドレイン領域として機能し、ワード線WLがコントロールゲートとして機能し、電荷蓄積層26がフローティングゲートとして機能することにより、NAND型フラッシュメモリが構成されて、これがメモリセルとなる。これにより、1本の半導体ピラー17及びその周囲には、ワード線WLと同数のメモリセルがZ方向に一列に配列され、1本のメモリストリングが構成されている。また、装置1においては、複数本の半導体ピラー17がX方向及びY方向に沿ってマトリクス状に配列されているため、複数のメモリセルが、X方向、Y方向、Z方向に沿って、3次元格子状に配列されている。
また、図2に示すように、半導体ピラー17の直下には、柱状電極16Lが設けられている。柱状電極16Lは、絶縁膜D1及び下側選択ゲートLSGを貫通しており、その下端はセルソースCSに接続され、その上端は半導体ピラー17に接続されている。柱状電極16Lと絶縁膜D1及び下側選択ゲートLSGとの間には、ゲート絶縁膜GLが設けられている。
一方、半導体ピラー17の直上には、柱状電極16Uが設けられている。柱状電極16Uは、絶縁膜D2、上側選択ゲートUSG、絶縁膜D3を貫通しており、その下端は半導体ピラー17に接続されている。柱状電極16Uと、絶縁膜D2、上側選択ゲートUSG及び絶縁膜D3との間には、ゲート絶縁膜GUが設けられている。柱状電極16L及び16Uは、不純物がドープされた半導体、例えば、多結晶シリコン又は非晶質シリコンにより形成されている。
各柱状電極16U、各半導体ピラー17及び各柱状電極16Lは一体的に連結されており、これにより、1本の柱状の半導体部材が構成されている。そして、上述の如く、柱状電極16Uは上側選択ゲートUSGを貫通し、半導体ピラー17は複数のワード線WLを貫通し、柱状電極16Lは下側選択ゲートLSGを貫通している。
また、絶縁膜D3上であって上側選択ゲートUSGが配置されている領域の直上域には、Y方向に延びる複数本のビット配線BLが設けられている。ビット配線BLは、金属、例えば、タングステン(W)、アルミニウム(Al)又は銅(Cu)によって形成されている。なお、本明細書において「金属」というときは、純金属の他に合金も含むものとする。ビット配線BLは、半導体ピラー17の直上域に設けられており、各ビット配線BLは、Y方向に沿って配列された各列の柱状電極16Uの上端部に接続されている。これにより、半導体ピラー17は、Y方向に延びる列ごとに、異なるビット配線BLに接続されている。ビット配線BLは、Y方向に配列された複数の積層体14の直上域を通過するように連続的に配設されており、各積層体14の半導体ピラー17に共通接続されている。
更に、ビット配線BLが配置されている領域の−X方向側には、X方向に延びる複数本の上側選択ゲート配線USLが設けられている。上側選択ゲート配線USLは、金属、例えば、タングステン、アルミニウム又は銅によって形成されている。上側選択ゲート配線USLの本数は、上側選択ゲートUSGの本数と同じであり、各上側選択ゲート配線USLが各ビア18を介して各上側選択ゲートUSGに接続されている。
更にまた、ビット配線BLが配置されている領域の+X方向側には、1つの積層体14ごとに、X方向に延びる複数本のワード配線WLL、X方向に延びる1本の下側選択ゲート配線LSL、及びX方向に延びる1本のセルソース配線CSLが設けられている。ワード配線WLL、下側選択ゲート配線LSL、及びセルソース配線CSLは、金属、例えば、タングステン、アルミニウム又は銅によって形成されている。1つの積層体14に対応するワード配線WLLの本数は、ワード線WLの枚数と同じであり、各ワード配線WLLがビア19を介して各ワード線WLに接続されている。また、下側選択ゲート配線LSLはビア20を介して下側選択ゲートLSGに接続されており、セルソース配線CSLはコンタクト21を介してセルソースCSに接続されている。ビア19、20及びコンタクト21は、それらが接続される電極膜13の直上域であって、それより上層の電極膜13から見て+X方向側に外れた領域に形成されている。
ビット配線BL、上側選択ゲート配線USL、ワード配線WLL、下側選択ゲート配線LSL及びセルソース配線CSLは、高さ方向(Z方向)の位置、厚さ、材料が同一であり、例えば、1枚の金属膜がパターニングされて形成されたものである。各配線間は、層間絶縁膜(図示せず)によって絶縁されている。
更にまた、装置1においては、ビット配線BLを介して柱状電極16Uの上端部に電位を印加するドライバ回路、セルソース配線CSL、コンタクト21及びセルソースCSを介して柱状電極16Lの下端部に電位を印加するドライバ回路、上側選択ゲート配線USL及びビア18を介して上側選択ゲートUSGに電位を印加するドライバ回路、下側選択ゲート配線LSL及びビア20を介して下側選択ゲートLSGに電位を印加するドライバ回路、ワード配線WLL及びビア19を介して各ワード線WLに電位を印加するドライバ回路(いずれも図示せず)が設けられている。
次に、本実施形態に係る装置1の製造方法について説明する。
図3乃至図8は、本実施形態に係る不揮発性半導体記憶装置の製造方法を例示する工程断面図である。
先ず、図2に示すように、シリコン基板11の上層部分の矩形領域に不純物を導入し、セルソースCSを形成する。次に、シリコン基板11上に絶縁膜D1を成膜し、その後、下側選択ゲートLSGを形成する。次に、下側選択ゲートLSG及び絶縁膜D1を貫通してセルソースCSに到達する貫通孔をマトリクス状に形成し、各貫通孔の側面上にゲート絶縁膜GLを形成し、この貫通孔内に柱状電極16Lを形成する。
次に、シリコン基板11上に複数の絶縁膜12及び複数の電極膜13を交互に積層し、積層体14を形成する。このとき、例えば、絶縁膜12は、CVD法(Chemical Vapor Deposition法:化学気相成長法)によって酸化シリコン(SiO)を堆積させることにより形成し、電極膜13は、CVD法により多結晶シリコンを堆積させることによって形成する。なお、電極膜13の周囲には、絶縁膜(図示せず)を形成する。
次に、図3に示すように、積層体14上にハードマスク31を形成する。ハードマスク31においては、貫通孔15(図2参照)を形成する予定の領域、すなわち、柱状電極16Lの直上域に、開口部31aを形成する。上方(+Z方向)から見て、開口部31aの形状は例えば円形とする。そして、ハードマスク31をマスクとしてドライエッチングを行い、積層体14における開口部31aの直下域に、貫通孔15を形成する。このとき、1つのチャンバー内で積層体14を連続して加工し、貫通孔15を一括して形成する。以下、貫通孔15の形成方法を詳細に説明する。
図4に示すように、電極膜13をエッチングする際には、エッチングガスとして、例えば、臭化水素(HBr)と酸素(O)との混合ガス(以下、「HBr/Oガス」と表記する)を使用する。また、このときのエッチング条件は、ラジカルの生成量が多く、反応生成物の排気量も多くなるような条件とする。これにより、ハードマスク31の開口部31aの直下域において、電極膜13が選択的に除去されて、開口部13aが形成される。開口部13aが絶縁膜12に到達した時点では、開口部13aの側面はほぼ垂直である。すなわち、開口部13aの形状は略円柱状であり、開口部13aの中心軸を含む断面において、開口部13aの側面はほとんど湾曲しておらず、Z方向にほぼ平行である。
次に、図5に示すように、HBr/Oガスを使用して、さらにエッチングを進める。これにより、開口部13aの側面に対して、水平方向(XY方向)に向かってエッチングが進行する。この結果、開口部13aの側面が、外側に膨らむように凹状に加工される。すなわち、開口部13aはボウイング形状となり、開口部13aの中心軸を含む断面において、開口部13aの内側から見て、開口部13aの側面は凹状に湾曲する。
次に、図6に示すように、フッ化炭素(CF)を含むガスを用いてエッチングを行う。これにより、開口部13aの底面に露出している絶縁膜12がエッチングされ、選択的に除去されて、開口部12aが形成される。開口部12aの底面は下層の電極膜13に到達する。このとき、開口部12aの形状は、上端部の内径が下端部の内径よりも大きい順テーパー状となる。例えば、開口部12aは、上面の直径が相対的に大きく下面の直径が相対的に小さい逆円錐台形となる。なお、テーパー角度、すなわち、開口部12aの外形に一致する逆円錐台形において、上面と母線とのなす角度は、例えば、83°である。
一方、このエッチングにおいて、開口部31aの側面上、開口部13aの側面上及び新たに形成された開口部12aの側面上には、クロロカーボン重合膜等のCF系の保護膜32が形成される。これにより、電極膜13の露出面は、保護膜32によって保護され、追加エッチングされることがない。
次に、図7に示すように、再び、HBr/Oガスを使用してエッチングを行う。これにより、開口部12aの底面に露出している電極膜13がエッチングされ、この電極膜13に開口部13aが形成される。このとき、開口部13aの形状は、1つ上の開口部13aと同様に、ボウイング形状となる。また、この電極膜13のエッチングに伴い、1つ上の開口部12aの側面の下部も、新たに形成された開口部13a内に進入したエッチングガスによって下側からエッチングされて、除去される。この結果、開口部12aの側面の下部は、Z方向中央部よりも開口部12aの中心軸から遠くなる。一方、開口部12aの側面の上部は、元々Z方向中央部よりも開口部12aの中心軸から遠い。このため、開口部12aの中心軸を含む断面において、開口部12aの側面は、開口部12aの内側から見て凸状となる。
以後、上述の工程を繰り返して、電極膜13及び絶縁膜12を交互にエッチングし、柱状電極16L(図2参照)まで到達させる。これにより、図8に示すように、中心軸15cを含む断面において、内側から見て、電極膜13内に位置する部分の側面15aが凹状に湾曲し、絶縁膜12内に位置する部分の側面15bが凸状となった貫通孔15が形成される。この貫通孔15の形状は、隣り合う一対の電極膜13及び絶縁膜12内に位置する部分の形状が基本単位となり、この基本単位がZ方向に繰り返された周期的な形状となる。
次に、図2に示すように、貫通孔15の側面上に、絶縁層25、電荷蓄積層26及び絶縁層27をこの順に形成する。次に、貫通孔15内に半導体材料、例えば、ドナーがドープされたN型の多結晶シリコン又は非晶質シリコンを埋め込み、半導体ピラー17を形成する。次に、積層体14上に絶縁膜D2、上側選択ゲートUSG、絶縁膜D3を形成し、これらの膜における半導体ピラー17の直上域に貫通孔を形成し、この貫通孔の側面上にゲート絶縁膜GUを形成し、この貫通孔内に柱状電極16Uを形成する。
次に、図1に示すように、積層体14の側方の絶縁膜に、ビア19、20及びコンタクト21を形成する。次に、全面に金属膜を形成し、パターニングすることにより、ビット配線BL、上側選択ゲート配線USL、ワード配線WLL、下側選択ゲート配線LSL及びセルソース配線CSLを形成する。これにより、不揮発性半導体記憶装置1が製造される。
次に、本実施形態の動作及び効果について説明する。
図1に示すように、装置1においては、ビット線BLを選択することにより、メモリセルのX座標を選び、上側選択ゲートUSGを選択することにより、メモリセルのY座標を選び、ワード線WLを選択することにより、メモリセルのZ座標を選ぶ。これにより、装置1内から1つのメモリセルを選択し、このメモリセルの電荷蓄積層26に対して電荷を注入又は引き出すことにより、データを記憶又は消去する。また、このメモリセルを通過するように、ビット線BLとセルソースCSとの間にセンス電流を流すことにより、記憶されたデータを読み出す。
そして、本実施形態においては、図2に示すように、貫通孔15の中心軸15cを含む断面において、貫通孔15における電極膜13内に位置する部分の側面15aが湾曲している。これにより、側面15aの少なくとも一部は、積層方向(Z方向)に対して傾斜する。この結果、側面15aの全体が積層方向に対して平行である場合と比較して、側面15aの面積が大きくなり、従って、側面15a上に形成される電荷蓄積層26の面積が大きくなる。これにより、各メモリセルにおける電荷の蓄積量が多くなる。この結果、装置1を微細化しても、個々のメモリセルの電荷蓄積量を確保することが容易になり、信頼性を担保することができる。
また、貫通孔15の中心軸15cを含む断面において、貫通孔15の側面15aが湾曲しているため、側面15aが直線状である場合と比較して、側面15aの上端と下端とで、貫通孔15の直径が大きく異なることがない。このため、貫通孔15の形成が容易である。
更に、貫通孔15を形成する際に、電極膜13をエッチングするためのチャンバーで絶縁膜12を加工すると、絶縁膜12に形成される開口部12aの形状は順テーパー状となり、下方にいくほど直径が小さくなることが多い。この場合、仮に、貫通孔15の中心軸を含む断面において、電極膜13に形成される開口部13aの側面が内側から見て凸状であると、上方から見た貫通孔15の開口面積が過度に小さくなってしまい、貫通孔15の加工が進むにつれて、エッチングが困難になる。これに対して、本実施形態においては、電極膜13に形成される開口部13aの側面が外側に向かって膨らむことにより、貫通孔15の開口面積が過度に小さくなることがない。このため、貫通孔15の加工が進行しても、形状の垂直性が確保され、エッチングが困難になることがなく、アスペクト比が十分に高い貫通孔15を形成することができる。この結果、電極膜13の積層数を増やすことができ、メモリセルの集積度を向上させることができる。
以上、実施形態を参照して本発明を説明したが、本発明はこの実施形態に限定されるものではない。例えば、本実施形態に対して、当業者が適宜、構成要素の追加、削除若しくは設計変更を行ったもの、又は、工程の追加、省略若しくは条件変更を行ったものも、本発明の要旨を備えている限り、本発明の範囲に含有される。
例えば、本実施形態においては、多結晶シリコンからなる電極膜13をエッチングする際に、エッチングガスとして、臭化水素(HBr)と酸素(O)との混合ガス(HBr/Oガス)を使用する例を示したが、本発明はこれに限定されない。電極膜13をエッチングする際のエッチングガスとして、例えば、塩素(Cl)と酸素(O)との混合ガス(Cl/Oガス)、臭化水素(HBr)と窒素(N)との混合ガス(HBr/Nガス)、又は、塩素(Cl)と窒素(N)との混合ガス(Cl/Nガス)を使用してもよい。
また、本実施形態においては、絶縁膜12を酸化シリコン(SiO)によって形成する例を示したが、本発明はこれに限定されない。例えば、絶縁膜12は、SiGeによって形成してもよい。これにより、エッチングが容易になる。また、絶縁膜12は、SiNによって形成してもよい。SiNは、半導体デバイスの分野ではよく使われる絶縁材料であり、その特性及び形成方法等はよく知られているため、取り扱いが容易である。更に、絶縁膜12は、SiOCによって形成してもよい。SiOCを用いることにより、絶縁膜12をポーラス(多孔質)状とすることができ、絶縁膜12の誘電率を下げることができる。更にまた、絶縁膜12は、炭素(C)によって形成してもよい。これにより、剥離が容易になる。
一方、本実施形態においては、電極膜13を多結晶シリコンによって形成する例を示したが、本発明はこれに限定されない。例えば、電極膜13は、非晶質シリコンによって形成してもよく、又は、アルミニウム(Al)、タングステン(W)、チタン(Ti)若しくはチタンナイトライド(TiN)等の金属によって形成してもよい。
更に、本実施形態においては、貫通孔15の中心軸15cを含む断面において、貫通孔15における電極膜13内に位置する部分の側面15aが、貫通孔15の内側から見て凹状に湾曲している例を示したが、側面15aは凸状に湾曲していてもよい。また、側面15aはテーパー状に傾斜していてもよい。この場合でも、側面15aの全体が積層方向に対して平行である場合と比較して、側面15aの面積を増大させ、電荷蓄積層26の面積を増大させ、メモリセルの電荷蓄積量を増加させることができる。
本発明の実施形態に係る不揮発性半導体記憶装置を例示する斜視図である。 本実施形態に係る不揮発性半導体記憶装置を例示する断面図である。 本実施形態に係る不揮発性半導体記憶装置の製造方法を例示する工程断面図である。 本実施形態に係る不揮発性半導体記憶装置の製造方法を例示する工程断面図である。 本実施形態に係る不揮発性半導体記憶装置の製造方法を例示する工程断面図である。 本実施形態に係る不揮発性半導体記憶装置の製造方法を例示する工程断面図である。 本実施形態に係る不揮発性半導体記憶装置の製造方法を例示する工程断面図である。 本実施形態に係る不揮発性半導体記憶装置の製造方法を例示する工程断面図である。
符号の説明
1 不揮発性半導体記憶装置、11 シリコン基板、12 絶縁膜、12a 開口部、13 電極膜、13a 開口部、14 積層体、15 貫通ホール、15a、15b 側面、15c 中心軸、16L、16U 柱状電極、17 半導体ピラー、18、19、20 ビア、21 コンタクト、25 絶縁層、26 電荷蓄積層、27 絶縁層、31 ハードマスク、31a 開口部、32 保護膜、BL ビット配線、CS セルソース、CSL セルソース配線、D1、D2、D3、D4 絶縁膜、GL、GU ゲート絶縁膜、LSG 下側選択ゲート、LSL 下側選択ゲート配線、USG 上側選択ゲート、USL 上側選択ゲート配線、WL ワード線、WLL ワード配線

Claims (5)

  1. 基板と、
    前記基板上に交互に積層され、積層方向に貫通する貫通孔が形成されたそれぞれ複数の絶縁膜及び電極膜と、
    前記貫通孔の内部に形成された半導体ピラーと、
    少なくとも前記半導体ピラーと前記電極膜との間に設けられた電荷蓄積層と、
    を備え、
    前記貫通孔における前記電極膜内に位置する部分の側面の少なくとも一部は、前記積層方向に対して傾斜していることを特徴とする不揮発性半導体記憶装置。
  2. 前記貫通孔の中心軸を含む断面において、前記貫通孔における前記電極膜内に位置する部分の側面が湾曲していることを特徴とする請求項1記載の不揮発性半導体記憶装置。
  3. 前記貫通孔の中心軸を含む断面において、前記貫通孔における前記電極膜内に位置する部分の側面は、前記貫通孔の内側から見て凹状になっていることを特徴とする請求項1または2に記載の不揮発性半導体記憶装置。
  4. 基板上にそれぞれ複数の絶縁膜及び電極膜を交互に積層する工程と、
    前記積層された絶縁膜及び電極膜を積層方向に貫通する貫通孔を形成する工程と、
    前記貫通孔の側面における少なくとも前記電極膜に相当する領域上に電荷蓄積層を形成する工程と、
    前記貫通孔の内部に半導体ピラーを形成する工程と、
    を備え、
    前記貫通孔を形成する工程において、前記貫通孔における前記電極膜内に位置する部分の側面の少なくとも一部が、前記積層方向に対して傾斜するように、前記電極膜をエッチングすることを特徴とする不揮発性半導体記憶装置の製造方法。
  5. 前記貫通孔を形成する工程は、
    前記電極膜をエッチングすることにより、前記電極膜に、側面が前記積層方向に平行な第1開口部を形成する工程と、
    前記電極膜をさらにエッチングすることにより、前記第1開口部の側面を外側に膨らむように加工する工程と、
    前記第1開口部の側面上に保護膜を形成すると共に前記絶縁膜をエッチングして、前記絶縁膜に、上端部の内径が下端部の内径よりも大きい第2開口部を形成する工程と、
    を有し、
    前記第1開口部の側面を外側に膨らむように加工する工程において、それより前に形成された前記第2開口部の側面の下部がエッチングされ、前記第2開口部の側面が、前記第2開口部の内側から見て凸状となることを特徴とする請求項4記載の不揮発性半導体記憶装置の製造方法。
JP2008125856A 2008-05-13 2008-05-13 不揮発性半導体記憶装置及びその製造方法 Pending JP2009277770A (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2008125856A JP2009277770A (ja) 2008-05-13 2008-05-13 不揮発性半導体記憶装置及びその製造方法
US12/408,344 US8072024B2 (en) 2008-05-13 2009-03-20 Nonvolatile semiconductor memory device and method for manufacturing same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2008125856A JP2009277770A (ja) 2008-05-13 2008-05-13 不揮発性半導体記憶装置及びその製造方法

Publications (1)

Publication Number Publication Date
JP2009277770A true JP2009277770A (ja) 2009-11-26

Family

ID=41315328

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008125856A Pending JP2009277770A (ja) 2008-05-13 2008-05-13 不揮発性半導体記憶装置及びその製造方法

Country Status (2)

Country Link
US (1) US8072024B2 (ja)
JP (1) JP2009277770A (ja)

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101075494B1 (ko) * 2009-12-18 2011-10-21 주식회사 하이닉스반도체 수직채널형 비휘발성 메모리 소자 및 그 제조 방법
JP2013080909A (ja) * 2011-09-06 2013-05-02 Lam Research Corporation 3dフラッシュ構造用のエッチングプロセス
JP2013098470A (ja) * 2011-11-04 2013-05-20 Toshiba Corp 半導体記憶装置及びその製造方法
KR20140033938A (ko) * 2012-09-11 2014-03-19 삼성전자주식회사 3차원 반도체 메모리 장치 및 그 제조 방법
JP2014057067A (ja) * 2012-09-11 2014-03-27 Samsung Electronics Co Ltd 3次元半導体メモリ装置及びその製造方法
US8754391B2 (en) 2011-05-12 2014-06-17 Samsung Electronics Co., Ltd. Nonvolatile memory devices and methods of fabricating the same
US8765551B2 (en) 2011-12-30 2014-07-01 Samsung Electronics Co., Ltd. Non-volatile memory device having vertical structure and method of manufacturing the same
US8823072B2 (en) 2010-04-15 2014-09-02 Samsung Electronics Co., Ltd. Floating gate type nonvolatile memory device and related methods of manufacture and operation
JP2014179598A (ja) * 2013-02-18 2014-09-25 Tokyo Electron Ltd プラズマ処理方法及びプラズマ処理装置
KR101623546B1 (ko) 2010-05-28 2016-05-23 삼성전자주식회사 3차원 반도체 메모리 장치 및 그 제조 방법
US9490130B2 (en) 2010-10-11 2016-11-08 Samsung Electronics Co., Ltd. Method of manufacturing three-dimensional semiconductor memory device in which an oxide layer is formed at bottom of vertical structure of the device
US9536970B2 (en) 2010-03-26 2017-01-03 Samsung Electronics Co., Ltd. Three-dimensional semiconductor memory devices and methods of fabricating the same
WO2020131396A3 (en) * 2018-12-18 2020-07-23 Micron Technology, Inc. Thin film transistors and related fabrication techniques
US10910401B2 (en) 2019-03-15 2021-02-02 Toshiba Memory Corporation Semiconductor device and method of manufacturing the same
JP2021082701A (ja) * 2019-11-19 2021-05-27 東京エレクトロン株式会社 膜をエッチングする方法及びプラズマ処理装置

Families Citing this family (94)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5376789B2 (ja) * 2007-10-03 2013-12-25 株式会社東芝 不揮発性半導体記憶装置及び不揮発性半導体記憶装置の制御方法
JP4675996B2 (ja) * 2008-09-10 2011-04-27 株式会社東芝 不揮発性半導体記憶装置
KR101655331B1 (ko) * 2008-10-15 2016-09-07 사일렉스 마이크로시스템스 에이비 비아 상호접속을 제조하는 방법
JP2010177652A (ja) * 2009-02-02 2010-08-12 Toshiba Corp 半導体装置の製造方法
KR101539699B1 (ko) 2009-03-19 2015-07-27 삼성전자주식회사 3차원 구조의 비휘발성 메모리 소자 및 그 제조방법
JP2011003722A (ja) * 2009-06-18 2011-01-06 Toshiba Corp 半導体装置の製造方法
JP2011049206A (ja) * 2009-08-25 2011-03-10 Toshiba Corp 半導体装置の製造方法及び半導体装置
JP2011171698A (ja) * 2010-01-25 2011-09-01 Toshiba Corp 半導体装置の製造方法
JP2011166061A (ja) * 2010-02-15 2011-08-25 Toshiba Corp 半導体装置の製造方法
US8803214B2 (en) * 2010-06-28 2014-08-12 Micron Technology, Inc. Three dimensional memory and methods of forming the same
US8187936B2 (en) 2010-06-30 2012-05-29 SanDisk Technologies, Inc. Ultrahigh density vertical NAND memory device and method of making thereof
US8928061B2 (en) 2010-06-30 2015-01-06 SanDisk Technologies, Inc. Three dimensional NAND device with silicide containing floating gates
US8198672B2 (en) * 2010-06-30 2012-06-12 SanDisk Technologies, Inc. Ultrahigh density vertical NAND memory device
US9159739B2 (en) 2010-06-30 2015-10-13 Sandisk Technologies Inc. Floating gate ultrahigh density vertical NAND flash memory
US8349681B2 (en) 2010-06-30 2013-01-08 Sandisk Technologies Inc. Ultrahigh density monolithic, three dimensional vertical NAND memory device
US10128261B2 (en) 2010-06-30 2018-11-13 Sandisk Technologies Llc Cobalt-containing conductive layers for control gate electrodes in a memory structure
JP2012028537A (ja) 2010-07-22 2012-02-09 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
KR101792778B1 (ko) * 2010-10-26 2017-11-01 삼성전자주식회사 비휘발성 메모리 장치 및 그 형성 방법
US8492874B2 (en) * 2011-02-04 2013-07-23 Qualcomm Incorporated High density metal-insulator-metal trench capacitor
JP2012174892A (ja) 2011-02-22 2012-09-10 Toshiba Corp 半導体記憶装置及びその製造方法
US8759895B2 (en) 2011-02-25 2014-06-24 Micron Technology, Inc. Semiconductor charge storage apparatus and methods
JP5364743B2 (ja) * 2011-03-01 2013-12-11 株式会社東芝 半導体装置
US8445347B2 (en) * 2011-04-11 2013-05-21 Sandisk Technologies Inc. 3D vertical NAND and method of making thereof by front and back side processing
JP5524140B2 (ja) * 2011-07-20 2014-06-18 株式会社東芝 不揮発性半導体記憶装置
KR20130025207A (ko) * 2011-09-01 2013-03-11 삼성전자주식회사 반도체 장치 및 그의 형성방법
JP5551132B2 (ja) * 2011-09-16 2014-07-16 株式会社東芝 不揮発性半導体記憶装置の製造方法および不揮発性半導体記憶装置
WO2013054958A1 (ko) * 2011-10-13 2013-04-18 한국과학기술원 3차원 구조의 비휘발성 메모리 소자, 그 제조 방법 및 메모리 칩
JP5624567B2 (ja) * 2012-02-03 2014-11-12 株式会社東芝 不揮発性半導体記憶装置及びその製造方法
US20130256777A1 (en) * 2012-03-30 2013-10-03 Seagate Technology Llc Three dimensional floating gate nand memory
KR20130130480A (ko) 2012-05-22 2013-12-02 삼성전자주식회사 3차원 반도체 메모리 장치 및 그 형성 방법
KR102045858B1 (ko) * 2013-02-06 2019-11-18 삼성전자주식회사 3차원 반도체 장치 및 그 제조 방법
US20140077285A1 (en) * 2012-09-19 2014-03-20 Kabushiki Kaisha Toshiba Non-volatile semiconductor memory device and method for manufacturing non-volatile semiconductor memory device
US8946807B2 (en) 2013-01-24 2015-02-03 Micron Technology, Inc. 3D memory
US9184175B2 (en) 2013-03-15 2015-11-10 Micron Technology, Inc. Floating gate memory cells in vertical memory
US9064970B2 (en) 2013-03-15 2015-06-23 Micron Technology, Inc. Memory including blocking dielectric in etch stop tier
US8946076B2 (en) * 2013-03-15 2015-02-03 Micron Technology, Inc. Methods of fabricating integrated structures, and methods of forming vertically-stacked memory cells
US9276011B2 (en) 2013-03-15 2016-03-01 Micron Technology, Inc. Cell pillar structures and integrated flows
US9093480B2 (en) 2013-04-01 2015-07-28 Sandisk Technologies Inc. Spacer passivation for high aspect ratio etching of multilayer stacks for three dimensional NAND device
US9099496B2 (en) 2013-04-01 2015-08-04 Sandisk Technologies Inc. Method of forming an active area with floating gate negative offset profile in FG NAND memory
US9252151B2 (en) 2013-07-08 2016-02-02 Sandisk Technologies Inc. Three dimensional NAND device with birds beak containing floating gates and method of making thereof
US9437604B2 (en) 2013-11-01 2016-09-06 Micron Technology, Inc. Methods and apparatuses having strings of memory cells including a metal source
KR20150139255A (ko) * 2014-06-03 2015-12-11 에스케이하이닉스 주식회사 반도체 장치 및 그 제조방법
US9553146B2 (en) * 2014-06-05 2017-01-24 Sandisk Technologies Llc Three dimensional NAND device having a wavy charge storage layer
US9721964B2 (en) * 2014-06-05 2017-08-01 Macronix International Co., Ltd. Low dielectric constant insulating material in 3D memory
US9524779B2 (en) 2014-06-24 2016-12-20 Sandisk Technologies Llc Three dimensional vertical NAND device with floating gates
US9570460B2 (en) 2014-07-29 2017-02-14 Sandisk Technologies Llc Spacer passivation for high-aspect ratio opening film removal and cleaning
US9716103B2 (en) 2014-09-09 2017-07-25 Kabushiki Kaisha Toshiba Stacked type semiconductor memory device
US9917096B2 (en) * 2014-09-10 2018-03-13 Toshiba Memory Corporation Semiconductor memory device and method for manufacturing same
US10192753B2 (en) * 2014-09-15 2019-01-29 Toshiba Memory Corporation Nonvolatile semiconductor memory device and method of manufacturing the same
US10741572B2 (en) 2015-02-04 2020-08-11 Sandisk Technologies Llc Three-dimensional memory device having multilayer word lines containing selectively grown cobalt or ruthenium and method of making the same
US9984963B2 (en) 2015-02-04 2018-05-29 Sandisk Technologies Llc Cobalt-containing conductive layers for control gate electrodes in a memory structure
US9780182B2 (en) 2015-02-04 2017-10-03 Sandisk Technologies Llc Molybdenum-containing conductive layers for control gate electrodes in a memory structure
US9608000B2 (en) 2015-05-27 2017-03-28 Micron Technology, Inc. Devices and methods including an etch stop protection material
US10622368B2 (en) 2015-06-24 2020-04-14 Sandisk Technologies Llc Three-dimensional memory device with semicircular metal-semiconductor alloy floating gate electrodes and methods of making thereof
US9613977B2 (en) 2015-06-24 2017-04-04 Sandisk Technologies Llc Differential etch of metal oxide blocking dielectric layer for three-dimensional memory devices
US9530785B1 (en) 2015-07-21 2016-12-27 Sandisk Technologies Llc Three-dimensional memory devices having a single layer channel and methods of making thereof
US9576966B1 (en) 2015-09-21 2017-02-21 Sandisk Technologies Llc Cobalt-containing conductive layers for control gate electrodes in a memory structure
US9806089B2 (en) 2015-09-21 2017-10-31 Sandisk Technologies Llc Method of making self-assembling floating gate electrodes for a three-dimensional memory device
US9646975B2 (en) 2015-09-21 2017-05-09 Sandisk Technologies Llc Lateral stack of cobalt and a cobalt-semiconductor alloy for control gate electrodes in a memory structure
US9659955B1 (en) 2015-10-28 2017-05-23 Sandisk Technologies Llc Crystalinity-dependent aluminum oxide etching for self-aligned blocking dielectric in a memory structure
US9793139B2 (en) 2015-10-29 2017-10-17 Sandisk Technologies Llc Robust nucleation layers for enhanced fluorine protection and stress reduction in 3D NAND word lines
US9842856B2 (en) * 2016-03-09 2017-12-12 Toshiba Memory Corporation Semiconductor memory device and method of manufacturing the same
US9865612B2 (en) 2016-03-22 2018-01-09 Toshiba Memory Corporation Semiconductor memory device and method of manufacturing the same
US9812463B2 (en) 2016-03-25 2017-11-07 Sandisk Technologies Llc Three-dimensional memory device containing vertically isolated charge storage regions and method of making thereof
US9711530B1 (en) 2016-03-25 2017-07-18 Sandisk Technologies Llc Locally-trap-characteristic-enhanced charge trap layer for three-dimensional memory structures
KR102456494B1 (ko) * 2016-03-29 2022-10-20 에스케이하이닉스 주식회사 반도체 장치 및 그 제조 방법
US9978768B2 (en) 2016-06-29 2018-05-22 Sandisk Technologies Llc Method of making three-dimensional semiconductor memory device having laterally undulating memory films
US10332904B2 (en) * 2016-09-20 2019-06-25 Toshiba Memory Corporation Semiconductor memory device and method for manufacturing same
JP6737118B2 (ja) 2016-10-11 2020-08-05 Tdk株式会社 薄膜コンデンサ
JP6805702B2 (ja) 2016-10-11 2020-12-23 Tdk株式会社 薄膜コンデンサ
JP2018063989A (ja) * 2016-10-11 2018-04-19 Tdk株式会社 薄膜キャパシタ
CN108122924B (zh) * 2016-10-31 2021-01-26 中芯国际集成电路制造(北京)有限公司 闪存器件及其制造方法
KR101891085B1 (ko) * 2016-11-23 2018-08-23 삼성전기주식회사 커패시터 및 그의 제조방법
US9991277B1 (en) 2016-11-28 2018-06-05 Sandisk Technologies Llc Three-dimensional memory device with discrete self-aligned charge storage elements and method of making thereof
US10707121B2 (en) * 2016-12-31 2020-07-07 Intel Corporatino Solid state memory device, and manufacturing method thereof
US9960180B1 (en) 2017-03-27 2018-05-01 Sandisk Technologies Llc Three-dimensional memory device with partially discrete charge storage regions and method of making thereof
US10438964B2 (en) 2017-06-26 2019-10-08 Sandisk Technologies Llc Three-dimensional memory device having direct source contact and metal oxide blocking dielectric and method of making thereof
KR102385568B1 (ko) * 2017-07-13 2022-04-12 삼성전자주식회사 수직형 메모리 장치
US10892274B2 (en) * 2017-11-09 2021-01-12 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory devices and fabricating methods thereof
US10438962B2 (en) 2017-12-27 2019-10-08 Micron Technology, Inc. Memory arrays, and methods of forming memory arrays
US10497715B2 (en) 2017-12-27 2019-12-03 Micron Technology, Inc. Memory arrays
US10903221B2 (en) 2017-12-27 2021-01-26 Micron Technology, Inc. Memory cells and memory arrays
JP2019125673A (ja) * 2018-01-16 2019-07-25 東芝メモリ株式会社 半導体記憶装置及びその駆動方法
US11721727B2 (en) * 2018-12-17 2023-08-08 Sandisk Technologies Llc Three-dimensional memory device including a silicon-germanium source contact layer and method of making the same
CN110121778B (zh) * 2019-03-04 2020-08-25 长江存储科技有限责任公司 三维存储器件
US10825831B1 (en) 2019-06-28 2020-11-03 Intel Corporation Non-volatile memory with storage nodes having a radius of curvature
KR20210029871A (ko) * 2019-09-06 2021-03-17 삼성전자주식회사 정보 저장 패턴을 포함하는 반도체 소자
KR20210082976A (ko) * 2019-12-26 2021-07-06 삼성전자주식회사 수직형 비휘발성 메모리 소자 및 그 제조방법
JP2021118234A (ja) * 2020-01-23 2021-08-10 キオクシア株式会社 半導体記憶装置
US11723209B2 (en) * 2020-05-29 2023-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional memory device and manufacturing method thereof
US11889683B2 (en) * 2020-07-01 2024-01-30 Micron Technology, Inc. Memory arrays comprising strings of memory cells and methods used in forming a memory array comprising strings of memory cells
US11488975B2 (en) * 2020-10-27 2022-11-01 Sandisk Technologies Llc Multi-tier three-dimensional memory device with nested contact via structures and methods for forming the same
KR20220059122A (ko) * 2020-11-02 2022-05-10 삼성전자주식회사 반도체 장치 및 이를 포함하는 대용량 데이터 저장 시스템
US11476276B2 (en) * 2020-11-24 2022-10-18 Macronix International Co., Ltd. Semiconductor device and method for fabricating the same

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003007868A (ja) * 2001-06-22 2003-01-10 Fujio Masuoka 半導体記憶装置
JP2007266143A (ja) * 2006-03-27 2007-10-11 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
JP2008078404A (ja) * 2006-09-21 2008-04-03 Toshiba Corp 半導体メモリ及びその製造方法
WO2009114675A2 (en) * 2008-03-13 2009-09-17 Micron Technology, Inc. Memory array with a pair of memory-cell strings to a single conductive pillar

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4822841B2 (ja) 2005-12-28 2011-11-24 株式会社東芝 半導体記憶装置及びその製造方法
JP2008053388A (ja) 2006-08-23 2008-03-06 Toshiba Corp 半導体装置及びその製造方法
JP4768557B2 (ja) * 2006-09-15 2011-09-07 株式会社東芝 不揮発性半導体記憶装置及びその製造方法
JP4772656B2 (ja) * 2006-12-21 2011-09-14 株式会社東芝 不揮発性半導体メモリ
JP4791949B2 (ja) * 2006-12-22 2011-10-12 株式会社東芝 不揮発性半導体メモリ
JP5016928B2 (ja) * 2007-01-10 2012-09-05 株式会社東芝 不揮発性半導体記憶装置及びその製造方法
JP2008192804A (ja) * 2007-02-05 2008-08-21 Spansion Llc 半導体装置およびその製造方法
KR20090079694A (ko) * 2008-01-18 2009-07-22 삼성전자주식회사 비휘발성 메모리 소자 및 그 제조 방법

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003007868A (ja) * 2001-06-22 2003-01-10 Fujio Masuoka 半導体記憶装置
JP2007266143A (ja) * 2006-03-27 2007-10-11 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
JP2008078404A (ja) * 2006-09-21 2008-04-03 Toshiba Corp 半導体メモリ及びその製造方法
WO2009114675A2 (en) * 2008-03-13 2009-09-17 Micron Technology, Inc. Memory array with a pair of memory-cell strings to a single conductive pillar

Cited By (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8519471B2 (en) 2009-12-18 2013-08-27 Hynix Semiconductor Inc. Vertical channel type nonvolatile memory device and method for fabricating the same
KR101075494B1 (ko) * 2009-12-18 2011-10-21 주식회사 하이닉스반도체 수직채널형 비휘발성 메모리 소자 및 그 제조 방법
US10903327B2 (en) 2010-03-26 2021-01-26 Samsung Electronics Co., Ltd. Three-dimensional semiconductor memory devices and methods of fabricating the same
US11888042B2 (en) 2010-03-26 2024-01-30 Samsung Electronics Co., Ltd. Three-dimensional semiconductor memory devices and methods of fabricating the same
US11588032B2 (en) 2010-03-26 2023-02-21 Samsung Electronics Co., Ltd. Three-dimensional semiconductor memory devices and methods of fabricating the same
US9768266B2 (en) 2010-03-26 2017-09-19 Samsung Electronics Co., Ltd. Three-dimensional semiconductor memory devices and methods of fabricating the same
US9536970B2 (en) 2010-03-26 2017-01-03 Samsung Electronics Co., Ltd. Three-dimensional semiconductor memory devices and methods of fabricating the same
US9564499B2 (en) 2010-03-26 2017-02-07 Samsung Electronics Co., Ltd. Three-dimensional semiconductor memory devices and methods of fabricating the same
US8823072B2 (en) 2010-04-15 2014-09-02 Samsung Electronics Co., Ltd. Floating gate type nonvolatile memory device and related methods of manufacture and operation
KR101623546B1 (ko) 2010-05-28 2016-05-23 삼성전자주식회사 3차원 반도체 메모리 장치 및 그 제조 방법
US9490130B2 (en) 2010-10-11 2016-11-08 Samsung Electronics Co., Ltd. Method of manufacturing three-dimensional semiconductor memory device in which an oxide layer is formed at bottom of vertical structure of the device
US8754391B2 (en) 2011-05-12 2014-06-17 Samsung Electronics Co., Ltd. Nonvolatile memory devices and methods of fabricating the same
JP2013080909A (ja) * 2011-09-06 2013-05-02 Lam Research Corporation 3dフラッシュ構造用のエッチングプロセス
JP2013098470A (ja) * 2011-11-04 2013-05-20 Toshiba Corp 半導体記憶装置及びその製造方法
US8765551B2 (en) 2011-12-30 2014-07-01 Samsung Electronics Co., Ltd. Non-volatile memory device having vertical structure and method of manufacturing the same
KR101906406B1 (ko) 2011-12-30 2018-12-10 삼성전자주식회사 수직 구조의 비휘발성 메모리 소자 및 그 제조방법
JP2014057067A (ja) * 2012-09-11 2014-03-27 Samsung Electronics Co Ltd 3次元半導体メモリ装置及びその製造方法
KR102031179B1 (ko) 2012-09-11 2019-11-08 삼성전자주식회사 3차원 반도체 메모리 장치 및 그 제조 방법
US9899411B2 (en) 2012-09-11 2018-02-20 Samsung Electronics Co., Ltd. Three-dimensional semiconductor memory device and method for fabricating the same
KR20140033938A (ko) * 2012-09-11 2014-03-19 삼성전자주식회사 3차원 반도체 메모리 장치 및 그 제조 방법
JP2014179598A (ja) * 2013-02-18 2014-09-25 Tokyo Electron Ltd プラズマ処理方法及びプラズマ処理装置
WO2020131396A3 (en) * 2018-12-18 2020-07-23 Micron Technology, Inc. Thin film transistors and related fabrication techniques
US11043496B2 (en) 2018-12-18 2021-06-22 Micron Technology, Inc. Thin film transistors and related fabrication techniques
US11903223B2 (en) 2018-12-18 2024-02-13 Micron Technology, Inc. Thin film transistors and related fabrication techniques
US11335699B2 (en) 2019-03-15 2022-05-17 Kioxia Corporation Semiconductor device and method of manufacturing the same
US11785774B2 (en) 2019-03-15 2023-10-10 Kioxia Corporation Semiconductor device and method of manufacturing the same
US10910401B2 (en) 2019-03-15 2021-02-02 Toshiba Memory Corporation Semiconductor device and method of manufacturing the same
JP2021082701A (ja) * 2019-11-19 2021-05-27 東京エレクトロン株式会社 膜をエッチングする方法及びプラズマ処理装置
JP7336365B2 (ja) 2019-11-19 2023-08-31 東京エレクトロン株式会社 膜をエッチングする方法及びプラズマ処理装置

Also Published As

Publication number Publication date
US8072024B2 (en) 2011-12-06
US20090283819A1 (en) 2009-11-19

Similar Documents

Publication Publication Date Title
JP2009277770A (ja) 不揮発性半導体記憶装置及びその製造方法
JP5430890B2 (ja) 半導体記憶装置
US7989880B2 (en) Nonvolatile semiconductor memory device and method of manufacturing the same
CN108807410B (zh) 3d存储器件及其制造方法
US9905572B2 (en) Vertical memory devices with vertical isolation structures and methods of fabricating the same
JP4675996B2 (ja) 不揮発性半導体記憶装置
US9437607B2 (en) Semiconductor device and method of manufacturing the same
US8207029B2 (en) Method for manufacturing semiconductor device
TWI647792B (zh) Semiconductor memory device
TWI644397B (zh) 半導體裝置
US20120267702A1 (en) Vertical memory devices and methods of manufacturing the same
JP2010192646A (ja) 半導体装置及びその製造方法
JP2010225946A (ja) 不揮発性半導体記憶装置及びその製造方法
CN109192735B (zh) 3d存储器件及其制造方法
JP2010205904A (ja) 不揮発性半導体記憶装置の製造方法及び不揮発性半導体記憶装置
JP2010027870A (ja) 半導体記憶装置及びその製造方法
US9754888B2 (en) Semiconductor memory device and method for manufacturing the same
JP2011060958A (ja) 半導体装置及びその製造方法
JP6226788B2 (ja) 不揮発性半導体記憶装置及びその製造方法
US10319736B2 (en) Vertical memory structure with stress-inducing insulating layers
CN110176460B (zh) 3d存储器件及其制造方法
US10804285B2 (en) Semiconductor device
JP2010021191A (ja) 半導体記憶装置及びその製造方法
CN110828469A (zh) 3d存储器件及其制造方法
US20160268291A1 (en) Semiconductor device and manufacturing method of semiconductor device

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100806

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121031

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20121031

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20130312