JP2021184479A - 熱質量が小さい加圧チャンバ - Google Patents

熱質量が小さい加圧チャンバ Download PDF

Info

Publication number
JP2021184479A
JP2021184479A JP2021123267A JP2021123267A JP2021184479A JP 2021184479 A JP2021184479 A JP 2021184479A JP 2021123267 A JP2021123267 A JP 2021123267A JP 2021123267 A JP2021123267 A JP 2021123267A JP 2021184479 A JP2021184479 A JP 2021184479A
Authority
JP
Japan
Prior art keywords
substrate
chamber
processing
processing space
baffle plate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2021123267A
Other languages
English (en)
Other versions
JP7223075B2 (ja
Inventor
ローマン ゴウク,
Gouk Roman
ハンウェン チェン,
Han-Wen Chen
スティーヴン ヴァハヴェルベク,
Verhaverbeke Steven
ジャン ドゥルマ,
Delmas Jean
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2021184479A publication Critical patent/JP2021184479A/ja
Application granted granted Critical
Publication of JP7223075B2 publication Critical patent/JP7223075B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F26DRYING
    • F26BDRYING SOLID MATERIALS OR OBJECTS BY REMOVING LIQUID THEREFROM
    • F26B21/00Arrangements or duct systems, e.g. in combination with pallet boxes, for supplying and controlling air or gases for drying solid materials or objects
    • F26B21/14Arrangements or duct systems, e.g. in combination with pallet boxes, for supplying and controlling air or gases for drying solid materials or objects using gases or vapours other than air or steam, e.g. inert gases
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0021Cleaning by methods not provided for in a single other subclass or a single group in this subclass by liquid gases or supercritical fluids
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02101Cleaning only involving supercritical fluids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Optics & Photonics (AREA)
  • General Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

【課題】超臨界乾燥プロセスで小さな熱質量を有する基板処理装置及び基板処理方法を提供する。【解決手段】超臨界乾燥プロセスのための効率的な温度サイクルを可能にする熱質量が小さい処理チャンバ300は、本体302と、ライナ318と、断熱要素316と、を含む。断熱要素により、ライナが、本体と比べて小さい熱質量を示すことが可能になる。処理チャンバは更に、処理チャンバの処理空間312の中で超臨界流体を生成し、かつ/又は維持するのに適する加熱要素354を伴って構成される。【選択図】図3

Description

本開示の実施形態は概して、超臨界乾燥装置に関するより具体的には、本書に記載の実施形態は、熱質量が小さい加圧チャンバに関する。
関連技術の記載
半導体デバイスの洗浄においては、多くの場合、液体及び固体の汚染物質を基板の表面から除去し、ひいては表面を清浄にしておくことが望ましい。湿式洗浄プロセスは概して、水性洗浄溶液などの洗浄液の使用を伴う。基板の湿式洗浄後には、多くの場合、洗浄チャンバ内の基板の表面から洗浄液を除去することが望ましい。
既存の湿式洗浄技法のほとんどは、基板を洗浄するために液体噴霧ステップ、又は浸漬ステップを利用する。ボイド若しくは孔部を有する高アスペクト比フィーチャ又は低誘電率材料を有する基板を、洗浄液の塗布に続いて乾燥させることは、非常に困難である。洗浄液の毛細管力は、望ましくないスティクションを生じさせうる、かかる構造物における材料の変形を引き起こすことが多く、これにより、半導体基板が損傷するだけでなく、更に、利用された洗浄溶液の残留物が基板に残ることがある。上述の課題は、高アスペクト比半導体デバイス構造物を有する基板において、後続の基板乾燥中に、特に顕著になる。ラインスティクション又はライン崩壊は、湿式洗浄プロセス(複数可)においてトレンチ又はビアの中に閉じ込められた液体を覆う液体−空気界面全体での毛細管圧力によって、高アスペクト比のトレンチ又はビアを形成する両側壁が互いに向かって曲がることで、生じる。狭小なライン幅及び高いアスペクト比を有するフィーチャは、毛細管圧力(毛細管力と称されることもある)によって液体−空気界面と液体−壁部界面との間に生じる表面張力の相違の影響を、特に受けやすい。現在有効な乾燥実践方法は、デバイススケーリングの急速な進歩の結果として急激に増大しつつある、ラインスティクションの防止における困難に直面している。
結果的に、当該技術分野において、超臨界乾燥プロセスを実施するための改良型の装置が必要とされている。
一実施形態では、基板処理装置が提供される。この装置は、高圧で稼働するよう構成された処理空間を画定する、チャンバ本体を含む。チャンバ本体は、処理空間に隣接してチャンバ本体に配置されたライナと、ライナに隣接してチャンバ本体に配置された断熱要素とを含む。断熱要素は、チャンバ本体及びライナの熱膨張率に類似した熱膨張率を有しうる。基板支持体がドアに連結されてよく、処理空間内に配置されたバッフルプレートが、処理空間の中でバッフルプレートを動かすよう構成されたアクチュエータに連結されうる。
別の実施形態では、基板処理装置が提供される。この装置は、移送チャンバ及び処理チャンバが連結されているプラットフォームを含む。処理チャンバは、移送チャンバに対してチルト角度に配置されうる。処理チャンバは、高圧で稼働するよう構成された処理空間を画定する、チャンバ本体を含む。チャンバ本体は、処理空間に隣接してチャンバ本体に配置されたライナと、ライナに隣接してチャンバ本体に配置された断熱要素とを含む。断熱要素は、チャンバ本体及びライナの熱膨張率に類似した熱膨張率を有しうる。基板支持体がドアに連結されてよく、処理空間内に配置されたバッフルプレートが、処理空間の中でバッフルプレートを動かすよう構成されたアクチュエータに連結されうる。
更に別の実施形態では、基板処理方法が提供される。この方法は、処理チャンバ内の基板支持体に基板を配置することを含む。基板支持体は重力に対してチルトしてよく、基板を少なくとも部分的に浸漬する量の溶媒が、処理チャンバに導入されうる。バッフルプレートは基板の上方に位置付けられうる。超臨界COが、処理チャンバ内で生成されてよく、基板はこの超臨界COに曝露されうる。
本開示の上述の特徴を詳しく理解しうるように、上記で簡単に要約されている本開示のより詳細な説明が、実施形態を参照することによって得られ、実施形態の一部は付随する図面に示されている。しかし、付随する図面は、例示的な実施形態のみを示しており、従ってその範囲を限定すると見なすべきではなく、他の等しく有効な実施形態を許容しうることに、留意されたい。
本書に記載の実施形態による、半導体基板に形成されたフィーチャ同士の間に生じたスティクション(stiction)の影響を示す。 本書に記載の一実施形態による、処理装置の平面図を示す。 本書に記載の一実施形態による、処理装置の平面図を示す。 本書に記載の一実施形態による、熱質量が小さい処理チャンバの断面図を概略的に示す。 本書に記載の一実施形態による、熱質量が小さい処理チャンバの側断面図を概略的に示す。 本書に記載の実施形態による、熱質量が小さい処理チャンバを包含する処理プラットフォームの側面図を概略的に示す。
理解を容易にするために、可能な場合には、複数の図に共通する同一の要素を指し示すのに、同一の参照番号を使用した。一実施形態の要素及び特徴は、さらなる記述がなくとも、他の実施形態に有益に組み込まれうると、想定される。
以下の説明においては、解説を目的として、本書で提示されている実施形態の網羅的な理解を提供するために多数の具体的な詳細事項が明記される。しかし、これらの具体的な詳細事項がなくとも本開示が実践されうることは、当業者には明白となろう。その他の事例では、説明されている実施形態を不明瞭にしないように、具体的な装置構造については説明していない。以下の説明及び図は、実施形態を例示するものであり、本発明を限定するものと解釈すべきではない。
図1は、半導体デバイス100における2つのフィーチャの間でラインスティクションが発生している、半導体デバイス100の一部分を示す概略断面図である。図示しているように、高アスペクト比デバイス構造物が、基板の表面上に形成されている。処理中に、デバイス構造物102は垂直配向で維持されるべきであり、壁部106は、隙間(opening)を横切ってデバイス構造物102の隣接する壁部106に接触するべきではない。半導体デバイス100が、湿式化学作用を用いて洗浄された後に乾燥されている時、デバイス構造物102の壁部106は、隙間104の中に入った洗浄液によって生じる空気−液体界面による毛細管力を受ける。この毛細管力によって、隣接するデバイス構造物102の壁部106同士が互いに向かって曲がり、互いに接触することになる。ラインスティクションは、隣接するデバイス構造物102の壁部106同士の接触により生じ、最終的には、隙間104の閉塞を引き起こす。ラインスティクションは概して望ましくないものである。なぜならそれは、更なる堆積ステップなどの後続の基板処理ステップにおける、隙間104へのアクセスを妨害するからである。
ラインスティクションを防止するために、基板は、湿式洗浄チャンバ内で、脱イオン水又は洗浄用化学物質などの水性洗浄溶液に曝露されうる。かかる基板は、表面上に電子デバイスが配置又は形成されている、半導体基板を含む。湿式洗浄チャンバ内の基板に対して水性洗浄溶液を使用することで、湿式洗浄プロセスが実施された後に基板に残った残留物が除去される。一部の構成では、湿式洗浄チャンバは、単一ウエハ洗浄チャンバ及び/又は水平スピニングチャンバでありうる。加えて、湿式洗浄チャンバは、基板のデバイスがない側に向けられる音響エネルギーを生成するよう適合した、メガソニックプレートを有しうる。
基板の湿式洗浄の後に、基板は、湿式洗浄チャンバ内で使用された使用済みの水性洗浄溶液があればそれを置換するために、溶媒置換チャンバに移送されうる。基板は次いで、基板に更なる洗浄ステップ及び乾燥ステップを実施するために、超臨界流体チャンバに移送されうる。一実施形態では、基板を乾燥させることは、基板の表面への超臨界流体の供給を伴いうる。乾燥用ガスは、超臨界処理チャンバ内で実現又は維持される特定の圧力構成及び温度構成に曝露された時に超臨界状態に移行するよう、選択されうる。かかる乾燥用ガスの一例は、二酸化炭素(CO)を含む。超臨界COは、超臨界ガスであることから、表面張力を有さないという点でその表面張力は気体に類似しているが、液体に類似した密度を有する。超臨界COは、約73.0atmの圧力、及び約31.1°Cの温度において臨界点を有する。COなどの超臨界流体の固有特性の1つは、超臨界圧力及び超臨界点(例えば、COでは73atm及び31.1°C)を上回るいかなる圧力及び温度でも、凝集が発生しないということである。処理チャンバなどの処理環境の臨界温度パラメータ及び臨界圧力パラメータが、CO乾燥用ガスの超臨界状態に影響を与える。
超臨界流体は、その固有特性により、基板における実質的にすべての孔部又はボイドに入り込み、残留液体又は残留粒子(隙間104内に存在しうる)があればそれを除去しうる。一実施形態では、超臨界処理が、粒子及び残留物を除去するために所望の期間にわたって進行した後に、ほぼ一定の温度を保ったままチャンバの圧力が下げられて、超臨界流体を隙間104の中で気相に直接的に移行させることが可能になる。典型的には、超臨界流体処理に先立って隙間104内に存在する液体は、溶媒置換チャンバからの置換溶媒でありうる。典型的には、隙間104内に存在する粒子は、有機種(すなわち炭素)、無機種(すなわちシリコン)、及び/又は金属などの、任意の固体粒状物でありうる。超臨界流体によって乾燥されうる隙間104の例は、誘電体層のボイド又は孔部、低誘電率誘電材料のボイド又は孔部、及び、洗浄用の流体及び粒子を閉じ込めうる、他の種類の基板の間隙を、含む。更に、超臨界乾燥は、移相中に液体状態を回避すること、及び、超臨界COなどの超臨界流体の微小な表面張力により、デバイス構造物102の壁部106同士の間に生じる毛細管力を除去することによって、ラインスティクションを防止しうる。
基板は次いで、超臨界流体チャンバから後処理チャンバに移送されうる。後処理チャンバはプラズマ処理チャンバであってよく、このチャンバ内で、基板に存在しうる汚染物質が除去されうる。基板を後処理することで、デバイス構造物にラインスティクションが存在すればそれも、更に解除されうる。本書に記載のプロセスは、約10:1以上、20:1以上、又は、30:1以上のアスペクト比といった、高アスペクト比を有するデバイス構造物の洗浄に役立つ。特定の実施形態では、本書に記載のプロセスは、3D/垂直NANDフラッシュデバイス構造物の洗浄に役立つ。
図2Aは、本開示の一実施形態による、上述の工程のうちの一又は複数を実施するよう適合しうる基板処理装置を示している。一実施形態では、処理装置200は、湿式洗浄チャンバ201と、溶媒置換チャンバ202と、超臨界流体チャンバ203と、後処理チャンバ204と、移送チャンバ206と、湿式ロボット208とを備える。基板を処理することは、金属線によって相互接続されている、トランジスタ、コンデンサ、又はレジスタなどの電気デバイスを形成することを含みうるがそれに限定されるわけではなく、これらの電気デバイスは、基板上の層間誘電体によって絶縁される。上記のプロセスは、基板を洗浄することと、基板に形成された膜を洗浄することと、基板を乾燥させることと、基板に形成された膜を乾燥させることとを、含みうる。別の実施形態では、処理装置200は、処理装置200内で処理された基板を検査するためのツール(図示せず)を含みうる、検査チャンバ205を含む。
一実施形態では、基板処理装置200は、湿式洗浄チャンバ201、溶媒置換チャンバ202、超臨界流体チャンバ203、後処理チャンバ204、及び移送チャンバ206といったいくつかの基板処理チャンバを備える、クラスタツールである。チャンバ201、202、203、204は、移送チャンバ206内に配置されうる湿式ロボット208の周りに位置付けられうる。湿式ロボット208は、モータ、ベース、アーム、及び、チャンバ間で基板を移送するよう構成されたエンドエフェクタ209を備える。湿式ロボット208は、オプションで、処理装置200のスループットを向上させるために、複数のアーム及び複数のエンドエフェクタを有しうる。一実施形態では、湿式ロボット208は、前述のチャンバ間で基板を移送する。別の実施形態では、湿式ロボット208のエンドエフェクタのうちの少なくとも1つは、(例えば乾燥ウエハを扱うよう適合した)乾式専用エンドエフェクタであり、湿式ロボット208のエンドエフェクタのうちの少なくとも1つは、(例えば湿式ウエハを扱うよう適合した)湿式専用エンドエフェクタである。乾式専用エンドエフェクタは、超臨界流体チャンバ203と後処理チャンバ204との間で基板を移送するために使用されうる。
処理装置200は、ファクトリインターフェース218に配置された乾式ロボット216も備え、ファクトリインターフェース218は、処理装置200、及び、複数の基板カセット212、214に連結されうる。複数の基板カセット212、214の各々は、未洗浄若しくは未乾燥の複数の基板、又は、洗浄済み若しくは乾燥済みの複数の基板を保持する。乾式ロボット216は、カセット212、214と、湿式洗浄チャンバ201との間、及び後処理チャンバ204との間で、基板を移送するよう構成されうる。別の実施形態では、乾式ロボット216は、超臨界流体チャンバ203と後処理チャンバ204との間で基板を移送するよう、構成されうる。処理装置200におけるこれらの処理チャンバは、基板移送チャンバ206を収納する水平プラットフォーム上に配置されうる。別の実施形態では、プラットフォームの一部分は、水平配向以外の配置に配向されうる(図5参照)。
図2Bに示す代替的な実施形態では、処理装置200Aは、湿式洗浄チャンバ201、溶媒置換チャンバ202、超臨界流体チャンバ203、後処理チャンバ204、及び移送チャンバ206といったいくつかの基板処理チャンバを備える、線形の装置でありうる。例えば、処理装置200Aは、カリフォルニア州サンタクララのApplied Materialsから入手可能な「Raider(登録商標)GT」でありうるが、他の製造業者による他の処理装置が、本書に記載の実施形態を実施するよう適合しうることも、想定される。
チャンバ201、202、203、204は、移送チャンバ206内に配置されうるロボット208Aの周りに位置付けられうる。ロボット208Aは、モータ、ベース、アーム、及び、チャンバ間で基板を移送するよう構成されたエンドエフェクタ209A、209Bを備える。ロボット208Aは、処理装置200Aのスループットを向上させるために、複数のアーム及び複数のエンドエフェクタを有しうる。一実施形態では、湿式専用エンドエフェクタ209Aを有するロボット208Aが、前述のチャンバ間で基板を移送する。処理装置200Aは、処理装置200、及び、複数の基板カセット212、214に連結されうるファクトリインターフェース218も備えてよく、複数の基板カセット212、214の各々は、未洗浄若しくは未乾燥の複数の基板、又は、洗浄済み若しくは乾燥済みの複数の基板を保持する。乾式専用エンドエフェクタ209Bを有するロボット208Aは、カセット212、214と、湿式洗浄チャンバ201との間、及び後処理チャンバ204との間で、基板を移送する。一実施形態では、乾式専用エンドエフェクタ209Bは、超臨界流体チャンバ203と後処理チャンバ204との間で基板を移送するよう構成されうる。処理装置200Aにおけるこれらのチャンバは、基板移送チャンバ206を収納する水平プラットフォーム上に配置されうる。別の実施形態では、プラットフォームの一部分は、水平配向以外の配置に配向されうる(図5参照)。
処理装置200Aのいくつかの構成において、ロボット208Aは、線形軌道220に沿って移動しうる。チャンバは、線形軌道220の一方又は両方の側に並ぶように配置されうる。湿式基板移送を実施するために、基板がまだチャンバ内にある間に、例えば基板を回転させることによって、余分な液体が基板から除去されうる。これにより、ロボット208Aがこの基板を移送する前に、基板表面上には湿った薄層のみが残ることになる。ロボット208Aに2つ以上のエンドエフェクタが設けられる実施形態では、少なくとも1つは湿式基板移送専用であり、他の1つは乾式基板移送専用でありうる。大量生産のために、より多くのチャンバが、延長可能な線形構成に設置されうる。
前記の実施形態で言及した構成により、各チャンバの設計複雑性が大幅に低減され、繊細なプロセスステップ間の待機時間制御が可能になり、かつ、各処理工程のプロセス持続期間を均一化するよう調整可能なチャンバモジュールのカウントを用いた連続生産において、スループットが最適化される。
図3は、本書に記載の一実施形態による、熱質量が小さい処理チャンバ300の断面図を概略的に示している。特定の実施形態では、チャンバ300は、図2A及び図2Bに関連して説明しているチャンバ203として実装されうる。通常、チャンバ300は、その中での超臨界流体の生成及び/又は維持に適する加圧状態に耐えるよう、構成される。チャンバ300はまた、有利には、移相の実施に適する温度範囲内でサイクルされうる。
チャンバ300は、本体302と、ライナ318と、断熱要素316とを含む。本体302とライナ318とが概して、処理空間312を画定する。本体302は、処理空間312の中での超臨界流体の生成に適する圧力に耐えるよう、構成されうる。例えば、本体は、約100bar以上の圧力に耐えることに適しうる。本体302に適する材料は、ステンレス鋼、アルミニウム、又は、その他の高強度金属材料を含む。ライナ318も、本体302と類似の材料から形成されうる。一実施形態では、ライナ318と本体302は単一の装置でありうる。別の実施形態では、ライナ318と本体302とは、ひとまとめに連結された別個の装置でありうる。
ライナ318は、処理空間312に隣接した領域において、約2mmから約5mm(例えば約3mm)の厚さ344を有しうる。ライナ318を含む材料が本体302と比較して相対的に極少量であることにより、ライナ318は、本体302の熱質量と比べて小さい熱質量を有することになる。したがって、処理空間312の中の温度変化がより効率的な様態で行われうる。処理空間312の温度は主に、本体302ではなくライナ318による影響を受けるからである。一実施形態では、処理空間312の中の処理環境は、約5分未満(例えば約1分未満)の時間で、約20°Cと約50°Cとの間でサイクルされうる。一実施形態では、処理空間312は、約30秒間で、約20°Cと約50°Cとの間でサイクルされうる。
断熱要素316は概して、ライナ318に隣接するように、本体302に配置される。図示している実施形態では、断熱要素316は複数の装置でありうる。断熱要素316は概して、ライナ318を本体302から断熱することによってライナ318の熱質量を更に低減するために、処理空間312の長手軸に沿って延在しうる。断熱要素316は、本体302及びライナ318に利用される材料の熱膨張率と類似した熱膨張率を有する、高圧環境内での使用に適する材料から形成されうる。一実施形態では、断熱要素316はセラミック材料でありうる。セラミック材料の様々な例は、酸化アルミニウム、窒化アルミニウム、炭化ケイ素などを含む。断熱要素316の厚さ346は、約0.1インチから約1.0インチ(例えば約0.5インチ)でありうる。
処理空間312は、約2リットル未満(例えば約1リットル)の容積を有する。ライナ318の間の、処理空間312の端から端までの距離348は、約5cm未満(例えば約2cm未満、例としては約1cm)でありうる。様々な実施形態において、処理空間312は、処理空間312内の条件に応じて、様々な液体、ガス、及び/又は超臨界流体で満たされうる。一実施形態では、処理空間312は、一又は複数の溶媒源320、332、336に連結されうる。第1溶媒源320は、本体302の上部を通る第1導管322を介して、処理空間312に連結されうる。第2溶媒源332は、本体302の側壁を通る第2導管334を介して、処理空間312に連結されうる。第3溶媒源336は、本体312の底部を通る第3導管338を介して、処理空間312に連結されうる。溶媒源320、332、336は、所望の溶媒導入特性に応じて、様々な進入ポートから処理空間に溶媒を提供するよう構成されうる。
溶媒源320、332、336から処理空間312に供給されうる好適な溶媒は、数ある中でもとりわけ、アセトン、イソプロピルアルコール、エタノール、メタノール、N−メチル−2−ピロリドン、N−メチルホルムアミド、1,3−ジメチル−2−イミダゾリジノン、ジメチルアセトアミド、及びジメチルスルホキシドを含む。通常、溶媒は、溶媒が液体COと混和性であるように選択されうる。
第1流体源324が、本体302の上部を通る第4導管326を介して、処理空間312に連結されうる。第1流体源324は概して、処理空間312に液体又は超臨界流体を提供するよう構成される。一実施形態では、第1流体源324は、超臨界COを供給するよう構成されうる。別の実施形態では、第1流体源324は、処理空間312に超臨界COを供給するよう構成されうる。この実施形態では、処理空間312内への進入に先立つ液体COの超臨界COへの移相を促進するために、加熱・加圧装置が第4導管326に連結されうる。第2流体源356は第1流体源324と同様に構成されうる。しかし、第2流体源356は、本体302の底部を通る第5導管358を介して、処理空間に連結されうる。液体CO及び/又は超臨界COの供給は、所望の処理特性に応じて、トップダウン方式(第1流体源324)又はボトムアップ方式(第2流体源356)から選択されうる。
稼働中、処理空間312の温度は、処理空間312に提供されるCOの温度によって、少なくとも部分的に制御されうる。加えて、液体CO及び/又は超臨界COは、約1回から約5回(例えば約3回)で処理空間全体が置換されるような量で、処理空間312に提供されうる。処理空間を反復的にターンオーバーさせることで、後続の超臨界乾燥工程における超臨界COの生成及び/又は処理空間312への供給に先立って、溶媒とCOとの混合が促進されうると、考えられている。ターンオーバーを促進し、かつ、処理空間312から流体及びガスを除去するために、処理空間312は、第6導管342を介して流体出口340に連結されうる。
チャンバ300は、ドア304に連結されうる基板支持体306も含み、バッフルプレート310が、処理空間312の中に可動式に配置されうる。一実施形態では、基板支持体306とドア304は単一の装置でありうる。別の実施形態では、基板支持体306は、ドア304に取り外し可能に連結されてよく、かつ、ドア304と関係なく動きうる。ドア304及び基板支持体306は、ステンレス鋼、アルミニウム、セラミック材料、ポリマー材料、又はそれらの組み合わせを含む、様々な材料から形成されうる。基板支持体306内には、加熱要素354も配置されていることがある。加熱要素354は、一実施形態では、抵抗性ヒータでありうる。別の実施形態では、加熱要素354は、基板支持体306内に形成された、流体で満たされたチャネルでありうる。加熱要素354は、処理空間312内における超臨界流体の生成又は維持を促進するために、処理空間312を加熱するよう構成されうる。
稼働中、基板支持体306は、本体302に形成された開口を介して処理空間312に進入してよく、ドア304は、基板支持体306が処理空間312の中に位置付けられると本体302に当接するよう、構成されうる。一実施形態では、基板支持体306は横方向に動くよう構成される。その結果として、距離348が最小化されうる。なぜなら、処理空間312の中での基板支持体306の垂直移動が不要になるからである。Oリングなどの密封部352が本体302に連結されてよく、この密封部352は、ポリマー材料などの弾性材料から形成されうる。通常、ドア304は、処理中に、処理空間312内での超臨界流体の生成又は維持に適する高圧環境に耐えるのに十分な力で、ボルトなどの連結装置(図示せず)を介して、本体302に固定されうる。
バッフルプレート310は、ステンレス鋼、アルミニウム、セラミック材料、石英材料、シリコン含有材料、又は、好適に構成されたその他の材料を含む、様々な材料から形成されうる。バッフルプレート310は、バッフルプレート310を、基板支持体に近づけるように、かつ基板支持体から離すように動かすよう構成された、アクチュエータ330に連結されうる。アクチュエータ330は、処理空間312の中でのバッフルプレート310の移動を促進するために、電気供給源などの電源328に連結されうる。
基板308は、処理中、基板支持体306に位置付けられうる。一実施形態では、基板308のデバイス側314は、このデバイス側314がバッフルプレート310の方を向かないように、基板支持体306に隣接して位置付けられうる。稼働中、バッフルプレート310は、基板308が処理空間312の中に位置付けられる時には、上昇位置にありうる。バッフルプレート310は、処理中には、基板308の直近の処理位置へと、アクチュエータ330を介して降ろされうる。処理後に、バッフルプレート310は上昇してよく、基板支持体306が、本体302の開口350を通って処理空間312から基板308を取り出しうる。バッフルプレート310を基板308及び基板支持体306の直近に位置付けることによって、溶媒、及び/又は、液体/超臨界COの処理空間312への導入中の、基板308のデバイス側314への粒子堆積が低減しうるか、又はなくなりうると、考えられている。
図4は、本書に記載の実施形態による、チャンバ300の側断面図を概略的に示している。図示している実施形態では、ライナ318は、処理空間312を全体的に取り囲み、画定しうる。この実施形態では、断熱要素316はライナ318を全体的に取り囲みうる。特定の実施形態では、断熱要素316がライナ318を全体的に取り囲まないこともある。例えば、ライナ318の短軸は、断熱要素316で覆われないことがある。
一又は複数の流体導管402が、本体302内に配置されうる。流体導管402は、第7導管406を介して、熱管理流体源404に連結されうる。流体源404は、本体302の温度を制御するために、流体導管402に水やエチレングリコールなどの流体を提供するよう構成されうる。したがって、流体導管402は、本体302を加熱又は冷却するのに、及び、チャンバ300の熱サイクルを促進するのに、利用されうる。
図5は、本書に記載の実施形態による、チャンバ300を包含する処理プラットフォーム500の側面図を概略的に示している。プラットフォーム500は、処理装置200又は処理装置200Aに類似しうることが、想定される。通常、チャンバ300は移送チャンバ206に連結されてよく、この両方のチャンバが、プラットフォーム500に配置されうる。図示している実施形態では、チャンバ300は、水平配向から角度付けされうるか、又はチルトしうる。この実施形態では、チャンバ300は、データム面によって画定される軸に対して、ある角度506で配置されうる。一実施形態では、チャンバ300のチルト配向を決定するこの角度506は、データム面504に対して約10°から約90°でありうる。チャンバ支持体502は、チャンバ300に連結されてよく、チルト配向でチャンバ300を支持するよう構成されうる。
チャンバ300のチルト配向により、有利には、基板308を処理空間312内に位置付ける前に処理空間312を溶媒で満たすことが、可能になりうる。その結果として、溶媒と基板308との接触が最大化されて、溶媒置換及び超臨界乾燥プロセスの実施に先立って基板308が乾燥することが、防止されうる。第6導管342が、処理空間312に、処理空間312内の実質的にすべての流体を収集するよう構成された配置で、連結されうる。換言すると、第6導管342は、処理空間312の「最底(lowest)」領域に連結されうる。ゆえに、処理空間から液体溶媒及び/又は液体COなどの流体を除去することが求められる時に、流体は、重力を利用する効率的な様態で、流体出口340へと流出しうる。
本書に記載の実施形態は、加圧基板処理工程を実施するための改良型のチャンバを提供する。このチャンバは、温度サイクルを可能にするために、処理空間に隣接する小熱質量部を用いる。加えて、チャンバの温度が、より効率的かつ適時な様態で制御されうる。ゆえに、超臨界乾燥プロセスが、スループット及び処理結果の向上を伴って実装されうる。
以上の説明は本開示の実施形態を対象としているが、本開示の基本的な範囲を逸脱することなく本開示の他の実施形態及び更なる実施形態が考案されてよく、本開示の範囲は、以下の特許請求の範囲によって決定される。

Claims (15)

  1. 高圧で稼働する処理空間を画定するチャンバ本体であって、
    前記処理空間に隣接して前記チャンバ本体に配置されたライナ、及び、
    前記ライナに隣接して前記チャンバ本体に配置された断熱要素であって、前記チャンバ本体及び前記ライナの熱膨張率に類似した熱膨張率を有する、断熱要素を備える、チャンバ本体と、
    前記処理空間の中に配置された基板支持体と、
    前記処理空間の中に配置されたバッフルプレートとを備える、基板処理装置。
  2. 前記ライナが、前記チャンバ本体と比べて小さい熱質量を有する、請求項1に記載の装置。
  3. 前記基板支持体が、前記処理空間に出入りするように動くよう構成される、請求項1に記載の装置。
  4. 前記基板支持体が加熱要素に連結される、請求項3に記載の装置。
  5. 前記バッフルプレートが、前記処理空間の中で前記バッフルプレートを動かすためのアクチュエータに連結され、前記アクチュエータが、前記バッフルプレートを上昇させ、下降させるよう構成される、請求項1に記載の装置。
  6. 移送チャンバ及び処理チャンバが連結されているプラットフォームを備える、基板処理装置であって、前記処理チャンバが前記移送チャンバに対してチルト角度に配置されており、前記処理チャンバが、
    高圧で稼働するよう構成された処理空間を画定するチャンバ本体であって、
    前記処理空間に隣接して前記チャンバ本体に配置されたライナ、及び、
    前記ライナに隣接して前記チャンバ本体に配置された断熱要素であって、前記チャンバ本体及び前記ライナの熱膨張率に類似した熱膨張率を有する、断熱要素を備える、チャンバ本体と、
    前記処理空間の中に配置された基板支持体と、
    前記処理空間の中に配置されたバッフルプレートとを備える、基板処理装置。
  7. 前記ライナが、前記チャンバ本体と比べて小さい熱質量を有する、請求項6に記載の装置。
  8. 前記基板支持体が、前記処理空間に出入りするように動くよう構成される、請求項6に記載の装置。
  9. 前記基板支持体が加熱要素に連結される、請求項8に記載の装置。
  10. 前記バッフルプレートが、前記処理空間の中で前記バッフルプレートを動かすよう構成されたアクチュエータに連結され、前記アクチュエータが、前記バッフルプレートを上昇させ、下降させるよう構成される、請求項6に記載の装置。
  11. 前記バッフルプレートが、ステンレス鋼、アルミニウム、セラミック材料、及び石英材料からなる群から選択された材料から形成される、請求項6に記載の装置。
  12. 前記チルト角度が10°から90°である、請求項6に記載の装置。
  13. 処理チャンバ内の基板支持体に基板を配置することであって、前記基板支持体が重力に対してチルトしている、基板を配置することと、
    前記基板を少なくとも部分的に浸漬する量の溶媒を前記処理チャンバに導入することと、
    前記基板の上方にバッフルプレートを位置付けることと、
    前記処理チャンバに超臨界COを提供することと、
    前記基板を前記超臨界COに曝露することとを含む、基板処理方法。
  14. 前記超臨界COの生成に先立って、前記バッフルプレートが前記基板支持体の直近へと動かされる、請求項13に記載の方法。
  15. 前記処理チャンバにCOを含む液体を提供することを更に含む、請求項13に記載の方法。
JP2021123267A 2015-10-04 2021-07-28 熱質量が小さい加圧チャンバ Active JP7223075B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201562236912P 2015-10-04 2015-10-04
US62/236,912 2015-10-04
JP2019232387A JP6921931B2 (ja) 2015-10-04 2019-12-24 熱質量が小さい加圧チャンバ

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2019232387A Division JP6921931B2 (ja) 2015-10-04 2019-12-24 熱質量が小さい加圧チャンバ

Publications (2)

Publication Number Publication Date
JP2021184479A true JP2021184479A (ja) 2021-12-02
JP7223075B2 JP7223075B2 (ja) 2023-02-15

Family

ID=58448036

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2018517340A Active JP6639657B2 (ja) 2015-10-04 2016-09-12 熱質量が小さい加圧チャンバ
JP2019232387A Active JP6921931B2 (ja) 2015-10-04 2019-12-24 熱質量が小さい加圧チャンバ
JP2021123267A Active JP7223075B2 (ja) 2015-10-04 2021-07-28 熱質量が小さい加圧チャンバ

Family Applications Before (2)

Application Number Title Priority Date Filing Date
JP2018517340A Active JP6639657B2 (ja) 2015-10-04 2016-09-12 熱質量が小さい加圧チャンバ
JP2019232387A Active JP6921931B2 (ja) 2015-10-04 2019-12-24 熱質量が小さい加圧チャンバ

Country Status (6)

Country Link
US (2) US10304703B2 (ja)
JP (3) JP6639657B2 (ja)
KR (3) KR102314667B1 (ja)
CN (2) CN108140542B (ja)
TW (2) TWI688437B (ja)
WO (1) WO2017062134A1 (ja)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6559087B2 (ja) * 2016-03-31 2019-08-14 東京エレクトロン株式会社 基板処理装置
US11482434B2 (en) 2016-10-18 2022-10-25 Belting E-Town Semiconductor Technology Co., Ltd Systems and methods for workpiece processing
CN107218788A (zh) * 2017-06-16 2017-09-29 泰州市姜堰新型纺织有限公司 一种特殊纺织面料烘干装置
JP7301575B2 (ja) * 2018-05-15 2023-07-03 東京エレクトロン株式会社 基板処理方法、記憶媒体及び基板処理装置
US10943805B2 (en) * 2018-05-18 2021-03-09 Applied Materials, Inc. Multi-blade robot apparatus, electronic device manufacturing apparatus, and methods adapted to transport multiple substrates in electronic device manufacturing
KR102642790B1 (ko) * 2018-08-06 2024-03-05 어플라이드 머티어리얼스, 인코포레이티드 처리 챔버를 위한 라이너
JP7353213B2 (ja) * 2020-02-28 2023-09-29 株式会社Screenホールディングス 基板処理装置および基板処理方法
KR20230033256A (ko) 2021-08-31 2023-03-08 삼성전자주식회사 기판 이송 유닛, 기판 처리 장치, 및 기판 처리 방법
JP7345016B1 (ja) 2022-06-03 2023-09-14 セメス カンパニー,リミテッド 基板処理装置及び方法
KR20240071620A (ko) 2022-11-16 2024-05-23 세메스 주식회사 베셀 부재 및 이를 포함하는 초임계 처리 챔버

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003051474A (ja) * 2001-08-03 2003-02-21 Kobe Steel Ltd 高圧処理装置
JP2004327894A (ja) * 2003-04-28 2004-11-18 Nippon Telegr & Teleph Corp <Ntt> 超臨界乾燥方法及び超臨界乾燥装置
JP2007049065A (ja) * 2005-08-12 2007-02-22 Ntt Advanced Technology Corp 超臨界処理装置
JP2010045170A (ja) * 2008-08-12 2010-02-25 Hitachi High-Technologies Corp 試料載置電極
JP2011040572A (ja) * 2009-08-11 2011-02-24 Toshiba Corp 基板処理装置および基板処理方法
US20120304485A1 (en) * 2011-05-30 2012-12-06 Tokyo Electron Limited Substrate processing method, substrate processing apparatus, and storage medium
US20130025155A1 (en) * 2011-07-29 2013-01-31 Semes Co., Ltd. Apparatus and method for treating substrate
JP2013030502A (ja) * 2011-07-26 2013-02-07 Tokyo Electron Ltd 処理装置、処理方法及び記憶媒体
JP2013033964A (ja) * 2011-07-29 2013-02-14 Semes Co Ltd 基板処理装置及び基板処理方法
JP2013179244A (ja) * 2011-05-30 2013-09-09 Toshiba Corp 基板処理方法、基板処理装置および記憶媒体
US20130327363A1 (en) * 2012-06-08 2013-12-12 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
JP2018530919A (ja) * 2015-10-04 2018-10-18 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 容積が縮小された処理チャンバ

Family Cites Families (111)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2906017B2 (ja) 1993-11-18 1999-06-14 東京エレクトロン株式会社 塗布装置
US5417768A (en) 1993-12-14 1995-05-23 Autoclave Engineers, Inc. Method of cleaning workpiece with solvent and then with liquid carbon dioxide
KR0171945B1 (ko) 1995-09-29 1999-03-30 김주용 반도체소자의 금속배선 형성방법
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
JPH1064983A (ja) * 1996-08-16 1998-03-06 Sony Corp ウエハステージ
JPH10135171A (ja) 1996-10-29 1998-05-22 Dainippon Screen Mfg Co Ltd 基板処理装置
US6306564B1 (en) 1997-05-27 2001-10-23 Tokyo Electron Limited Removal of resist or residue from semiconductors using supercritical carbon dioxide
KR19990061826A (ko) * 1997-12-31 1999-07-26 윤종용 반도체장치의 챔버에 웨이퍼를 로딩하는 방법 및 반도체장치의챔버
KR100452542B1 (ko) * 1998-04-14 2004-10-12 가부시끼가이샤가이죠 세정물 건조장치 및 건조방법
US6277737B1 (en) * 1998-09-02 2001-08-21 Micron Technology, Inc. Semiconductor processing methods and integrated circuitry
US6168668B1 (en) 1998-11-25 2001-01-02 Applied Materials, Inc. Shadow ring and guide for supporting the shadow ring in a chamber
US6090217A (en) * 1998-12-09 2000-07-18 Kittle; Paul A. Surface treatment of semiconductor substrates
JP2000340540A (ja) 1999-05-31 2000-12-08 Hitachi Koki Co Ltd 超臨界乾燥装置
US6508259B1 (en) 1999-08-05 2003-01-21 S.C. Fluids, Inc. Inverted pressure vessel with horizontal through loading
US6334266B1 (en) 1999-09-20 2002-01-01 S.C. Fluids, Inc. Supercritical fluid drying system and method of use
US6496648B1 (en) * 1999-08-19 2002-12-17 Prodeo Technologies, Inc. Apparatus and method for rapid thermal processing
JP2001077074A (ja) 1999-08-31 2001-03-23 Kobe Steel Ltd 半導体ウエハ等の洗浄装置
US6286231B1 (en) 2000-01-12 2001-09-11 Semitool, Inc. Method and apparatus for high-pressure wafer processing and drying
US6562146B1 (en) 2001-02-15 2003-05-13 Micell Technologies, Inc. Processes for cleaning and drying microelectronic structures using liquid or supercritical carbon dioxide
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US20030026677A1 (en) 2001-08-03 2003-02-06 Kabushiki Kaisha Kobe Seiko Sho (Kobe Steel, Ltd) High-pressure process apparatus
JP3944368B2 (ja) 2001-09-05 2007-07-11 株式会社荏原製作所 基板処理装置及び基板処理方法
US20030084918A1 (en) * 2001-11-07 2003-05-08 Kim Yong Bae Integrated dry-wet processing apparatus and method for removing material on semiconductor wafers using dry-wet processes
JP4011900B2 (ja) 2001-12-04 2007-11-21 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US6848458B1 (en) 2002-02-05 2005-02-01 Novellus Systems, Inc. Apparatus and methods for processing semiconductor substrates using supercritical fluids
US6843855B2 (en) 2002-03-12 2005-01-18 Applied Materials, Inc. Methods for drying wafer
JP3782366B2 (ja) 2002-03-20 2006-06-07 日本電信電話株式会社 超臨界処理方法及び超臨界処理装置
CN1642665A (zh) 2002-03-22 2005-07-20 东京毅力科创株式会社 用超临界工艺清除杂质
JP3939178B2 (ja) 2002-03-25 2007-07-04 大日本スクリーン製造株式会社 高圧乾燥装置、高圧乾燥方法および基板処理装置
TW554075B (en) 2002-04-17 2003-09-21 Grand Plastic Technology Corp Puddle etching method of thin film using spin processor
US6764552B1 (en) 2002-04-18 2004-07-20 Novellus Systems, Inc. Supercritical solutions for cleaning photoresist and post-etch residue from low-k materials
JP4133209B2 (ja) 2002-10-22 2008-08-13 株式会社神戸製鋼所 高圧処理装置
US7384484B2 (en) 2002-11-18 2008-06-10 Dainippon Screen Mfg. Co., Ltd. Substrate processing method, substrate processing apparatus and substrate processing system
JP4000052B2 (ja) 2002-12-05 2007-10-31 大日本スクリーン製造株式会社 基板処理装置
US6935352B2 (en) * 2003-01-10 2005-08-30 S.C. Fluids, Inc. Adding energy to a cleaning process fluid for removing photo resist, residues and particles from semiconductor substrates, photo masks, reticles, disks and flat-panel displays
JP2004249189A (ja) 2003-02-19 2004-09-09 Sony Corp 洗浄方法
US20040198066A1 (en) 2003-03-21 2004-10-07 Applied Materials, Inc. Using supercritical fluids and/or dense fluids in semiconductor applications
JP4560040B2 (ja) 2003-03-31 2010-10-13 ラム リサーチ コーポレーション ウエハ処理のためのチャンバおよび方法
JP4085870B2 (ja) 2003-04-02 2008-05-14 株式会社日立ハイテクサイエンスシステムズ 微細構造乾燥法とその装置及びそのシステム
KR100597656B1 (ko) 2003-10-02 2006-07-07 그린텍이십일 주식회사 반도체의 제조를 위한 세정방법 및 세정장치
JP4247087B2 (ja) * 2003-10-07 2009-04-02 株式会社日立ハイテクサイエンスシステムズ 微細構造乾燥処理方法及びその装置
JP2005138063A (ja) 2003-11-10 2005-06-02 Mitsubishi Materials Corp 超臨界二酸化炭素とオゾンによる洗浄方法
JP4464125B2 (ja) 2003-12-22 2010-05-19 ソニー株式会社 構造体の作製方法及びシリコン酸化膜エッチング剤
JP4372590B2 (ja) * 2004-03-26 2009-11-25 株式会社日立ハイテクノロジーズ 微細構造乾燥処理方法及びその装置
CN1960813A (zh) 2004-05-07 2007-05-09 高级技术材料公司 在制备集成电路产品过程中用于干燥构图晶片的组合物和方法
JP4393268B2 (ja) 2004-05-20 2010-01-06 株式会社神戸製鋼所 微細構造体の乾燥方法
US20050274396A1 (en) 2004-06-09 2005-12-15 Hong Shih Methods for wet cleaning quartz surfaces of components for plasma processing chambers
US20060130966A1 (en) 2004-12-20 2006-06-22 Darko Babic Method and system for flowing a supercritical fluid in a high pressure processing system
US7611124B2 (en) 2004-12-22 2009-11-03 Tokyo Electron Limited Vacuum processing apparatus
TWI245322B (en) 2004-12-31 2005-12-11 Ind Tech Res Inst Substrate cleaning system and process thereof
US20060223899A1 (en) 2005-03-30 2006-10-05 Hillman Joseph T Removal of porogens and porogen residues using supercritical CO2
KR20070017255A (ko) 2005-08-06 2007-02-09 삼성전자주식회사 플라즈마 장치의 반도체 기판 고정 장치
KR100730348B1 (ko) 2005-10-04 2007-06-19 삼성전자주식회사 미세 구조물의 제조 방법
US20070093406A1 (en) 2005-10-24 2007-04-26 Omoregie Henryson Novel cleaning process for masks and mask blanks
JP2007142335A (ja) 2005-11-22 2007-06-07 Dainippon Screen Mfg Co Ltd 高圧処理方法
TWI457193B (zh) * 2006-03-02 2014-10-21 Sumitomo Heavy Industries Stage device
US8440049B2 (en) 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
KR100837325B1 (ko) 2006-05-24 2008-06-11 삼성전자주식회사 초임계 유체를 이용한 식각, 세정 및 건조 방법들 및 이를위한 챔버 시스템
US8084367B2 (en) 2006-05-24 2011-12-27 Samsung Electronics Co., Ltd Etching, cleaning and drying methods using supercritical fluid and chamber systems using these methods
US7772128B2 (en) 2006-06-09 2010-08-10 Lam Research Corporation Semiconductor system with surface modification
JP4787103B2 (ja) 2006-07-28 2011-10-05 東京エレクトロン株式会社 液処理装置
JP2008034779A (ja) 2006-06-27 2008-02-14 Dainippon Screen Mfg Co Ltd 基板処理方法および基板処理装置
KR100902330B1 (ko) 2006-06-29 2009-06-12 주식회사 아이피에스 반도체공정장치
JP4994121B2 (ja) * 2006-08-10 2012-08-08 東京エレクトロン株式会社 静電吸着電極、基板処理装置および静電吸着電極の製造方法
KR100822373B1 (ko) * 2006-09-12 2008-04-17 세메스 주식회사 초임계 유체를 이용한 기판 건조 장치, 이를 구비한 기판처리 설비 및 기판 처리 방법
JP2008073611A (ja) 2006-09-21 2008-04-03 Dainippon Screen Mfg Co Ltd 高圧処理装置
JP4840813B2 (ja) 2006-10-16 2011-12-21 ルネサスエレクトロニクス株式会社 半導体製造方法
JP2008159789A (ja) 2006-12-22 2008-07-10 Renesas Technology Corp 半導体装置の製造方法
KR100876758B1 (ko) 2006-12-26 2009-01-08 주식회사 하이닉스반도체 반도체 소자의 제조방법
US11136667B2 (en) * 2007-01-08 2021-10-05 Eastman Kodak Company Deposition system and method using a delivery head separated from a substrate by gas pressure
TW200834782A (en) * 2007-02-07 2008-08-16 Applied Materials Inc Disposable liners for etch chambers and etch chamber components
US7753353B2 (en) 2007-03-14 2010-07-13 Xerox Corporation Vertical sheet compiling apparatus and methods of vertically compiling sheets
US8057601B2 (en) 2007-05-09 2011-11-15 Applied Materials, Inc. Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber
US20080302303A1 (en) 2007-06-07 2008-12-11 Applied Materials, Inc. Methods and apparatus for depositing a uniform silicon film with flow gradient designs
US20090013085A1 (en) * 2007-06-18 2009-01-08 Hadas Liberman Ben-Ami Interaction-management methods and platform for client-agent interaction-related environments
WO2009055834A2 (en) * 2007-10-27 2009-04-30 Hyperflo Llc Cyclic nucleation process
US8084406B2 (en) 2007-12-14 2011-12-27 Lam Research Corporation Apparatus for particle removal by single-phase and two-phase media
US8153533B2 (en) 2008-09-24 2012-04-10 Lam Research Methods and systems for preventing feature collapse during microelectronic topography fabrication
KR101044408B1 (ko) 2009-05-27 2011-06-27 세메스 주식회사 기판 처리 방법
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
JP5494146B2 (ja) 2010-04-05 2014-05-14 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP2012049446A (ja) 2010-08-30 2012-03-08 Toshiba Corp 超臨界乾燥方法及び超臨界乾燥システム
US9004086B2 (en) 2010-11-04 2015-04-14 Lam Research Corporation Methods and apparatus for displacing fluids from substrates using supercritical CO2
JP5685918B2 (ja) 2010-12-10 2015-03-18 富士通株式会社 半導体装置の製造方法
WO2012122054A2 (en) * 2011-03-04 2012-09-13 Novellus Systems, Inc. Hybrid ceramic showerhead
JP5450494B2 (ja) 2011-03-25 2014-03-26 株式会社東芝 半導体基板の超臨界乾燥方法
JP2012209285A (ja) * 2011-03-29 2012-10-25 Dainippon Screen Mfg Co Ltd 基板処理装置
WO2012133583A1 (ja) 2011-03-30 2012-10-04 大日本印刷株式会社 超臨界乾燥装置及び超臨界乾燥方法
JP5985156B2 (ja) 2011-04-04 2016-09-06 東京エレクトロン株式会社 半導体基板の超臨界乾燥方法及び装置
JP5708506B2 (ja) * 2011-04-20 2015-04-30 東京エレクトロン株式会社 処理装置
KR101572746B1 (ko) * 2011-05-30 2015-11-27 도쿄엘렉트론가부시키가이샤 기판 처리 방법, 기판 처리 장치 및 기억 매체
JP5843277B2 (ja) 2011-07-19 2016-01-13 株式会社東芝 半導体基板の超臨界乾燥方法及び装置
JP2013033963A (ja) * 2011-07-29 2013-02-14 Semes Co Ltd 基板処理装置及び基板処理方法
JP5712902B2 (ja) 2011-11-10 2015-05-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
KR101874901B1 (ko) * 2011-12-07 2018-07-06 삼성전자주식회사 기판 건조 장치 및 방법
KR101329317B1 (ko) * 2011-12-07 2013-11-25 한국과학기술연구원 기판건조장치 및 기판건조방법
US8534659B2 (en) 2011-12-13 2013-09-17 United Microelectronics Corp. Substrate carrier and applications thereof
JP5470409B2 (ja) 2012-01-27 2014-04-16 ジルトロニック アクチエンゲゼルシャフト 洗浄装置、洗浄設備および洗浄方法
JP2013154315A (ja) 2012-01-31 2013-08-15 Ricoh Co Ltd 薄膜形成装置、薄膜形成方法、電気−機械変換素子、液体吐出ヘッド、およびインクジェット記録装置
CN103295936B (zh) * 2012-02-29 2016-01-13 斯克林集团公司 基板处理装置及基板处理方法
US9587880B2 (en) 2012-05-31 2017-03-07 Semes Co., Ltd. Apparatus and method for drying substrate
JP6010398B2 (ja) 2012-08-31 2016-10-19 株式会社Screenホールディングス 基板処理装置
TWI627667B (zh) * 2012-11-26 2018-06-21 應用材料股份有限公司 用於高深寬比半導體元件結構具有污染物去除之無黏附乾燥處理
KR101451244B1 (ko) 2013-03-22 2014-10-15 참엔지니어링(주) 라이너 어셈블리 및 이를 구비하는 기판 처리 장치
KR102098071B1 (ko) * 2013-09-27 2020-04-07 엘지디스플레이 주식회사 가스분사유닛 및 이를 구비하는 기판처리장치
US10391526B2 (en) 2013-12-12 2019-08-27 Lam Research Corporation Electrostatic chuck cleaning fixture
JP6287750B2 (ja) 2013-12-27 2018-03-07 東京エレクトロン株式会社 基板液処理装置
US9236284B2 (en) 2014-01-31 2016-01-12 Applied Materials, Inc. Cooled tape frame lift and low contact shadow ring for plasma heat isolation
KR102346064B1 (ko) 2014-03-12 2021-12-30 어플라이드 머티어리얼스, 인코포레이티드 반도체 챔버에서의 웨이퍼 회전
JP6005702B2 (ja) 2014-09-18 2016-10-12 株式会社東芝 半導体基板の超臨界乾燥方法および基板処理装置
JP2016211030A (ja) * 2015-05-07 2016-12-15 日新電機株式会社 真空処理装置

Patent Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003051474A (ja) * 2001-08-03 2003-02-21 Kobe Steel Ltd 高圧処理装置
JP2004327894A (ja) * 2003-04-28 2004-11-18 Nippon Telegr & Teleph Corp <Ntt> 超臨界乾燥方法及び超臨界乾燥装置
JP2007049065A (ja) * 2005-08-12 2007-02-22 Ntt Advanced Technology Corp 超臨界処理装置
JP2010045170A (ja) * 2008-08-12 2010-02-25 Hitachi High-Technologies Corp 試料載置電極
JP2011040572A (ja) * 2009-08-11 2011-02-24 Toshiba Corp 基板処理装置および基板処理方法
JP2013179244A (ja) * 2011-05-30 2013-09-09 Toshiba Corp 基板処理方法、基板処理装置および記憶媒体
US20120304485A1 (en) * 2011-05-30 2012-12-06 Tokyo Electron Limited Substrate processing method, substrate processing apparatus, and storage medium
JP2013030502A (ja) * 2011-07-26 2013-02-07 Tokyo Electron Ltd 処理装置、処理方法及び記憶媒体
US20130025155A1 (en) * 2011-07-29 2013-01-31 Semes Co., Ltd. Apparatus and method for treating substrate
JP2013033962A (ja) * 2011-07-29 2013-02-14 Semes Co Ltd 基板処理装置及び基板処理方法
JP2013033964A (ja) * 2011-07-29 2013-02-14 Semes Co Ltd 基板処理装置及び基板処理方法
US20130327363A1 (en) * 2012-06-08 2013-12-12 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
JP2013254904A (ja) * 2012-06-08 2013-12-19 Tokyo Electron Ltd 基板処理装置及び基板処理方法
JP2018530919A (ja) * 2015-10-04 2018-10-18 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 容積が縮小された処理チャンバ

Also Published As

Publication number Publication date
JP2018530921A (ja) 2018-10-18
KR20200138839A (ko) 2020-12-10
KR20180054876A (ko) 2018-05-24
US10304703B2 (en) 2019-05-28
KR102062873B1 (ko) 2020-01-06
CN108140542A (zh) 2018-06-08
US11424137B2 (en) 2022-08-23
KR102189211B1 (ko) 2020-12-09
JP6921931B2 (ja) 2021-08-18
US20170098555A1 (en) 2017-04-06
TW202034395A (zh) 2020-09-16
TWI688437B (zh) 2020-03-21
KR20200004441A (ko) 2020-01-13
JP2020065067A (ja) 2020-04-23
JP6639657B2 (ja) 2020-02-05
WO2017062134A1 (en) 2017-04-13
JP7223075B2 (ja) 2023-02-15
TWI762868B (zh) 2022-05-01
CN115527897A (zh) 2022-12-27
TW201713418A (zh) 2017-04-16
US20190273002A1 (en) 2019-09-05
KR102314667B1 (ko) 2021-10-20
CN108140542B (zh) 2022-10-18

Similar Documents

Publication Publication Date Title
JP6921931B2 (ja) 熱質量が小さい加圧チャンバ
JP6905009B2 (ja) 基板支持とバッフルの装置
JP6703100B2 (ja) 容積が縮小された処理チャンバ
JP6644881B2 (ja) 高アスペクト比フィーチャ向けの乾燥プロセス
JP2018531511A6 (ja) 高アスペクト比フィーチャ向けの乾燥プロセス

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210823

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210823

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220916

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220927

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221214

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230110

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230203

R150 Certificate of patent or registration of utility model

Ref document number: 7223075

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150