JP2011176321A - 転写リソグラフィ・プロセスのための自動液体ディスペンス方法およびシステム - Google Patents

転写リソグラフィ・プロセスのための自動液体ディスペンス方法およびシステム Download PDF

Info

Publication number
JP2011176321A
JP2011176321A JP2011051870A JP2011051870A JP2011176321A JP 2011176321 A JP2011176321 A JP 2011176321A JP 2011051870 A JP2011051870 A JP 2011051870A JP 2011051870 A JP2011051870 A JP 2011051870A JP 2011176321 A JP2011176321 A JP 2011176321A
Authority
JP
Japan
Prior art keywords
template
substrate
liquid
gap
transfer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2011051870A
Other languages
English (en)
Other versions
JP5325914B2 (ja
Inventor
Byung Jin Choi
チョイ,ビュン・ジン
Matthew Colburn
コルバーン,マシュー
S V Sreenivasan
スリーニバサン,エス・ブイ
Todd Bailey
ベイリー,トッド
C Grant Willson
ウィルソン,シイ・グラント
John Eckerdt
エッカート,ジョン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
University of Texas System
Original Assignee
University of Texas System
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by University of Texas System filed Critical University of Texas System
Publication of JP2011176321A publication Critical patent/JP2011176321A/ja
Application granted granted Critical
Publication of JP5325914B2 publication Critical patent/JP5325914B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/164Coating processes; Apparatus therefor using electric, electrostatic or magnetic means; powder coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/2901Shape
    • H01L2224/29012Shape in top view
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/30Structure, shape, material or disposition of the layer connectors prior to the connecting process of a plurality of layer connectors
    • H01L2224/3001Structure
    • H01L2224/3003Layer connectors having different sizes, e.g. different heights or widths
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/30Structure, shape, material or disposition of the layer connectors prior to the connecting process of a plurality of layer connectors
    • H01L2224/301Disposition
    • H01L2224/3012Layout
    • H01L2224/3016Random layout, i.e. layout with no symmetry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01067Holmium [Ho]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/146Mixed devices
    • H01L2924/1461MEMS

Landscapes

  • Engineering & Computer Science (AREA)
  • Nanotechnology (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Shaping Of Tube Ends By Bending Or Straightening (AREA)
  • Application Of Or Painting With Fluid Materials (AREA)

Abstract

【課題】本発明は、転写リソグラフィ・プロセスに適用可能な液体ディスペンス・システム及びディスペンス方法を提案できるようにする。
【解決手段】平板状の物質または転写リソグラフィ・プロセス用の半導体ウエハを含む基板の表面に液体をディスペンスする自動液体ディスペンス方法とシステムが開示されている。本ディスペンス方法は液体ディスペンサ・チップと基板との間の相対的な動きを生成する基板ステージと液体ディスペンサを使用する。さらに、パターン化されていない平坦なテンプレートを用いて基板表面を平坦にする方法と装置も開示されている。
【選択図】図3

Description

(発明の背景)
1.発明の分野
本発明は一般に転写リソグラフィ(imprint lithography)・プロセスに適用することができる液体ディスペンス・システムおよびディスペンス方法に関する。
2.関連技術の説明
転写リソグラフィは、サイズが50nm未満のフィーチャを基板に印刷することができる技法である。転写リソグラフィは、100nm未満のレジームにおける半導体製造のための選択肢として、フォトリソグラフィに取って代わる潜在力を有している。いくつかの転写リソグラフィ・プロセスが1990年代の間に導入されたが、それらのほとんどは、フォトリソグラフィに取って代わる実際的な代用として使用できない複数の限界を有している。これら従来技術の限界として、例えば温度による変化が大きいこと、高圧を必要とすること、およびフレキシブル・テンプレートを使用しなければならないことが挙げられる。
最近では、高分解能パターンを室温で低圧を使用して水晶テンプレートから基板表面へ転写するために転写リソグラフィ・プロセスを使用することがある。ステップ・アンド・フラッシュ転写リソグラフィ(SFIL:Step and Flash Imprint Lithography)プロセスでは、硬い水晶テンプレートが、光硬化液体材料の中で基板表面と間接的に接触している。光を当てることによって液剤が硬化し、テンプレートのパターンが硬化した液に転写される。
硬くて透明なテンプレートを使用することにより、高分解能オーバレイをSFILプロセスの一部として組み込むことができ、また、光硬化によって低圧かつ室温で処理することができる低粘性液剤を使用することにより、望ましくない層ひずみは最低限となる。層ひずみは、オーバレイ・アライメント(重ね整列)の実施を極めて困難にしている。
気泡および局部変形は、転写リソグラフィによって製造されたデバイスの大きな欠陥の原因になっている。いくつかの転写プロセスで使用されている高転写圧は、オーバレイ・アライメントを極端に困難にするひずみの原因になっている。転写リソグラフィを成功させるためには、転写リソグラフィに使用される液体を、100nm未満のレベルの微小面積および微小体積で塗布することが重要である。
液体の薄層を基板に加えるための従来技術のプロセスでは、スピン被覆方式を使用する必要があった。スピン被覆方式は、基板への比較的粘性の高い(例えば、約20センチポアズ(CPS)以上)液体の塗布を利用している。高粘性液体を使用すれば、基板への液体の一様な分布が可能になる。
発明の要旨
基板にパターンを形成する方法は、基板へ光硬化液を設けることによって達成される。光硬化液は光が存在する中で化学変化する組成物である。化学変化をもたらす光には、紫外光(例えば、約300nmと約400nmの間の波長を有する光)、化学光、可視光、赤外光、および電子ビーム源およびx線源などの放射源がある。化学変化は様々な形で現われる。化学変化には、他にもあるが、重合をもたらすあらゆる化学反応が含まれている。実施形態の中には、化学変化によって、レンズを形成している組成物内に、化学重合反応を開始させることができる開始剤を形成している実施態様もある。
一実施態様では、光硬化組成物はフォトレジスト組成物である。フォトレジスト組成物には、UV光への露出によって硬化するあらゆる組成物が含まれている。フォトレジスト組成物の特徴は、光(例えば紫外光)に露出される組成物部分のみが化学反応を引き起こすことである。半導体産業において広く使用されている任意の様々なフォトレジスト剤を使用することができる。一実施態様では、光硬化組成物にはアシル化モノマーが含まれている。
ほとんどのフォトリソグラフィック・プロセスでは、通常、フォトレジスト剤は、高い粘性(約20センチポアズ(cps)以上)を有している。転写リソグラフィの場合、粘性の高い液体を使用することにより、100nm未満の構造を製造することがますます困難になる。低粘性の液体により、100nm未満の構造のはるかに正確な再生産物が製造されることが分かっている。一実施態様では、光硬化液は、約20cps未満の粘性を有しており、この粘性は、約10cps未満であることが好ましく、約5cps未満であることがより好ましい。
光硬化液が基板に塗布されると、光硬化液が塗布された基板部分の上に、パターン化されたテンプレートが配向される。半導体を処理する場合、単一基板上に複数の半導体デバイスが形成される。複数の層に個々の半導体デバイスが形成される。これらの層は、それぞれその前に形成された層の上に逐次形成される。半導体デバイスの個々のコンポーネントのフィーチャ・サイズが小さいため、半導体デバイスが正しく機能するためには、他の層に対する各層のアライメントが極めて重要である。硬化に先立って、新しく形成される層とその下に位置している層を確実に整合させるために、テンプレートおよび基板が正しく整列される。
テンプレートと基板のアライメントが完了すると、処理が完了し、硬化光が光硬化液に照射される。硬化光により少なくとも光硬化液の一部が硬化する。光硬化液が少なくとも部分的に硬化すると、テンプレートが除去され、硬化した光硬化液に、テンプレート上にエッチングされたパターンの相補をなす構造が残される。
基板への光硬化液の塗布は、様々な方法で達成することができる。一実施態様では、液体ディスペンサが転写リソグラフィ・デバイスの頂部フレームに結合されている。液体ディスペンサは、基板上に光硬化液をディスペンスするようになされている。液体ディスペンサは、液体の滴または連続した流れを基板に塗布するようになされている。使用することができる液体ディスペンサの例としては、他にもあるが、変位ベース液体ディスペンサ、マイクロ電磁弁液体ディスペンサおよび圧電作動液体ディスペンサがある。液体ディスペンサを使用することにより、液体を所定のパターンで基板に塗布することができる。所定のパターンは、一本の線であっても、複数の線であっても、あるいは滴のパターンであっても良い。
一実施態様では、液体ディスペンサは、転写リソグラフィ・デバイスのフレームに結合されている。また、フレームには、テンプレートを備えた配向ステージが結合されている。基板は、配向ステージの下側に配置された基板ステージ上に取り付けられている。基板ステージは、基板を、テンプレートに実質的に平行な平面内を制御可能に移動させるようになっている。光硬化液は、液体ディスペンサに対して基板を移動させ、かつ、基板に加える液体の量を制御することによって基板に塗布される。この方法によれば、液体を様々なパターンで基板に加えることができる。このようなパターンは、テンプレートと基板の間の気泡あるいはポケットの形成を最小化するために、あるいは除去するために、予め定められている。使用中、基板の近傍にテンプレートが位置付けされると、液体が分散し、テンプレートと基板の間のギャップが充填される。ギャップが充填される際に、液体がギャップを充填していくにつれて気泡またはポケットが出現する。気泡あるいはポケットは、ギャップが充填される前に液体が閉ループを形成するようなパターンによって形成される。実施態様の中には、閉ループ状態が回避されるようなパターンが予め定められている実施態様もある。気泡およびポケットの形成を最少化するために使用されるパターンには、正弦波パターン、Xパターン、および複数の液体滴を含むパターンがある。
転写リソグラフィのプロセスは、基板に平らな表面を生成するためにも使用される。本明細書において使用されている平面率とは、基板表面の曲率の変化として定義したものである。例えば1μmの平面率は、表面の曲率が平らな表面となっている中心点の上および/または下に1μmだけ変化していることを表している。一実施態様では、基板上に平らな硬化層が生成するために、パターン化されていない実質的に平らなテンプレートを使用する。この平らなテンプレートの平面率は、約500nm未満である。表面を平らにするために、表面に光硬化液が配置される。パターン化されていない実質的に平らなテンプレートを液体に接触させ、光硬化液に向けて硬化光を導くことにより、平らな硬化液体層が基板表面に形成される。
パターン化されたテンプレートまたはパターン化されていないテンプレートのいずれかが、基板表面に配置された液体と接触すると、液体によってテンプレートに変形力が印加される。この変形力は、所望の転写フィーチャを変えるようなやり方でテンプレートを変形させる。実施態様の中には、この変形力を使用して、基板に対するテンプレートの位置決めを自己補正しているものもある。ほとんどの実施態様においては、テンプレートと基板が平行であることが望ましい。基板およびテンプレートは、いずれもその表面に複数の不規則フィーチャを備えているため、本明細書に使用されている「平行配向」は、中心線(すなわち、テンプレートまたは基板の中心を通って引かれた垂線)が互いに平行であることを意味するように用いられている。実施態様の中には、本明細書で開示するデバイスを使用して、テンプレートを基板に対して実質的に平行配列で位置付けしている実施態様もある。このデバイスは、表面に対するテンプレートの正確な位置決めを可能にするアクチュエータおよびたわみ部材を備えている。
代替実施態様では、基板に対するテンプレートの位置決めデバイスは、デバイス中に盛り込まれた所定の柔軟性を備えている。例えばたわみ部材は、テンプレートに印加される圧力に応じて移動するようになされている。テンプレートが基板の近傍に位置付けされると、テンプレートに対する液体の圧力によってたわみ部材が移動する。液体のパターンおよびたわみアームによって許容される移動量を制御することにより、テンプレートは、実質的に平行な配向に対して「自己補正」するようになる。テンプレートに対する液体の力により、テンプレートは、たわみ部材の運動によって画定されるピボット・ポイントの周りにピボットすることになる。
本明細書における技法は、多くのデバイスに使用することができる。例えば半導体デバイスを製造することができる。半導体デバイスは、横方向の寸法が約200nm未満、好ましくは100nm未満の少なくともいくつかのフィーチャを備えている。このようなフィーチャは、半導体基板上に転写フォトレジスト層を形成し、かつ、転写フォトレジスト層をマスクとして使用して半導体基板をパターン化することによって形成される。転写リソグラフィ・プロセスによって形成される、フィーチャ・サイズが約250nm未満の他のデバイスには、光電子デバイス、生物学デバイス、MEMSデバイス、フォトニック・デバイス、弾性表面波デバイス、ミクロ液体デバイスおよび微小光学デバイスがある。
本発明のその他の目的および利点については、以下の詳細説明を読み、かつ、添付の図面を参照することによって明らかになるであろう。
本発明は、様々な改変および代替形態が可能であるが、添付の図面には、本発明の特定の実施形態が例として示されており、また、本明細書ではそれらについて詳細に説明する。しかしながら図面および図面に対する詳細説明が、本発明をそれらによって開示される特定の形態に制限することを意図したものではなく、逆に、本発明が、特許請求の範囲の各請求項に定義されている本発明の精神および範囲の範疇であるすべての改変、等価物および代替形態を包含していることを理解すべきである。
テンプレートと基板の間のギャップを示す横断面図である。 転写リソグラフィ・プロセスを示す横断面図である。 転写リソグラフィ・プロセスを示す横断面図である。 転写リソグラフィ・プロセスを示す横断面図である。 転写リソグラフィ・プロセスを示す横断面図である。 転写リソグラフィ・プロセスを示す横断面図である。 転写リソグラフィ・プロセスのシーケンス・ステップを示すプロセス流れ図である。 パターン化されたテンプレートの底面図である。 基板上に位置決めされたテンプレートを示す横断面図である。 第1の実施形態による転写リソグラフィ・テンプレートを形成するためのプロセスを示す横断面図である。 第2の実施形態による転写リソグラフィ・テンプレートを形成するためのプロセスを示す横断面図である。 パターン化されたテンプレートの横断面図である。 パターン化された代替テンプレート設計を示す横断面図である。 硬化液を基板に塗布するためのプロセスを示す上面図である。 転写リソグラフィック・プロセス中に液体をディスペンスするための装置を示す略図である。 転写リソグラフィック・プロセスに使用される液体ディスペンス・パターンを示す図である。 基板上に複数の滴を含んだ液体パターンを示す図である。 転写リソグラフィック・プロセス中に液体をディスペンスするための代替装置を示す略図である。 複数の実質的に平行な線を含んだ液体パターンを示す図である。 基板サポート・システムの投影図である。 代替基板サポート・システムの投影図である。 たわみジョイントの運動を示す4バー・リンケージの略図である。 たわみジョイントの代替運動を示す4バー・リンケージの略図である。 磁気線形サーボ・モータの投影図である。 多重転写の全体処理を示すプロセス流れ図である。 多重転写の局部処理を示すプロセス流れ図である。 基板に対するテンプレートの回転軸を示す投影図である。 パターン化されたテンプレート上に位置決めされた測定デバイスを示す図である。 光学アライメント測定デバイスを示す略図である。 アライメント・マークを使用して、基板に対するテンプレートのアライメントを正確に測定するためのスキームを示す図である。 偏光フィルタを使用したアライメント・マークを使用して、基板に対するテンプレートのアライメントを正確に測定するためのスキームを示す図である。 容量テンプレート・アライメント測定デバイスを示す略図である。 レーザ干渉計アライメント測定デバイスを示す略図である。 テンプレートと基板の間のギャップを使用して、ギャップが部分的に液体で充填されている場合のアライメントを正確に測定するためのスキームを示す図である。 複数のエッチングされた線を含んだアライメント・マークを示す図である。 配向ステージの投影図である。 配向ステージの分解図である。 ギャップ測定技法のプロセス・フローを示す図である。 2つの材料の間のギャップを正確に測定するための技法を示す横断面図である。 ギャップの局部最小および局部最大の正確な測定を示すグラフである。 ギャップ測定凹所を備えたテンプレートを示す図である。 テンプレートと干渉計の間のギャップを測定するための干渉計の使用を示す略図である。 プローブ−プリズムの組合せを使用した、テンプレートと基板の間のギャップの探測を示す略図である。 転写リソグラフィック・プロセスを示す横断面図である。 テンプレートを照明するためのプロセスを示す略図である。 たわみ部材を示す投影図である。 使用するために組み立てられた第1および第2のたわみ部材を示す図である。 配向ステージの底面の投影図である。 たわみアームを示す略図である。 1対のたわみアーム示す横断面図である。 基板を平面化させるためのスキームを示す図である。 基板を保持するための真空チャックを示す様々な図である。 硬化後における基板からのテンプレートの除去スキームを示す図である。 硬化後における基板からのテンプレートの除去方法を示す横断面図である。 テンプレート・サポート・システムを示す略図である。 テンプレートと基板の間のギャップを示す側面図である。
(発明の詳細な説明)
本明細書に示す実施形態は、一般にシステム、デバイス、および小型デバイスの製造に関連する製造プロセスに関している。より詳細には、本明細書に示す実施形態は、システム、デバイス、および転写リソグラフィに関連するプロセスに関している。例えば、これらの実施形態は、半導体ウェハなどの基板上に極めて小さいフィーチャを転写することの応用を有している。これらの実施形態が、上記の応用の他に、例えば費用有効性の高い超小型電気機械システム(すなわちMEMS:Micro-Electro-Mechanical System)の製造など、他のタスクへの応用を有していることを理解すべきである。また、実施形態は、これには限らないが、データ記憶用パターン化磁気媒体、マイクロ光学デバイス、生物および化学デバイス、X線光学デバイス等を始めとする他の種類のデバイスの製造に対する応用を有している。
図、特に図1Aおよび1Bを参照すると、転写リソグラフィを使用して所望のフィーチャを転写する基板20に対して予備配置されたテンプレート12の配列が示されている。詳細には、テンプレート12は、基板20に転写させることになる所望のフィーチャ形状を持たせて製造された表面14を備えている。実施形態の中には、基板20とテンプレート12の間に転写層18を配置した実施形態もある。転写層18は、被転写層16を介してテンプレート12から所望のフィーチャを受け取っている。当分野で良く知られているように、転写層18により、低アスペクト比被転写フィーチャから高アスペクト比構造(すなわちフィーチャ)を得ることができる。
転写リソグラフィのためには、テンプレート12と基板20を可能な限り互いに接近させ、かつ、平行な状態に維持することが重要である。例えば幅および奥行きが約100nmのフィーチャの場合、転写リソグラフィ・プロセスを成功させるためには、平均ギャップが約200nm以下、基板20の転写領域全体に対するギャップの変動が約50nm未満であることが必要である。本明細書に示す実施形態により、このように厳しく、かつ、正確なギャップ要求事項が与えられた転写リソグラフィを成功させるための、テンプレート12と基板20の間の間隔を制御する方法が提供される。
図1Aおよび1Bは、転写リソグラフィに生じる2種類の問題を示している。図1Aでは、被転写層16の一方の端部において、テンプレート12が基板20に接近しすぎているため、被転写層16がくさび形になっている。図1Aは、パターンを転写している間、テンプレート12および基板20を実質的に平行に維持することの重要性を示している。図1Bは、被転写層16が厚くなり過ぎていることを示している。このような状態は、いずれも極めて望ましくない状態である。本明細書に示す実施形態により、図1Aおよび1Bに示す状態を除去し、かつ、従来技術によるリソグラフィ技法に関連するその他の配向問題を除去することができるシステム、プロセスおよび関連するデバイスが提供される。
図2Aないし2Eは、転写リソグラフィ・プロセスの一実施形態を一括して30で示したものである。図2Aでは、テンプレート12と基板20を分離している隙間にギャップ31が形成されるように、テンプレート12は、基板20と間隔を隔てて配向されている。テンプレート12の表面14は、テンプレートの界面エネルギーを小さくし、かつ、基板20からのテンプレート12の分離を促進する薄層13で処理されている。以下、配向方法およびテンプレート12と基板20の間のギャップ31を制御するためのデバイスについて考察する。次にギャップ31に、処理済みの表面14の形状通りになる物質40が充填される。別法としては、一実施形態では、テンプレート12を基板20に対して所望の位置へ移動させる前に、基板20に物質40が設けられている。
物質40は、図1Aおよび1Bに示す被転写層16のような被転写層を形成している。物質40は、高温を使用することなくギャップ31の隙間を比較的容易に充填することができ、かつ、高圧を必要とすることなくギャップを密閉することができる液体であることが好ましい。物質40の適切な選択については、以下でさらに詳細に考察する。
物質40を硬化させ、ギャップ31によって決まる隙間の形状にするために、テンプレート12に硬化剤32が塗布される。この方法によれば、所望のフィーチャ44(図2D)を、テンプレート12から基板20の上部表面に転写することができる。転写層18は、基板20の上部表面に直接設けられている。転写層18は、テンプレート12から転写されるフィーチャの、高アスペクト比のフィーチャを生成するための増幅を容易にしている。
図2Dに示すように、基板20からテンプレート12が除去され、所望のフィーチャ44が基板20に残される。テンプレート12と基板20の分離は、所望のフィーチャ44がそのままの状態を維持するよう、基板20の表面から外れたりまたはちぎれたりすることなく実施しなければならない。本明細書に示す実施形態により、所望のフィーチャ44を維持することができる、転写後における基板20からのテンプレート12のピール・プルのための方法および関連システム(「ピール・プル」法と言及する)が提供される。
最後に、図2Eでは、二分子層レジスト・プロセスを使用する場合に知られているように、転写層18の作用によって、テンプレート12から物質40へ転写されたフィーチャ44のサイズが垂直方向に増幅されている。それによって得られた構造が、良く知られている技法を使用してさらに処理され、製造プロセスが完了する。図3は、一括して50で示す転写リソグラフィ・プロセスの一実施形態を流れ図の形で要約したものである。最初にステップ52でテンプレートと基板の大まかな配向が実施され、テンプレートと基板の大まかなアライメントが達成される。ステップ52における大まかな配向の利点は、高い効率で、かつ、優れた製造歩留まりで多数のデバイスが製造される製造環境で予備較正を実施することができることである。例えば、基板が多くのダイのうちの1つを半導体ウェハ上に備えている場合、第1のダイに対して大まかなアライメント(ステップ52)を1回実施し、単一生産運転の間、他のすべてのダイに適用することができる。この方法によれば、生産サイクル時間を短縮することができ、かつ、歩留まりを向上させることができる。
ステップ54で基板に物質が配置される。物質は硬化性有機ケイ素溶液であり、あるいは活性化光に露出されると固体になる他の有機液である。液体が使用されているため、従来技術によるリソグラフィ技法に関連する高温および高圧を使用する必要がない。次にステップ56でテンプレートと基板の間の間隔が制御され、2つの層の間に、転写を成功させるために必要な正確な配向を可能にする比較的一様なギャップが生成される。本明細書に示す実施形態により、ステップ56で必要な配向(粗配向および精細配向の両方)を達成するためのデバイスおよびシステムが提供される。
ステップ58で、テンプレートを基板および物質に精細配向することによってギャップが閉じられる。物質が硬化され(ステップ59)、硬化した物質が、テンプレートのフィーチャを有する形になる。次にステップ60でテンプレートと基板が分離され、テンプレートのフィーチャが基板に転写される。最後にステップ62で、残留材料を除去するための予備エッチングおよび転写層をエッチングするための良く知られている酸素エッチング技法を使用して構造がエッチングされる。
様々な実施形態では、テンプレートには、i)テンプレート表面を有する平面に、ii)テンプレート中に凹まされた、iii)テンプレートから突出した、またはiv)上記を組合せた非パターン化領域が組み込まれている。テンプレートは、硬い突出部を使用して製造されている。このような突出部により、粒子裕度(particle tolerance)と、格子、ホログラム等の光学デバイスとに有用な一様なスペーサ層が提供される。別法としては、テンプレートは、圧縮可能な突出部を使用して製造されている。
テンプレートは、一般的に、i)側面、ii)背面、iii)前面、またはiv)上記の組合せとの面接触を介してテンプレートを支える剛体を有している。テンプレート・サポートは、加えられる圧力下でのテンプレートの変形すなわちひずみを制限する利点を有している。実施形態の中には、テンプレートの一部の領域が反射被覆材で被覆されている実施形態もある。いくつかのこのような実施形態では、光がテンプレート中に入り、あるいは通過するよう、テンプレートの反射被覆に孔が組み込まれている。このような被覆は、干渉法を使用してオーバレイ補正する場合のテンプレートの位置付けに有用である。また、このような被覆は、テンプレートの上面ではなく側面を通して照射する硬化剤源を使用した硬化を可能にしている。このことは、とりわけギャップ・センシング技法およびオーバレイ・マーク検出システムにおけるテンプレート・ホルダの設計を柔軟にしている。テンプレートの露光は、i)テンプレートへの垂直入射によって、ii)テンプレートの斜めから、またはiii)テンプレートの側面を通して実施される。実施形態の中には、硬いテンプレートを柔軟な表面と組み合せて使用している実施形態もある。
テンプレートは、光リソグラフィ、電子ビーム・リソグラフィ、イオン・ビーム・リソグラフィ、x線リソグラフィ、極紫外リソグラフィ、走査プローブ・リソグラフィ、集束イオン・ビーム・ミリング、干渉リソグラフィ、エピタキシャル成長、薄膜蒸着、化学エッチング、プラズマ・エッチング、イオン・ミリング、リアクティブ・イオン・エッチング、または上記の組合せを使用して製造できる。テンプレートは、平面、放物面、球面、または他の表面トポグラフィを有する基板上に形成されている。テンプレートは、平面、放物面、球面、または他の表面トポグラフィを有する基板と共に使用することができる。基板には、予めパターン化されたトポグラフィおよび/または複数の材料の薄膜スタックが含まれている。
図4に示す一実施形態では、テンプレートは、パターン化領域401、エントレインメント・チャネル402およびエッジ403を備えている。テンプレート・エッジ403は、テンプレートをテンプレート・ホルダ内に保持するために利用されている。エントレインメント・チャネル402は、以下でより詳細に考察するように、過剰液体を吸収することによって隣接するパターン化領域への過剰液体の広がりを防止する。いくつかの実施形態では、テンプレートのパターン化された領域は平面である。このような実施形態は、基板を平面化するために有用である。
実施形態の中には、テンプレートが多種深さ設計(multi-depth design)で製造されている実施形態もある。つまり、テンプレートの様々なフィーチャが、テンプレートの表面に関連して異なる深さになっている。例えば、エントレインメント・チャネル402の深さは、パターン化領域401の深さより深くなっている。このような実施形態の利点は、テンプレートと基板の間のギャップをセンシングする精度が改善されることである。極めて狭いギャップ(例えば、約100nm未満)をセンスすることは困難であり、したがってテンプレートに既知の深さの段を追加することにより、より正確なギャップのセンシングを可能にしている。2種深さ設計(dual-depth design)の利点は、このような設計により、標準化されたテンプレート・ホルダを使用して、様々なサイズのダイを含んだ所与のサイズの転写テンプレートを保持することができることである。2種深さ設計の第3の利点は、周辺領域を使用してテンプレートを保持することができることである。このようなシステムでは、機能構造を有している、テンプレートと基板の境界のあらゆる部分を硬化剤に露出させることができる。図5に示すように、周辺領域501の深さが適切に設計されたテンプレート500が隣接転写体502、503に接触しているが、転写テンプレート500の周辺領域501は、転写体503から離れた安全な垂直距離を維持している。
上で説明したように、2種深さ転写テンプレートは、様々な方法を使用して製造されている。図6に示す一実施形態では、分解能が高く、かつ、深さの浅いダイ・パターン602、および分解能が小さく、かつ、深さの深い周辺パターン603を有する単一の分厚い基板601が形成されている。図7に示すように、一実施形態では、分解能が高く、かつ、深さの浅いダイ・パターン701を有する薄い基板702(例えば水晶ウェハ)が形成され、このダイ・パターン701が基板702から切り取られ、より分厚い基板703に接着される。基板703は、転写マシン上の転写テンプレート・ホルダに適合するサイズになっている。この接着は、テンプレート材の屈折率と類似した硬化剤(例えば紫外光)屈折率を有する接着剤704を使用して達成されることが好ましい。
図8A、8Bおよび8Cは、その他の転写テンプレート設計を示したもので、それぞれ一括して数表示801、802および803で参照されている。テンプレート設計801、802および803の各々は、ギャップ測定および/または過剰液体の吸収に有用な凹所領域を備えている。
一実施形態では、テンプレートは、液体の広がりを制御するため、材料の物理特性およびテンプレートの幾何学に基づいたメカニズムを備えている。基板領域のロスを生じさせることなく許容することができる過剰液体の量は、様々な材料の界面エネルギー、液体密度およびテンプレート幾何学によって制限されている。したがってリリーフ構造を使用して、所望の成形領域すなわちパターン化領域を取り囲んでいる領域を包囲している過剰液体が吸収されている。この領域は、一般に「切溝」と呼ばれている。切溝中のリリーフ構造は、パターンまたは成形リリーフ構造を構築するために使用される標準の処理技法を使用して、上で考察したように、テンプレート表面を凹まされている。
従来のフォトリソグラフィでは、フォトマスク設計における光学近接補正の使用が、設計寸法通りの正確なパターンを生成するための標準になりつつある。マイクロおよびナノ成形すなわち転写リソグラフィにも同様の概念を適用することができる。転写リソグラフィ・プロセスにおける実質的な相異は、誤差が、回折すなわち光学的な干渉によるものではなく、処理中に生じる物理特性の変化によるものであることである。このような物理特性の変化が、テンプレートの幾何学における工夫を凝らしたリリーフ補正の性質または必要性を決定している。パターン・リリーフ構造が、転写中における材料変化(収縮または膨張など)に適応するように設計された、光リソグラフィで使用されている光学近接補正と同様の概念のテンプレートの場合、このような物理特性の変化による誤差が排除される。ボリュームの膨張または収縮などの物理特性の変化を考慮することにより、リリーフ構造を調整し、所望する正確な複製フィーチャを生成することができる。例えば図9は、材料特性の変化を考慮することなく形成された転写例901、および材料特性の変化を考慮して形成された転写例902を示したものである。ある実施形態では、硬化中における材料の収縮により、実質的に長方形の輪郭904を有するフィーチャを備えたテンプレートが変形している。このような材料収縮を補償するために、テンプレートのフィーチャには、角度の付いた輪郭905が設けられている。
転写リソグラフィ・プロセスに関しては、テンプレートの耐久力およびテンプレートのリリース特性が重要である。耐久力のあるテンプレートは、ケイ素基板または二酸化ケイ素基板で形成されている。他の適切な材料としては、それには限らないが、炭化シリコンゲルマニウム、チッ化ガリウム、シリコンゲルマニウム、サファイヤ、ヒ化ガリウム、エピタキシャルシリコン、ポリシリコン、ゲート酸化物、水晶、またはそれらの組合せがある。また、テンプレートには、アライメント・マークなどの検出可能フィーチャを形成するために使用される材料が含まれている。例えば検出可能フィーチャは、xが2未満であるSiOxで形成されている。実施形態の中には、xが約1.5の実施形態もある。この材料は可視光に対して不透明であるが、いくつかの活性化光の波長に対しては透明であるとされている。
実験の結果から、テンプレートを処理してテンプレートの表面に薄層を形成することにより、テンプレートの耐久力を改善することができることが分かっている。例えば、アルキルシラン層、フルオロアルキルシラン層またはフルオロアルキルトリクロロシラン層を表面に形成することができ、特に、トリデカフルオロ−1、1、2、2−テトラヒドロオクチルトリクロロシラン(C51324SiCl3)が使用されている。このような処理により、テンプレートの表面にセルフ・アセンブル単分子膜(SAM)が形成される。
低界面エネルギー被覆とするために表面処理プロセスを最適化させる。このような被覆は、転写リソグラフィのための転写テンプレートの製作に使用することができる。処理されたテンプレートは、未処理テンプレートに勝る望ましいリリース特性を有している。例えば、新しく処理されたテンプレートは、約14ダイン/cmの界面自由エネルギーαtreatedを有している。未処理テンプレートの表面の界面自由エネルギーαuntreatedは、約65ダイン/cmである。本明細書において開示する処理手順により、高水準の耐久力を有する薄膜がもたらされる。耐久力があれば、製造において多くの転写に耐えることができるテンプレートとすることができるため、耐久力に優れていることが極めて望ましい。
テンプレート表面への被覆は、液相プロセスまたは気相プロセスのいずれかを使用して形成される。液相プロセスの場合、前駆体の溶液および溶媒に基板が浸され、また、気相プロセスの場合は、不活性キャリア・ガスを介して前駆体が引き渡される。液相処理に使用するための純粋に無水の溶媒を得ることは困難である。処理中におけるバルク相の水分は、被覆の最終品質すなわちカバレージに悪影響を及ぼすクランプ・デポジットの原因になることがある。気相プロセスの一実施形態では、真空容器内にテンプレートが置かれ、その後、真空容器がサイクル・パージされ、過剰水分が除去されている。若干の吸着水分がテンプレートの表面に残ることがある。被覆を形成する表面反応を完了させるためには、少量の水分が必要である。反応は、次の式
R-SiCl3+3H2O=>R-Si(OH)3+3HCl
によって記述することができる。反応を容易にするために、テンプレートの温度を、温度制御チャックを介して所望の反応温度にすることができる。次に、所定時間の間、反応容器に前駆体が供給される。テンプレート温度、前駆体濃度、流れ幾何学等の反応パラメータは、特定の前駆体とテンプレート基板の組合せに合せることができる。
前述のように、物質40は液体であり、したがってギャップ31の隙間に充填することができる。例えば物質40は、低粘性液体モノマー溶液である。適切な溶液の粘性の範囲は、約0.01cpsから約100cpsまでである(25℃で測定して)。高分解能(例えば100nm未満)構造の場合、低粘性であることが特に望ましい。詳細には、50nm未満の場合、溶液の粘性は約25cps以下でなければならず、約5cps未満であることがより好ましい(25℃で測定して)。一実施形態では、適切な溶液には、50重量%のアクリル酸n−ブチルと50重量%のSIA0210.0(3−アクリオロキプロピルトリストリメチルシロキサン)シランの混合物が含まれている。この溶液には微量の重合開始剤(例えばフォトイニシエータ)を添加することができる。例えば、Irg819とIrg184が1:1の3重量%の溶液および5%のSIB1402.0が適している。この混合物の粘性は約1cpsである。
一実施形態では、転写リソグラフィ・システムには、基板(例えば半導体ウェハ)の表面に液体をディスペンスするための自動液体ディスペンス方法およびシステムが含まれている。自動液体ディスペンス方法には、1つまたは複数の延びたディスペンサ・チップを備えたモジュール方式自動化液体ディスペンサが使用されている。自動液体ディスペンス方法には、ディスペンサ・チップと基板の間の相対な横方向運動を生成するためのX−Yステージが使用されている。この方法により、低粘性液体を使用した転写リソグラフィのいくつかの問題が解決される。例えば、この方法により、気泡トラッピングおよび転写領域の局部変形が除去される。また、実施形態により、過剰液体を不必要に廃棄することなく、液体を転写テンプレートと基板の間のギャップ全体に広げる一方で低転写圧力を達成する方法が提供される。
一実施形態では、ディスペンスされる量は、通常、1インチ2の転写領域に対して約130nl(ナノリットル)未満である。ディスペンスが終了すると、次のプロセスには、テンプレートおよび基板のアセンブリを硬化剤に露出させるステップが含まれている。テンプレートと基板を分離させることにより、被転写表面の頂部に転写イメージが残される。転写されたイメージは、残留している露出材料の薄層上に残される。残留層は、「ベース層」と呼ばれている。ベース層は製造可能転写のためには、薄く、かつ、一様でなければならない。
転写プロセスには、テンプレートと基板の境界に高圧および/または高温を加える必要があるが、高分解能オーバレイ・アライメントが含まれている製造可能転写リソグラフィ・プロセスのためには、高圧および高温は回避しなければならない。本明細書において開示する実施形態は、低粘性フォト硬化液を使用することにより、高温の必要性を回避している。また、液体を転写領域全体に広げるために必要な力を小さくすることにより、転写圧力も最小化されている。したがって、液体ベースの転写リソグラフィのためには、液体ディスペンス・プロセスは、以下の特性を満足しなければならない。
1.気泡をテンプレートと基板の間にトラップさせてはならない。
2.粒子の発生を最小にするために、ディスペンサ・チップと基板の間の直接接触を回避しなければならない。
3.テンプレートと基板の間のギャップを充填するために必要な圧力を最小にしなければならない。
4.テンプレート−基板界面の非一様な局部変形を小さくするために、非一様な液体ビルドアップおよび/または圧力勾配を最小にしなければならない。
5.ディスペンス液体の浪費を最小にしなければならない。
実施形態の中には、吐出ベース液体ディスペンサ・チップと基板の間の相対運動を使用して、実質的に連続する線を有するパターンを転写領域上に形成している実施形態もある。線の断面の大きさおよび線の形状は、ディスペンス速度と相対運動をバランスさせることによって制御することができる。ディスペンス・プロセスの間、ディスペンサ・チップは、基板の近傍(例えば、数十ミクロン程度)に固定される。図10Aおよび10Bは、線パターンを形成するための2つの方法を示したものである。図10Aおよび10Bに示すパターンは、正弦波パターンであるが、他のパターンも可能である。図10Aおよび10Bに示すように、単一のディスペンサ・チップ1001あるいは複数のディスペンサ・チップ1002のいずれかを使用して、連続する線パターンを引くことができる。
ディスペンス速度Vd、および基板の相対運動速度Vsは、次のように関連付けることができる。
d=Vd/td(ディスペンスボリューム/ディスペンス周期) (1)
s=L/td(線の長さ/ディスペンス周期) (2)
d=aL(「a」は、線パターンの断面積) (3)
したがって
d=aVs (4)
初期の線パターンの幅は、通常、ディスペンサのチップ・サイズによって決まる。チップ・ディスペンサは固定されている。一実施形態では、液体ディスペンス・コントローラ1111(図11に示す)を使用して、ディスペンスされる液体のボリューム(Vd)および液体をディスペンスするために要する時間(td)が制御されている。Vdおよびtdが一定であると仮定すると、線の長さを長くすることにより、パターン化される線の断面の高さが低くなる。パターン長さの延長は、周期パターンの空間周波数を増加させることによって達成される。パターンの高さを低くすることにより、転写プロセス中に変位する液体の量が少なくなる。同じディスペンス線に接続された複数のチップを使用することにより、単一ディスペンサ・チップの場合と比較して、長さの長い線パターンをより速く形成することができる。一実施形態では、吐出ベース液体デリバリ・システムは、液体容器1101、入口チューブ1102、入口弁1103、出口弁1104、シリンジ1105、シリンジ・アクチュエータ1106、ディスペンサ・チップ1107、Xステージ・アクチュエータ1109、Yステージ・アクチュエータ1110、ディスペンサ・コントローラ1111、XYステージコントローラ1112および主制御コンピュータ1113を備えている。適切な吐出ベース・ディスペンサは、Hamilton社から購入することができる。
図12は、低粘性液体に対するいくつかの望ましくない液体パターンすなわちディスペンス方法を示したものである。これらのディスペンス・パターンにより、気泡のトラッピング、局部変形および液体の浪費を始めとする1つまたは複数の問題が生じる。例えば転写領域の中央に一滴ディスペンスする場合1201、あるいは不規則な線をディスペンスする場合1205、テンプレートおよび/または基板に局部変形が生じる。複数の滴をディスペンスする場合1202、または円周パターンの線をディスペンスする場合1206、気泡のトラッピングが生じる。閉じた円周に近いパターンを有する他のパターンをディスペンスする場合1204も、同じく気泡のトラッピングが生じる。同様に、噴霧すなわち無作為変位の飛沫の場合1203も気泡のトラッピングが生じる。基板を低粘性液体でスピン被覆する場合、薄膜の不安定性による「ディウェッティング(dewetting)」問題が生じる。ディウェッティングにより、薄く、かつ、一様な液体層ではなく、多数の微小液体滴が基板に形成される。
一実施形態の液体ディスペンス方法によれば、後で広がることで連続体となる複数の微小液体滴がディスペンスされる。図13は、5滴の液体滴を使用した場合について示したものである。この場合の5滴は、単に説明用として使用したものに過ぎない。正弦波線、「W」または「X」など、その他の「開放」パターンについても、この方法を使用して実施することができる。テンプレートと基板の間のギャップが狭くなると、円形滴1301がより薄く、かつ、より幅広くなり、隣接する滴が1つになる1302。したがって、初期ディスペンスに連続した形が含まれていない場合であっても、液体が広がることにより、テンプレートと基板の間のギャップから空気が追い出されることになる。この方法での使用に有効なパターンは、飛沫が広がる際に、それらがテンプレートと基板の間のいかなる空気もトラップしないような方法でディスペンスしなければならない。
ボリュームが正確に規定されている微小液体滴は、圧力サポート・ユニットを備えたマイクロ電磁弁を使用してディスペンスされる。他のタイプの液体ディスペンス・アクチュエータには、圧電作動ディスペンサが含まれている。吐出ベース液体ディスペンサと比較した場合のマイクロ電磁弁ディスペンサを備えたシステムの利点は、ディスペンス時間がより速いこと、およびボリュームをより正確に制御することができることである。これらの利点は、転写のサイズがより大きい(例えば、さしわたし数インチ)場合、特に望ましい。図14は、マイクロ電磁弁を備えたシステムの一実施形態を示したものである。このシステムは、液体容器1401、入口チューブ1402、入口弁1403、ポンプ1404、出口弁1405、ポンプ・コントローラ1406、マイクロ電磁弁1407、マイクロ電磁弁コントローラ1408、X−Yステージ1409、X−Yステージ・コントローラ1410およびメイン・コンピュータ1412を備えている。X−Yステージ1409上に基板1411が置かれている。適切なマイクロ弁ディスペンサ・システムは、Lee社から購入することができる。
図15Aは、転写領域が広い(例えば、数インチ2を超える)場合に有用なパターンの設計を示したものである。この実施形態では、液体平行線1503がディスペンスされている。液体平行線1503は、テンプレート1501が基板1502に近づくと、ギャップから空気が追い出されるような方法で広がることになる。所望の方法での線1503の広がりを容易にするために、テンプレート1501は、故意にくさび状になされた構成(図15Bに示すように)でギャップに近づけることができる。つまり、テンプレート/基板のギャップは、線1503に沿って閉じられる(例えば、くさびの角度を線1503に平行にすることができる)。
良好に分散された初期液体層を提供する利点は、テンプレートと基板の間の配向誤差が補償されることである。これは、液体の薄層の流体力学と配向ステージの従順さによるものである。テンプレートの下側の部分がテンプレートの他の部分より早くディスペンスされた液体と接触する。テンプレートと基板の間のギャップが狭くなるにつれて、テンプレートの下側部分と上側部分の間の反力の不平衡が大きくなる。力のこの不平衡により、テンプレートおよび基板の運動が修正され、テンプレートおよび基板が実質的に平行になる。
転写リソグラフィを成功させるためには、テンプレートと基板の間のギャップを制御するための、基板に対するテンプレートの正確なアライメントおよび配向が必要である。本明細書に示す実施形態により、製造プロセスにおける正確なアライメントおよびギャップ制御を達成することができるシステムが提供される。一実施形態では、システムは高分解能X−Y並進ステージを備えている。一実施形態では、システムは、テンプレートと基板表面の間の大まかな予備アライメント操作を実施するための予備較正ステージを設け、相対アライメントを微少運動配向ステージの運動範囲内でできるようにしている。この予備較正ステージは、新しいテンプレートが装置に設置された場合にのみ必要である(しばしばステッパとしても知られている)。予備較正ステージは、ベース・プレート、たわみコンポーネント、およびベース・プレートとたわみコンポーネントを結合している複数のマイクロメータすなわち高分解能アクチュエータからなっている。
テンプレートと基板の間の配向アライメントがX−Y運動と無関係である場合、基板ウェハ全体に対して、配置誤差を一度補償するだけで良い(例えば「全体オーバレイ」)。テンプレートと基板の間の配向アライメントがX−Y運動と結合し、かつ/または基板上の極端な局部配向変化が存在する場合は、基板に対するテンプレートのX−Y部分変化を補償しなければならない(すなわち、フィールド対フィールド・オーバレイ)。オーバレイ・アライメント問題については、オーバレイ・アライメントのセクションに関連してさらに考察する。図21および22は、それぞれ全体オーバレイ誤差補償アルゴリズムおよびフィールド対フィールド・オーバレイ誤差補償アルゴリズムを示したものである。
一実施形態では、予備較正ステージ(アクチュエータを使用して自動的に、あるいはマイクロメータを使用して手動で)および精密配向ステージによって、テンプレートおよび基板の配向を達成している。精密配向ステージは、能動であってもあるいは受動であっても良い。これらのステージのいずれか一方、あるいは両方のステージに他のメカニズムを設けることができるが、粒子を避けるためには、たわみベースのメカニズムであることが好ましい。較正ステージはフレームに取り付けられ、精密配向ステージは予備較正ステージに取り付けられている。したがってこのような実施形態は、シリアル機械配置を形成している。
精密配向ステージは、1つまたは複数の受動従順性部材(passive compliant menber)を備えている。「受動従順性部材」とは、一般的にその運動を従順さから得ている部材を指している。つまり、液体との直接的または間接的な接触によって運動が起動される。精密配向ステージが受動である場合、精密配向ステージは、2つの配向軸の周りに最も優勢な従順さを持たせるように設計される。2つの配向軸は直交しており、テンプレートの下部表面上に位置している(図43を参照して説明する)。テンプレートが正方形である場合、通常、2つの直交ねじり従順性の値は同じである。精密配向ステージは、テンプレートが液体と接触する場合のように、基板に対してテンプレートが非平行である場合に、非平行であることによる非一様な液体圧が速やかに配向誤差を補正するように設計されている。一実施形態では、この補正は、最小のオーバシュートで、あるいはオーバシュートすることなく実施されている。また、精密配向ステージは、上で説明したように、液体を硬化させるために十分に長い期間の間、テンプレートと基板の間を実質的に平行な配向に保持している。
一実施形態では、精密配向ステージは、1つまたは複数のアクチュエータを備えている。例えば圧電アクチュエータ(図46を参照して説明する)が適している。このような実施形態では、予備較正ステージと結合した精密配向ステージの有効受動従順性は、やはり実質的に2つの配向軸の周りのねじり従順性でなければならない。すべての構造エレメントおよび能動エレメントの幾何学パラメータおよび材料パラメータは、共にこの有効受動剛性に寄与している。例えば圧電アクチュエータも、引張りおよび圧縮においては従順である。幾何学パラメータおよび材料パラメータを合成し、2つの直交配向軸の周りに所望のねじり従順性を得ることができる。幾何学パラメータおよび材料パラメータを合成するための簡単な手法は、精密配向ステージにおけるアクチュエータの動作方向に沿ったアクチュエータの従順性を、残りのステージ・システムの構造従順性より大きくすることである。これにより、非平行テンプレートが基板上の液体と接触する際の受動自己補正機能が提供される。また、この従順性は、最小のオーバシュートで、あるいはオーバシュートすることなく、速やかに配向誤差が補正されるように選択しなければならない。精密配向ステージは、液体を硬化させるために十分に長い期間の間、テンプレートと基板の間を実質的に平行な配向に保持している。
オーバレイ・アライメント・スキームには、転写テンプレートの正確なアライメントおよび基板上の所望の転写位置を達成するためのアライメント誤差の測定、およびアライメント誤差の測定に続く誤差の補償が含まれている。プロキシミティ・リソグラフィ、x線リソグラフィおよびフォトリソグラフィ(例えばレーザ・干渉法、キャパシタンス・センシング、マスクおよび基板上のオーバレイ・マークの自動画像処理等)に使用されている測定技法は、適切に修正することによって転写リソグラフィ・プロセスに適合させることができる。
リソグラフィ・プロセスにおけるオーバレイ誤差のタイプには、配置誤差、シータ誤差、倍率誤差およびマスクひずみ誤差が含まれている。本明細書において開示する実施形態の利点は、開示するプロセスが比較的低い温度(例えば室温)および小さい圧力で動作するため、マスクひずみ誤差が存在しないことである。したがってこれらの実施形態では、重大なひずみが誘導されることはない。また、これらの実施形態には、比較的分厚い基板でできたテンプレートが使用されているため、マスクが比較的薄い基板でできている他のリソグラフィ・プロセスと比較すると、マスク(すなわちテンプレート)ひずみ誤差がはるかに小さくなっている。さらに、転写リソグラフィ・プロセスのためのテンプレート領域全体が、硬化剤(例えば紫外光)に対して透明になっているため、硬化剤からのエネルギーによる加熱が最小化されている。加熱が小さいため、金属被覆が存在するためにマスクの底部表面のかなりの部分が不透明になっているフォトリソグラフィ・プロセスと比較すると、加熱によって誘導されるひずみの発生が最少化されている。
配置誤差は一般に、テンプレートと基板の間のX−Y位置誤差(つまり、X軸および/またはY軸に沿った並進)による。シータ誤差は一般に、Z軸の周りの相対配向誤差(つまり、Z軸の周りの回転)による。倍率誤差は一般に、テンプレート上の原始パターン化領域と比較した、転写領域における熱誘導または材料誘導による収縮または膨張による。
転写リソグラフィ・プロセスでは、基板上に過度のフィールド対フィールド表面変化が存在する場合、ギャップの制御を目的とした、図23に示す角度αおよびβに対応するテンプレートと基板の間の配向アライメントを頻繁に実施しなければならない。一般的には、転写領域全体の変化は、被転写フィーチャの高さの約半分より小さいことが望ましい。配向アライメントがテンプレートおよび基板のX−Y位置と結合している場合は、フィールド対フィールド配置誤差を補償しなければならない。しかし、本明細書に示す配向ステージの実施形態の場合、配置誤差を誘導することなく配向アライメントを実施することができる。
集束レンズ系を使用しているフォトリソグラフィ・プロセスでは、2つのアライメント・マーク(一方はマスク上、もう一方は基板上)の画像を同一集束平面上に配置することができるように、マスクおよび基板が位置付けされている。アライメント誤差は、これらのアライメント・マークの相対位置を参照することによって誘導されている。転写リソグラフィ・プロセスでは、オーバレイ誤差を測定している間、テンプレートおよび基板が比較的狭いギャップ(マイクロメートル程度未満)を維持するため、オーバレイ誤差測定ツールは、異なる平面からの2つのオーバレイ・マークの焦点を同一集束平面上に合せなければならない。このような要求事項は、フィーチャが比較的大きい(例えば約0.5μm)場合、デバイスにとってはそれほど重大ではないが、100nm領域未満のクリティカルなフィーチャの場合、高分解能オーバレイ誤差測定を達成するためには、同一集束平面上で2つのオーバレイ・マークの画像を捕えなければならない。
したがって、転写リソグラフィ・プロセスのためのオーバレイ誤差の測定方法および誤差の補償方法は、以下の要求事項を満足しなければならない。
1. オーバレイ誤差測定ツールは、同一平面上ではない2つのオーバレイ・マークに焦点を合せることができなければならない。
2. オーバレイ誤差補正ツールは、テンプレートと基板の間に液体の薄層が存在している状態で、テンプレートおよび基板をXおよびYに相対的に移動させることができなければならない。
3. オーバレイ誤差補正ツールは、テンプレートと基板の間に液体の薄層が存在している状態で、シータ誤差を補償することができなければならない。
4. オーバレイ誤差補正ツールは、倍率誤差を補償することができなければならない。
上に示した第1の要求事項は、i)光学画像化ツールを上下に移動させることによって(米国特許5,204,739の場合のように)、あるいはii)2つの異なる波長を有する照明源を使用することによって満足することができる。これらの手法にはいずれも、テンプレートと基板の間のギャップ測定の知識が有用であり、特に第2の方法の場合に有用である。テンプレートと基板の間のギャップは、広帯域干渉法、レーザ・干渉法およびキャパシタンス・センサを始めとする既存の複数の非接触膜厚測定ツールの1つを使用して測定されている。
図24は、テンプレート2400、基板2401、液体2403、ギャップ2405およびオーバレイ誤差測定ツール2402の位置を示したものである。測定ツールの高さは、同一結像平面上に2つのオーバレイ・マークを得るために、ギャップ情報に基づいて調整される2406。この手法を満足するためには、画像記憶デバイス2403が必要である。また、テンプレートおよびウェハを位置決めするためのデバイスは、測定デバイス2402の上下運動の振動から絶縁しなければならない。さらに、高分解能オーバレイ・アライメントのために、テンプレートと基板の間のX−Y方向の走査運動を必要とする場合、この手法では、オーバレイ・マークの連続画像を生成することはできない。したがってこの手法は、転写リソグラフィ・プロセスに対しては、比較的分解能の小さいオーバレイ・アライメント・スキームに適合している。
図25は、異なる平面からの2つのアライメント・マークの焦点を、単一の集束平面上に合せるための装置を示したものである。装置2500は、照明源として使用されている異なる波長を有する光による焦点距離の変化を利用している。装置2500は、画像記憶デバイス2503、照明源(図示せず)および集光デバイス2505を備えている。個別の複数の光源を使用するか、あるいは単一の広帯域光源を使用して、結像平面とアライメント・マークの間に光学的なバンドパス・フィルタを挿入することによって、区別できる異なる波長を有する光を発生することができる。テンプレート2501と基板2502の間のギャップに応じて、異なる2つの波長が焦点距離を調整するために選択される。図26に示すように、各照明の下で、オーバレイ・マークの各々が結像平面上に2つの画像を生成する。第1の画像2601は、明確に焦点合わせされた画像である。第2の画像2602は、焦点外れの画像になっている。個々の焦点外れ画像を除去するために、いくつかの方法が使用されている。
第1の方法では、第1の波長の光を有する照明源の下で、結像アレイ(例えばCCDアレイ)によって2つの画像が受け取られる。図26は、受け取られた画像を示したもので、一括して数表示2604で参照されている。画像2602は、基板上のオーバレイ・アライメント・マークに対応している。画像2601は、テンプレート上のオーバレイ・アライメント・マークに対応している。画像2602に焦点が合わされると、画像2601は焦点外れになり、その逆の場合についても同様である。一実施形態では、画像2602に関連する画素に対応する幾何学データが消去するために、ある画像処理技法を使用する。その技法で焦点を外れた基板マークの画像が除去され、画像2601が残される。第2の波長の光と同じ手順を使用して、画像2605および2606が結像アレイ上に形成される。この手順により、焦点外れの画像2606が除去され、したがって画像2605が残される。次に、残された2つの焦点の合った画像2601と2605が、単一結像平面上で結合され2603、オーバレイ誤差が測定される。
第2の方法は、図27に示すように2つの共面偏光アレイと偏光照明源を利用している。図27は、オーバレイ・マーク2701および直交偏光したアレイ2702を示したものである。偏光アレイ2702は、テンプレート表面に構築され、あるいはテンプレート表面の上方に置かれている。2つの偏光照明源の下で、画像2703のみが結像平面上に結像される(それぞれ異なる波長および偏光に対応している)。したがって焦点を外れた画像は、偏光アレイ2702によってフィルタ除去される。この方法の利点は、焦点を外れた画像を除去するための画像処理技法を必要としないことである。
オーバレイ誤差測定中におけるテンプレートと基板の間のギャップが狭すぎる場合、スティクションすなわち液体の薄層のせん断力が増加するため、誤差補正が困難になることに留意すべきである。また、ギャップが広すぎる場合、テンプレートと基板の間の望ましくない垂直運動によるオーバレイ誤差が生じるため、オーバレイ誤差の測定および補正を実施する、テンプレートと基板の間の最適ギャップを決定しなければならない。
光リソグラフィ・プロセスには、モアレ・パターンをベースとしたオーバレイ測定が使用されている。モアレ・パターンの2つの層が同一平面上にはなく、かつ、結像アレイ内でオーバラップしている転写リソグラフィ・プロセスの場合、焦点が合った2つの個別画像を得ることは困難であるが、テンプレートと基板の間を直接接触させることなく、テンプレートと基板の間のギャップを、光学測定ツールの焦点深度の範囲内に慎重に制御することにより、集束の問題をほとんど生じることなく、モアレ・パターンの2つの層を同時に得ることができる。転写リソグラフィ・プロセスに、モアレ・パターンに基づく他の標準オーバレイ・スキームを直接組み込むことができる。
配置誤差は、キャパシタンス・センサまたはレーザ干渉計および高分解能X−Yステージを使用して補償される。テンプレートと基板の間の配向アライメントがX−Y運動と無関係である実施形態では、基板(例えば半導体ウェハ)全体に対して、配置誤差を一度補償するだけで良い。このような方法は、「全体オーバレイ」と呼ばれている。テンプレートと基板の間の配向アライメントがX−Y運動と結合し、かつ、基板上に極端な局部配向変化が存在する場合は、キャパシタンス・センサおよび/またはレーザ干渉計を使用して、テンプレートのX−Y部分変化が補償される。このような方法は、「フィールド対フィールド・オーバレイ」と呼ばれている。図28および29は、適切なセンサの実施形態を示したものである。図28は、キャパシタンス・センシング・システムの一実施形態を示したものである。キャパシタンス・センシング・システムは、キャパシタンス・センサ2801、導電被覆2802、テンプレート2803を備えている。したがってキャパシタンスの差をセンスすることにより、テンプレート2803の位置を正確に測定することができる。同様に、図29は、反射被覆2901、レーザ信号2902およびレシーバ2903を備えたレーザ干渉計システムの一実施形態を示したものである。レシーバ2903が受信するレーザ信号を使用して、テンプレート2904の位置が決定される。
倍率誤差が存在している場合は、基板およびテンプレートの温度を慎重に制御することによって補償される。基板およびテンプレートの熱膨張特性の違いを利用して、基板上の既存のパターン済み領域のサイズが、新しいテンプレートのサイズに調整される。しかし、転写リソグラフィ・プロセスが室温および低圧で実施される場合、倍率誤差の大きさは、配置誤差またはシータ誤差の大きさよりはるかに小さいとされている。
シータ誤差は、フォトリソグラフィ・プロセスで広く使用されているシータ・ステージを使用して補償される。シータ誤差は、高分解能シータ誤差予測を与えるために、十分に距離を隔てて分離された2つの個別アライメント・マークを使用して補償される。シータ誤差は、テンプレートが基板から数ミクロン離れて位置付けされる場合に補償される。したがって、既存パターンのせん断が生じることはない。
UV硬化液体材料を使用している転写リソグラフィ・プロセスのオーバレイ・アライメントに関わる他の問題は、アライメント・マークの可視性の問題である。オーバレイ誤差を測定する場合、1つがテンプレート上、もう1つが基板上にある2つのアライメント・マークが使用されるが、テンプレートを硬化剤に対して透明にすることが望ましいため、通常、テンプレートのオーバレイ・マークには不透明の線が含まれていない。そうではなく、テンプレートのオーバレイ・マークは、テンプレート表面のトポグラフィカル・フィーチャになっている。実施形態の中には、マークがテンプレートの材料と同じ材料でできている実施形態もある。また、UV硬化液には、テンプレート材(例えば水晶)の屈折率と同様の屈折率を持つ傾向があるため、テンプレートと基板の間のギャップがUV硬化液で充填されると、テンプレートのオーバレイ・マークを認識することが極めて困難になる。テンプレートのオーバレイ・マークが不透明材料(例えばクロム)でできている場合、オーバレイ・マークの下側のUV硬化液がUV光に適切に露出されなくなり、これは極めて望ましくない状態である。
液体が存在している中でのテンプレート・オーバレイ・マークの認識の問題を解決するための2つの方法を開示する。第1の方法は、高分解能ギャップ制御ステージと共に正確な液体ディスペンス・システムを使用したものである。本明細書において、適切な液体ディスペンス・システムおよびギャップ制御ステージを開示する。説明用として、図30に3つのオーバレイ・アライメント・ステップを示す。図30に示すオーバレイ・マークの位置および液体パターンは、単に説明を目的としたものに過ぎず、本発明を制限する意味で解釈してはならない。他の様々なオーバレイ・マーク、オーバレイ・マークの位置および/または液体ディスペンス・パターンも可能である。先ず、ステップ3001で基板3002に液体3003がディスペンスされる。次にステップ3004で、高分解能配向ステージを使用して、テンプレート3005と基板3002の間のギャップがディスペンスされた液体3003で完全には充填されないよう、テンプレートと基板の間のギャップが慎重に制御される。ステップ3004で、ギャップは、最終転写ギャップよりわずかに大きい程度である。ギャップの大部分が液体で充填されるため、オーバレイ補正は、ギャップがあたかも液体で完全に充填されたものとして実施される。オーバレイ補正が終了すると、ギャップが最終転写ギャップに近づけられる(ステップ3006)。これは、残りの転写領域への液体の広がりを可能にしている。ステップ3004とステップ3006の間のギャップの変化は極めて小さい(例えば約10nm)ため、ギャップ接近運動による重大なオーバレイ誤差は、何ら生じない。
第2の方法の場合、オーバレイ測定ツールに見える特殊なオーバレイ・マークをテンプレート上に形成しなければならないが、硬化剤(例えばUV光)に対して不透明であってはならない。図31は、この手法の一実施形態を示したものである。図31では、テンプレート上のオーバレイ・マーク3102は、完全な不透明線ではなく、微細な偏光線3101で形成されている。例えば、適切な微細偏光線は、硬化剤として使用される活性化光の波長の約1/2ないし1/4の幅を有している。偏光線3101の線幅は、2本の線の間を通過する活性化光が十分に回折して線の下側のすべての液体を硬化させるよう、十分な細さでなければならない。このような実施形態では、オーバレイ・マーク3102の偏光に従って活性化光が偏光される。活性化光を偏光させることにより、オーバレイ・マーク3102を有する領域を含んだすべてのテンプレート領域が、比較的一様に露出される。テンプレート上のオーバレイ・マーク3102を捜し出すために使用される光は、広帯域光または液体材料を硬化させない特殊な波長である。この光を偏光させる必要はない。偏光線3101は、測定光に対して実質的に不透明であり、したがって設置されたオーバレイ誤差測定ツールを使用してオーバレイ・マークを視覚可能にしている。微細偏光オーバレイ・マークは、電子ビーム・リソグラフィなどの既存の技法を使用して、テンプレート上に形成される。
第3の実施形態では、オーバレイ・マークは、テンプレートの材料とは異なる材料で形成されている。例えば、テンプレートのオーバレイ・マークを形成するために選択される材料は、可視光に対して実質的に不透明であるが、硬化剤として使用される活性化光(例えばUV光)に対しては透明である。例えばXが2未満であるSiOxがこのような材料を形成している。詳細には、Xが約1.5であるSiOxで形成された構造は、可視光に対して実質的に不透明であるが、UV光に対しては透明である。
図32は、テンプレート12などのテンプレートを、基板20などの転写すべき基板に対して較正し、かつ、配向するためのシステムのアセンブリを一括して100で示したものである。システム100は、ステッパなどの機械に、本明細書で説明する転写リソグラフィ・プロセスを使用した製造環境におけるデバイスの量産用として利用されている。図に示すように、システム100は、ハウジング120を支持している頂部フレーム110に取り付けられている。ハウジング120は、テンプレート150を基板(図32には図示せず)に対して大まかにアライメントするための予備較正ステージを備えている。
ハウジング120は中間フレーム114に結合されており、中間フレーム114には、ハウジング120の反対側に、ガイド・シャフト112aおよび112bが取り付けられている。一実施形態では、ハウジング120を支持するために3つのガイド・シャフト(図32では、後側のガイド・シャフトは見えない)が使用され、テンプレート150が垂直に並進している間、上下にスライドしている。中間フレーム114の周囲の対応するガイド・シャフト112aおよび112bに取り付けられたスライダ116aおよび116bが、ハウジング120のこの上下運動を容易にしている。
システム100は、ハウジング120の底部部分に取り付けられた円板形ベース・プレート122を備えている。ベース・プレート122は、円板形たわみリング124に結合されている。たわみリング124は、下方配置配向ステージに備えられた第1のたわみ部材126と第2のたわみ部材128を支えている。以下、たわみ部材126、128の配向および構成について詳細に考察する。図33に示すように、第2のたわみ部材128は、転写プロセスの間、テンプレート150を所定の位置に保持するテンプレート・サポート130を備えている。テンプレート150は、通常、所望のフィーチャが形成された水晶片を備えている。また、テンプレート150は、良く知られている方法に従って、他の物質を備えている。
図33に示すように、アクチュエータ134a、134bおよび134cは、ハウジング120の内部に固定され、ベース・プレート122およびたわみリング124に動作可能に結合されている。動作時に、アクチュエータ134a、134bおよび134cは、たわみリング124の運動が達成されるように制御される。アクチュエータの運動が大まかな予備較正を可能にしている。実施形態の中には、アクチュエータ134a、134bおよび134cが、高分解能アクチュエータを備えている実施形態もある。このような実施形態では、アクチュエータがハウジング120の周りに等間隔で配置されている。このような実施形態により、リング124を垂直方向に極めて正確に並進させ、それによりギャップを正確に制御することができる。したがってシステム100は、転写すべき基板に対するテンプレート150の大まかな配向アライメントおよび正確なギャップ制御を達成することができる。
システム100は、テンプレート150を正確に制御することができるメカニズムを備えているため、正確な配向アライメントを達成することができ、また、テンプレートによって基板表面に対する一様なギャップが維持される。また、システム100は、転写に続いて、基板表面からフィーチャをせん断することなく、基板の表面からテンプレート150を分離させる方法を提供している。それぞれ第1のたわみ部材126および第2のたわみ部材128の構成によって、正確なアライメントおよびギャップの制御が容易になっている。
一実施形態では、図51に示すように、硬化剤に対して透明な個別の固定支持プレート5101を使用して、テンプレート5102を所定の位置に保持している。テンプレート5102の裏側の支持プレート5101が転写力を保持しているが、固定支持プレート5101とテンプレート5102の間に真空を加えることにより、分離力が生じる。横方向の力に対してテンプレート5102を支持するために、圧電アクチュエータ5103が使用されている。この横方向支持力は、圧電アクチュエータ5103を使用して慎重に制御されている。また、この設計は、転写リソグラフィ・プロセスにおける層対層アライメントのための倍率およびひずみ補正機能を提供している。ひずみ補正は、電子ビーム・リソグラフィによって構築されたテンプレート構造に存在するスティッチング誤差および配置誤差を克服するため、また、基板上に既に存在している構造のひずみを補償するために極めて重要である。倍率補正は、テンプレートの各々の面の1つの圧電アクチュエータに対して(つまり、4面テンプレートの場合、合計4つの圧電アクチュエータに対して)のみ必要である。圧電アクチュエータは、一様な力が表面全体に印加されるような方法で、テンプレートの表面に接続されている。一方、ひずみ補正は、個別に制御された力をテンプレートの各々の面に印加する複数の個別圧電アクチュエータに対して実施しなければならない。必要なひずみ制御のレベルに応じて、個別圧電アクチュエータの数が規定されている。圧電アクチュエータが多いほど、より良好なひずみ制御が提供される。倍率補正およびひずみ補正は、テンプレートの頂部表面および底部表面がいずれも拘束されていない状態においてのみ正しく制御されるため、倍率誤差補正およびひずみ誤差補正は、真空を使用してテンプレートの頂部表面を拘束する前に完了していなければならない。実施形態の中には、図51に示すテンプレート・ホルダ・システムが、テンプレート5102の下側の領域の一部に対して硬化剤を妨害することになる機械設計を有している実施形態もあるが、これは、テンプレート5102の下側の液体の一部が硬化しないため、望ましいことではない。この液体はテンプレートにへばり付き、それ以降のテンプレートの使用に弊害をもたらすことになる。テンプレート・ホルダに関わるこの問題は、テンプレート・ホルダに1組のミラーを組み込み、テンプレート5102の一方のエッジの下側の領域に導かれた硬化剤が彎曲して、テンプレート5102のもう一方のエッジの下側の被妨害部分を硬化させるような方法で、被妨害硬化剤を分流させることによって回避することができる。
一実施形態では、基板とテンプレートの間の最小ギャップが、センシング技法を使用することができる範囲内になるようにテンプレートを設計することによって、高分解能ギャップ・センシングが達成されている。測定中のギャップは、実際のパターン化表面のギャップとは無関係に維持されるため、ギャップの制御を、センシング技法の有効レンジ内で実施することができる。例えば、ギャップを解析するために、スペクトル反射率解析技法を約150nmないし20ミクロンの有効センシング・レンジで使用する場合、テンプレートは、テンプレート中に約150nm以上の深さでパターン化されたフィーチャを有していなければならない。これにより、センスすべき最小ギャップを確実に150nmより大きくすることができる。
テンプレートを基板に向けて下げていく間に、基板とテンプレートの間のギャップから液体が追い出される。基板とテンプレートの間のギャップは、粘性力が印加圧縮力との平衡条件に近づく実用下限に接近する。これは、テンプレートの表面と基板が極めて接近した場合に生じる。例えば、このレジームは、半径1cmのテンプレートに、14kPaの圧力を1秒間加えた場合、1cPの液体に対して約100nmのギャップ高さになる。その結果、一様かつ平行なギャップが維持されれば、ギャップはセルフリミッチングである。また、追い出される(または吸収される)液体の量を明確に予測することができる。吸収される液体の量は、流体力学および表面現象の慎重な計算に基づいて予測することができる。
プロダクション・スケールの転写をパターン化する場合、基板に対するテンプレートの傾斜およびギャップを制御することが望ましい。配向およびギャップの制御を達成するためには、レチクル製造技法を使用して製造されたテンプレートは、i)単一波長干渉法、ii)多重波長干渉法、iii)楕円偏光法、iv)キャパシタンス・センサ、またはv)圧力センサなどのギャップ・センシング技法と組み合せて使用される。
一実施形態では、テンプレートと基板の間のギャップを正確に測定する方法は、基板の薄膜の厚さを計算するために使用されている。本明細書においては、広帯域分光計から得られる反射データの高速フーリエ変換(FFT)に基づく技法の説明を開示する。この技法を使用して、テンプレートと基板の間のギャップおよび薄膜の厚さを測定することができる。多層薄膜の場合、この技法により、各薄膜の平均厚さおよび厚さの変化が提供される。また、一方の表面を介した少なくとも3ヶ所の異なるポイントにおける測定により、例えば転写リソグラフィ・プロセスの場合のテンプレートと基板など、近接した2つの表面の間の平均ギャップ情報および配向情報を得ることができる。
一実施形態では、ギャップ測定プロセスは、広帯域干渉法と高速フーリエ変換(FFT)の組合せをベースにしている。この業界におけるいくつかの応用では、広帯域干渉法のための様々な曲線適合技法を利用して、単層の膜厚が測定されているが、このような技法では、特に転写リソグラフィ・プロセスのための多層薄膜の場合、実時間のギャップ測定を提供することができないとされている。このような問題を解決するために、先ず、反射率が1/λhighと1/λlowの間の波数領域でディジタル化される。次に、ディジタル化されたデータがFFTアルゴリズムを使用して処理される。この新規な手法により、FFT信号のピークが明確に生成される。このピークは、測定されたギャップに正確に対応している。2層の場合は、FFT信号によって、各層の厚さに直線比例する2つの明確なピークが生成される。
光学薄膜の場合、反射率における振動は、次の式で与えられる、単一光学薄膜の反射率で示されるように、波長(λ)ではなく波数(w)において周期的である。
Figure 2011176321
上の式で、ρi、i+1は、i−1界面とi界面の境界面における反射率係数、nは屈折率、dは測定する薄膜(図52の材料2)の厚さ、αは薄膜(図52の材料2)の吸収係数である。ここではw=1/λである。
この特性により、フーリエ解析は、wによって表される関数Rの周期を決定するための有用な技法である。単一薄層の場合、明確に画定される単一ピーク(p1)が、R(w)のフーリエ変換が得られた場合の結果であることに留意されたい。膜厚(d)は、このピークの位置の関数であり、次の式で与えられる。
d=p1/(Δw×2n) (8)
上式で、Δw=wf−ws、wf=1/λminおよびws=1/λmaxである。
FFTは、離散信号である周波数が計算的に有効な方法で計算される、確立された技法である。したがってこの技法は、現場解析および実時間応用に有用である。図34は、反射率信号のFFTプロセスを介した膜厚またはギャップ測定のプロセス・フローの一実施形態を示したものである。異なる反射率を有する多層薄膜の場合、FFTプロセスにおけるピークの位置は、各膜厚の一次結合に対応している。例えば2層薄膜の場合、FFT解析において2つの異なるピーク位置がもたらされる。図35は、2つのピーク位置に基づいて2つの薄膜の厚さを正確に測定する方法を示したものである。
本明細書に示す実施形態により、反射率データの振動が測定波数範囲内の完全な1周期未満を含んでいる場合であっても、ギャップまたは薄膜の厚さを測定することができる。このような場合、FFTは不正確なピーク位置をもたらすことになる。このような問題を解決し、かつ、測定可能膜厚の下限を広げるための新規な方法を本明細書において開示する。振動周期を計算するためのFFTアルゴリズムを使用する代わりに、wsとwfの反射率の局部最ドット(w1)または局部最大点(w2)を見出すアルゴリズムを使用して、w1およびw2における周期情報dR/dw=0が計算される。式7の反射率R(w)は、w=0においてその最大を有している。また、典型的な分光計の波数範囲(Δw)は、wsより大きくなっている。波数範囲が200nm〜800nmの分光計の場合、Δw=3/800であり、ws=1/800である。したがって0とwsの間の反射率データの振動長は、Δwより短くなっている。図36に示すように、w=0がR(w)の最大点であると仮定すると、Δwの範囲内に最小位置があるケースと最大位置があるケースの2つのケースが存在する。したがって膜厚は次のように計算される。
・ケース1 WW0:局部最小がw1に存在する場合。したがってw1=周期振動の半分、故にd=0.5/(w1×2n)
・ケース2 WW1:局部最大がw2に存在する場合。したがってw2=周期振動の1周期、故にd=1/(w2×2n)
測定ツールの実用的な構成には、広帯域光源、光ファイバを備えた分光計、データ収集基板および処理コンピュータが含まれている。いくつかの既存の信号処理技法により、FFTデータの感度が改善されている。例えば、これに限らないが、フィルタリング、拡大、データ・ポイント数の増加、異なる波長レンジ等を始めとする技法を、本明細書において開示するギャップまたは膜厚測定方法と共に利用することができる。
本明細書において開示する実施形態には、2つの平面(例えばテンプレートと基板)の間の高精度ギャップ測定および配向測定方法が含まれている。ここで示すギャップ測定および配向測定方法には、広帯域干渉法および縞ベース干渉法が使用されている。一実施形態では、本明細書において開示する、広帯域干渉法を使用した方法により、広帯域干渉計の欠点、すなわち広帯域信号の平均波長の約1/4より狭いギャップを正確に測定することができない、という欠点を解決している。干渉縞ベース干渉法は、設置直後のテンプレートの配向誤差をセンスするために使用されている。
転写リソグラフィ・プロセスを実行して、単層および多層デバイスを製造することができる。ミクロン・サイズの光学ミラー、高分解能光フィルタ、ライト・ガイドなどの単層デバイスは、基板上に特定の幾何学形状の材料薄層を形成することによって製造される。このようなデバイスの中には、転写される層の厚さが広帯域信号の平均波長の約1/4より薄く、かつ、能動領域全体に渡って一様なデバイスもある。広帯域干渉計の欠点は、広帯域信号の平均波長の約1/4より狭いギャップ(例えば約180nm)を正確に測定することができないことである。一実施形態では、テンプレートの表面に、正確に測定することができるマイクロメートル・サイズの段がエッチングされている。段は、図37に示すように、測定を実施する部分に、連続した線3701の形態で、あるいは隔離された複数のドット3702の形態でエッチングされる。テンプレート上の有効能動面積を最大化する観点からすれば、隔離ドット3702が好ましい。パターン化されるテンプレート表面が、基板からわずか数ナノメートル程度であっても、広帯域干渉計を使用して、最小ギャップ測定問題に煩わされることなく、ギャップを正確に測定することができる。
図38は、ここで説明するギャップ測定の略図を示したものである。プローブ3801は、図39に示すような傾斜構成の場合にも使用することができる。4つ以上のプローブを使用する場合、冗長情報を使用することによってギャップ測定精度を改善することができる。分かり易くするために、3つのプローブを使用するものとして説明を確実にする。段のサイズhsAC2は、説明を分かり易くするために拡大されている。パターン化された領域の平均ギャップhpは、
p=[(h1+h2+h3)/3]−hs (9)
で与えられる。プローブの位置が分かれば((xi、yi)、ただしx軸およびy軸は基板表面上)、基板に対するテンプレートの相対配向を、x−y軸が基板の頂部表面上に位置しているフレームに対するテンプレート表面に垂直をなす単位ベクトル(n)で表すことができる。
n=r/‖r‖ (10)
上式で、r=[(x3、y3、h3)−(x1、y1、h1)]×[(x2、y2、h2)−(x1、y1、h1)]である。n=(001)Tまたはh1=h2=h3のとき、2つの平面の間の完全な配向アライメントが達成される。
測定されたギャップおよび配向は、転写アクチュエータへのフィードバック情報として使用される。測定広帯域干渉ビームのサイズは、約75μm程度の大きさである。実用的な転写リソグラフィ・プロセスの場合、クリア領域にはいかなるパターンもエッチングすることができないため、ギャップを測定するためにのみ使用されるクリア領域を最小化することが望ましい。また、測定ツールが存在することによる硬化剤に対する妨害を最小にしなければならない。
図40は、基板上の多層材料の略図を示したもので、例えば基板4001は、層4002、4003、および基板4001とテンプレート4004の間に液体4005を有している。これらの材料層を使用して、基板表面上に一層毎に垂直に多重パターンが転写される。光ビーム4006を使用してギャップ測定が実行されるクリア領域における各層の厚さは一様になっている。多層薄膜が存在している中での、広帯域干渉法を使用した頂部層の厚さの正確な測定が示されている。下部薄膜層の光学特性および厚さが正確に分かると、頂部層の厚さを測定することによって、テンプレートと基板表面(あるいは多層デバイスの場合、金属デポジット表面)の間のギャップ情報および配向情報を得ることができる。各層の厚さは、同じセンシング測定プローブを使用して測定される。
新しいテンプレートを設置した場合、あるいは機械コンポーネントを再構成した場合は、配向測定および対応する較正を実施しなければならない。テンプレート4102と基板4103の間の配向誤差は、図41に示すような、テンプレートと基板の境界における干渉縞パターンを介して測定される。オプティカル・フラットが2つの場合、干渉縞パターンは、平行な暗い帯と明るい帯4101として現われる。配向較正は、本明細書において開示する予備較正ステージを使用して実施される。差動マイクロメータを使用して、基板表面に対するテンプレートの相対配向が調整される。干渉縞帯が出現しない場合は、この手法を使用して、配向誤差が、使用されている光源の波長の1/4未満になるように補正される。
図42Aおよび42Bを参照すると、それぞれ第1のたわみ部材126および第2のたわみ部材128の実施形態がより詳細に示されている。詳細には、第1のたわみ部材126は、対応する剛体164、166に結合された複数のたわみ継手160を備えている。たわみ継手160および剛体164、166は、フレーム170から延びたアーム172および174の一部を形成している。たわみフレーム170は開口182を有している。開口182は、サポート130に保持された場合に、硬化剤(例えばUV光)を透過させ、テンプレート150に到達させる。いくつかの実施形態は、4つのたわみ継手160が、たわみ部材126の第1の配向軸180の周りの運動を提供している。第1のたわみ部材126のフレーム170は、図43に示すように、第2のたわみ部材128との結合のための結合メカニズムを設けている。
同様に、第2のたわみ部材128も、フレーム206から延びた一対のアーム202および204を備えている。アーム202および204は、たわみ継手162および対応する剛体208、210を備えている。剛体208および210は、たわみ部材128の第2の配向軸200の周りの運動をもたらす。テンプレート・サポート130は、第2のたわみ部材128のフレーム206に統合される。フレーム182と同様、フレーム206も、硬化剤を透過させ、サポート130に保持されるテンプレート150に到達させる開口212を有している。
動作に関しては、図43に示すように、第1のたわみ部材126および第2のたわみ部材128が結合され、配向ステージ250を形成している。第1のたわみ部材126と第2のたわみ部材128の結合を容易にするためのブレース220および222が、第1の配向軸180および第2の配向軸200が互いに実質的に直交するように設けられている。このような構造により、第1の配向軸180と第2の配向軸200が、ほぼテンプレート基板境界254のピボット・ポイント252で交差することになる。第1の配向軸180と第2の配向軸200が直交し、かつ、境界254上に位置していることにより、微細アライメントおよびギャップ制御を行うことができる。詳細には、この構造により、層対層オーバレイ・アライメントからの配向アライメントのデカップリング(decoupling)が達成される。さらに、以下で説明するように、第1の配向軸180と第2の配向軸200の相対位置が、テンプレート150と基板を、所望のフィーチャのせん断を生じることなく分離させるために使用される配向ステージ250を提供している。したがってテンプレート150から転写されるフィーチャは、完全な状態で基板上に維持される。
図42A、42Bおよび43を参照すると、たわみ継手160および162はノッチ形状になっており、剛体164、166、208および210のノッチの最も薄い断面に沿って位置付けされたピボット軸の周りの運動を提供している。この構成は、デカップリング従順性運動軸180および200を有する精細デカップリングされた配向ステージ250に、2つのたわみベース・サブシステムとなっている。たわみ部材126および128は、テンプレート150の運動がピボット・ポイント252の周りに生じ、それにより転写されたフィーチャを基板からせん断する「揺れ」およびその他の運動が実質的に除去されるよう、適合する表面を介して組み合わされている。したがって配向ステージ250は、テンプレート150をピボット・ポイント252の周りに正確に移動させ、それにより基板からの所望のフィーチャのせん断を、後続する転写リソグラフィから除去している。
図44を参照すると、システム100が動作している間、Z並進ステージ(図示せず)が、配向アライメントを提供することなく、テンプレート150と基板の間の間隔を制御している。予備較正ステージ260は、テンプレート150と基板表面の間の予備アライメント操作を実行し、相対アライメントを配向ステージ250の運動範囲限界内にもたらしている。特定の実施形態では、予備較正は、新しいテンプレートが機械に設置されたときに一度だけ必要である。
図45を参照すると、配向ステージ250などの精細デカップリング配向ステージの動作原理を理解するために役に立つたわみモデルが、一括して300で示されている。たわみモデル300は、4バー・リンケージ・システムを公称構成および回転構成を提供する継手1、2、3および4の4つの平行継手を備えている。線310は、継手1および2を通過している。線312は、継手3および4を通過している。角度α1およびα2は、従順性アライメント軸(すなわち配向軸)が、実質的にテンプレート−ウェハ境界254上に位置するように選択されている。精細配向が変化すると、継手2と3の間の剛体314が、ポイントCで表されている軸の周りに回転する。剛体314は、たわみ部材126および128の剛体170および206を代表している。
第2のたわみコンポーネントを第1のたわみコンポーネントに直角に取り付けることにより(図43に示すように)、互いに直交し、かつ、テンプレートと基板の境界254上に位置する2つのデカップリング配向軸を有するデバイスが提供される。たわみコンポーネントは、硬化剤(例えばUV光)にテンプレート150を通過させるための開口を有する。
配向ステージ250は、テンプレート150を基板に対して精密にアライメントさせ、かつ、正確に運動させることができる。配向を調整することにより、境界における横方向運動を完全に無視することができ、かつ、境界表面に対して垂直の周りの、選択的に拘束される高構造剛性によるねじり運動を完全に無視することができる。たわみ継手160、162を備えたたわみ部材126、128のもう1つの利点は、それらが、摩擦継手が生成するような粒子を生成しないことである。転写リソグラフィ・プロセスの場合、粒子は特に有害であるため、転写リソグラフィ・プロセスを成功させるためには、このことは重要な要素である。
精密なギャップ制御を必要とするため、本明細書に示す実施形態には、テンプレートと基板の間の500nm程度以下の微小ギャップを測定することができるギャップ・センシング方法を利用する必要がある。このようなギャップ・センシング方法には、約50ナノメートル以下の分解能が必要である。このようなギャップ・センシングが完全に実時間で提供される。ギャップ・センシングが実時間で提供されるため、ギャップ・センシングを使用して、アクチュエータを能動制御するためのフィードバック信号を生成することができる。
一実施形態では、能動的な従順性を有するたわみ部材が設けられている。例えば図46は、圧電アクチュエータを備えたたわみ部材を一括して400で示したものである。たわみ部材400は、第2のたわみ部材と組み合わされ、能動配向ステージを形成している。たわみ部材400は、テンプレートと基板の境界における横方向運動のない純傾斜運動を生成している。このようなたわみ部材を使用することにより、単一オーバレイ・アライメント・ステップで、半導体ウェハ全体に層を転写することができる。これは、配向運動と横方向運動の間の結合運動を有するオーバレイ・アライメントとは対照的である。このようなオーバレイ・アライメント・ステップは、X−Yアライメントが乱され、そのために、適切なアライメントを保証するための複雑なフィールド対フィールド・オーバレイ制御ループを必要としている。
一実施形態では、たわみ部材250は、側への運動すなわち回転が望ましくない方向では大きい剛性を有し、また、必要な配向運動が望ましい方向では剛性が小さくなっている。このような実施形態は選択従順性デバイスを提供している。つまり、たわみ部材250は、テンプレートと基板の間の適切な配向運動を達成する一方で、比較的大きい負荷を支えている。
上で考察したように、テンプレート150と転写された層の分離は、転写リソグラフィ・プロセスにおける非常に重要な最終ステップである。テンプレート150と基板は、ほぼ完全に平行であるため、テンプレート、転写された層および基板のアセンブリは、近オプティカル・フラット間に実質的に一様な接触をもたらしている。このようなシステムの場合、一般的に大きな分離力が必要である。たわみテンプレートまたはたわみ基板の場合、分離は単なる「ピーリング・プロセス」に過ぎないが、高分解能オーバレイ・アライメントの観点からすれば、たわみテンプレートまたはたわみ基板は望ましくない。水晶テンプレートおよびシリコン基板の場合、このピーリング・プロセスの実行は容易ではないが、テンプレートと転写された層の分離は、「ピール・プル」プロセスによって首尾良く実行することができる。図49A、49Bおよび49Cは、第1のピール・プル・プロセスを示したものである。図50A、50Bおよび50Cは、第2のピール・プル・プロセスを示したものである。テンプレートと転写された層を分離するプロセスには、第1および第2のピール・プル・プロセスの組合せも含まれている。
分かり易くするために、図1Aおよび1Bに従って参照数表示12、18、20および40を使用して、それぞれテンプレート、転写層、基板および硬化性物質が参照されている。物質40が硬化したあと、テンプレート12または基板20のいずれかが傾斜させられ、テンプレート12と基板20の間に角度500が故意に誘導される。配向ステージ250はこの目的のために使用される。基板20は、真空チャック478によって所定の位置に保持されている。テンプレート12と基板20の間の相対横方向運動は、傾斜軸がテンプレートと基板の境界に近接して位置付けされている場合、傾斜運動の間は重要ではない。テンプレート12と基板20の間の角度500が十分に大きくなると、Z軸運動(すなわち垂直運動)のみを使用して、テンプレート12と基板20が分離される。このピール・プル方法により、望ましくないせん断を生じることなく、所望のフィーチャ44が転写層18および基板20上に完全な状態で残される。
図50A、50Bおよび50Cは、第2のピール・プル方法を示したものである。第2のピール・プル方法では、テンプレートに隣接して1つまたは複数の圧電アクチュエータ502が設置されている。1つまたは複数の圧電アクチュエータ502を使用して、テンプレート12と基板20の間に相対傾斜が誘導される(図50A)。圧電アクチュエータ502の一端は基板20と接触している。したがってアクチュエータ502が大きくなると(図50B)、テンプレート12が基板20から押し出され、テンプレート12と基板20の間に角度が誘導される。次にテンプレート12と基板20の間のZ軸運動(図50C)を使用して、テンプレート12と基板20が分離される。アクチュエータ502の一端は、転写された層のアクチュエータ表面への粘着を防止するために、テンプレート12の下部表面の処理と同様に表面処理されている。
要約すると、本明細書に示した実施形態は、転写リソグラフィを高温または高圧の使用を必要とすることなく成功させるためのシステム、プロセスおよび関連デバイスを開示している。特定の実施形態では、テンプレートとそのテンプレートから所望のフィーチャを転写する基板との間のギャップの正確な制御が達成されている。また、所望のフィーチャを破壊することなく、あるいはせん断を生じることなく、テンプレートと基板(および転写された層)を分離することができる。また、本明細書における実施形態は、転写リソグラフィの間、基板を所定の位置に保持する方法を、適切な真空チャックの形で開示している。さらに、実施形態は、転写リソグラフィ・システムでの使用に適した高精度X−Y並進ステージを備えている。また、適切な転写リソグラフィ・テンプレートを形成し、処理する方法を備えている。
以上、様々な実例実施形態を参照して本発明を説明したが、以上の説明は、本発明を限定する意味で解釈してはならない。説明を参照すれば、当分野の技術者には、実例実施形態に対する様々な改変、組合せ、および本発明の他の実施形態が明らかであろう。したがって特許請求の範囲の各請求項は、このような改変または実施形態のすべてを包含しているものとする。

Claims (2)

  1. 基板の表面を平らにする方法であって、
    基板の少なくとも一部に活性化光硬化液を塗布するステップと、
    塗布された活性化光硬化液がギャップを実質的に充填するように、テンプレートが基板に対して間隔を隔てて配置され、テンプレートと基板の間にギャップが生成されるように、実質的にパターン化されていない平らなテンプレートおよび基板を互いに間隔を隔てて位置決めするステップと、
    テンプレートと基板表面が実質的に平行になるようにテンプレートを調整するステップと、
    活性化光の照射によって活性化光硬化液が実質的に硬化させるように、活性化光硬化液に活性化光を照射するステップと、
    テンプレートと硬化した活性化光硬化液を分離するステップと、
    から構成されることを特徴とする方法。
  2. 基板を平らにするためのシステムであって、
    頂部フレームと、
    頂部フレームに結合された配向ステージであって、配向ステージが、
    使用中、第1の配向軸の周りにピボットするようになされた第1のたわみ部材と、
    使用中、第2の配向軸の周りにピボットするようになされた、第1のたわみ部材に結合された第2のたわみ部材と、
    使用中、第2のたわみ部材に結合され、パターン化されたテンプレートを保持するサポートとを備え、パターン化されたテンプレートがサポート中に配置されて、パターン化されたテンプレートが、使用中、第1および第2の配向軸が交差するピボット・ポイントの周りを移動するように、第2のたわみ部材が第1のたわみ部材に結合された配向ステージと、
    サポート中に配置される、実質的に平らなパターン化されていないテンプレートと、
    配向ステージの下側に位置付けされた、基板を支持するようになされた基板ステージと、
    から構成されることを特徴とするシステム。
JP2011051870A 2000-07-17 2011-03-09 転写リソグラフィ・プロセスのための自動液体ディスペンス方法およびシステム Expired - Fee Related JP5325914B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US21875400P 2000-07-17 2000-07-17
US60/218,754 2000-07-17

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2002512749A Division JP4740518B2 (ja) 2000-07-17 2001-07-17 転写リソグラフィ・プロセスのための自動液体ディスペンス方法およびシステム

Publications (2)

Publication Number Publication Date
JP2011176321A true JP2011176321A (ja) 2011-09-08
JP5325914B2 JP5325914B2 (ja) 2013-10-23

Family

ID=22816382

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2002512749A Expired - Lifetime JP4740518B2 (ja) 2000-07-17 2001-07-17 転写リソグラフィ・プロセスのための自動液体ディスペンス方法およびシステム
JP2011051870A Expired - Fee Related JP5325914B2 (ja) 2000-07-17 2011-03-09 転写リソグラフィ・プロセスのための自動液体ディスペンス方法およびシステム

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2002512749A Expired - Lifetime JP4740518B2 (ja) 2000-07-17 2001-07-17 転写リソグラフィ・プロセスのための自動液体ディスペンス方法およびシステム

Country Status (7)

Country Link
US (2) US20020094496A1 (ja)
EP (2) EP1303793B1 (ja)
JP (2) JP4740518B2 (ja)
KR (1) KR100827741B1 (ja)
CN (1) CN1262883C (ja)
AU (1) AU2001277907A1 (ja)
WO (1) WO2002006902A2 (ja)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011181944A (ja) * 2006-04-18 2011-09-15 Canon Inc インプリント方法およびインプリント装置
JP2013089663A (ja) * 2011-10-14 2013-05-13 Canon Inc インプリント装置、それを用いた物品の製造方法
JP2013214627A (ja) * 2012-04-03 2013-10-17 Dainippon Printing Co Ltd ナノインプリント用マスターテンプレート及びレプリカテンプレートの製造方法
JP2014229883A (ja) * 2013-05-27 2014-12-08 キヤノン株式会社 インプリント方法、インプリント装置及びデバイス製造方法
JP2016131257A (ja) * 2016-04-06 2016-07-21 大日本印刷株式会社 ナノインプリント用マスターテンプレート及びレプリカテンプレートの製造方法
WO2019160058A1 (ja) * 2018-02-19 2019-08-22 Scivax株式会社 モールド形成方法およびモールド
JP2020511795A (ja) * 2017-03-16 2020-04-16 モレキュラー インプリンツ, インコーポレイテッドMolecular Imprints,Inc. 光学ポリマーフィルムおよびそれを鋳造する方法
US11318692B2 (en) 2017-10-17 2022-05-03 Magic Leap, Inc. Methods and apparatuses for casting polymer products
US11320591B2 (en) 2018-10-16 2022-05-03 Magic Leap, Inc. Methods and apparatuses for casting polymer products

Families Citing this family (145)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6873087B1 (en) * 1999-10-29 2005-03-29 Board Of Regents, The University Of Texas System High precision orientation alignment and gap control stages for imprint lithography processes
US7162035B1 (en) 2000-05-24 2007-01-09 Tracer Detection Technology Corp. Authentication method and system
KR100827741B1 (ko) 2000-07-17 2008-05-07 보드 오브 리전츠, 더 유니버시티 오브 텍사스 시스템 임프린트 리소그래피 공정을 위한 자동 유체 분배 방법 및시스템
US20050160011A1 (en) * 2004-01-20 2005-07-21 Molecular Imprints, Inc. Method for concurrently employing differing materials to form a layer on a substrate
US7635262B2 (en) * 2000-07-18 2009-12-22 Princeton University Lithographic apparatus for fluid pressure imprint lithography
US6632536B2 (en) * 2000-12-28 2003-10-14 International Business Machines Corporation Self-assembled monolayer etch barrier for indium-tin-oxide useful in manufacturing thin film transistor-liquid crystal displays
US6517977B2 (en) * 2001-03-28 2003-02-11 Motorola, Inc. Lithographic template and method of formation and use
JP2003218658A (ja) * 2002-01-17 2003-07-31 Nec Corp 弾性表面波素子及び半導体装置の製造方法
US6743368B2 (en) * 2002-01-31 2004-06-01 Hewlett-Packard Development Company, L.P. Nano-size imprinting stamp using spacer technique
JP4411575B2 (ja) 2002-04-25 2010-02-10 セイコーエプソン株式会社 電子装置の製造装置
GB0213722D0 (en) 2002-06-14 2002-07-24 Suisse Electronique Microtech Micro electrical mechanical systems
US7442336B2 (en) * 2003-08-21 2008-10-28 Molecular Imprints, Inc. Capillary imprinting technique
US7019819B2 (en) * 2002-11-13 2006-03-28 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
MY144124A (en) * 2002-07-11 2011-08-15 Molecular Imprints Inc Step and repeat imprint lithography systems
US7077992B2 (en) 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US20040065252A1 (en) * 2002-10-04 2004-04-08 Sreenivasan Sidlgata V. Method of forming a layer on a substrate to facilitate fabrication of metrology standards
US8349241B2 (en) 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
US7641840B2 (en) * 2002-11-13 2010-01-05 Molecular Imprints, Inc. Method for expelling gas positioned between a substrate and a mold
GB0227902D0 (en) * 2002-11-29 2003-01-08 Ingenia Holdings Ltd Template
AU2003300865A1 (en) 2002-12-13 2004-07-09 Molecular Imprints, Inc. Magnification corrections employing out-of-plane distortions on a substrate
JP4340086B2 (ja) * 2003-03-20 2009-10-07 株式会社日立製作所 ナノプリント用スタンパ、及び微細構造転写方法
JP4651390B2 (ja) * 2003-03-27 2011-03-16 コリア・インスティテュート・オブ・マシナリー・アンド・マテリアルズ 多重浮彫要素スタンプを利用したuvナノインプリントリソグラフィ法
US6805054B1 (en) * 2003-05-14 2004-10-19 Molecular Imprints, Inc. Method, system and holder for transferring templates during imprint lithography processes
US20050257709A1 (en) * 2003-08-28 2005-11-24 Tony Mule Systems and methods for three-dimensional lithography and nano-indentation
JP2005101201A (ja) 2003-09-24 2005-04-14 Canon Inc ナノインプリント装置
US7090716B2 (en) * 2003-10-02 2006-08-15 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US8211214B2 (en) 2003-10-02 2012-07-03 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US7122482B2 (en) 2003-10-27 2006-10-17 Molecular Imprints, Inc. Methods for fabricating patterned features utilizing imprint lithography
DE502005000868D1 (de) * 2004-02-13 2007-07-26 Shell Solar Gmbh Einrichtung zum aufbringen einer flüssigen dotierungsstofflösung auf einem wafer
KR100585951B1 (ko) * 2004-02-18 2006-06-01 한국기계연구원 조합/분리형 독립구동이 가능한 복수 개의 모듈을 갖는 임프린팅 장치
US8076386B2 (en) 2004-02-23 2011-12-13 Molecular Imprints, Inc. Materials for imprint lithography
US7906180B2 (en) 2004-02-27 2011-03-15 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
US20050189676A1 (en) * 2004-02-27 2005-09-01 Molecular Imprints, Inc. Full-wafer or large area imprinting with multiple separated sub-fields for high throughput lithography
US7730834B2 (en) * 2004-03-04 2010-06-08 Asml Netherlands B.V. Printing apparatus and device manufacturing method
JP4481698B2 (ja) 2004-03-29 2010-06-16 キヤノン株式会社 加工装置
JP4393244B2 (ja) 2004-03-29 2010-01-06 キヤノン株式会社 インプリント装置
US20050275311A1 (en) * 2004-06-01 2005-12-15 Molecular Imprints, Inc. Compliant device for nano-scale manufacturing
ATE477515T1 (de) * 2004-06-03 2010-08-15 Molecular Imprints Inc Fluidausgabe und tropfenausgabe nach bedarf für die herstellung im nanobereich
US20070228593A1 (en) 2006-04-03 2007-10-04 Molecular Imprints, Inc. Residual Layer Thickness Measurement and Correction
KR101031693B1 (ko) * 2004-06-18 2011-04-29 엘지디스플레이 주식회사 패턴형성용 레지스트 및 이를 이용한 패턴형성방법
US20060017876A1 (en) * 2004-07-23 2006-01-26 Molecular Imprints, Inc. Displays and method for fabricating displays
US20060027036A1 (en) * 2004-08-05 2006-02-09 Biggs Todd L Methods and apparatuses for imprinting substrates
US7105452B2 (en) * 2004-08-13 2006-09-12 Molecular Imprints, Inc. Method of planarizing a semiconductor substrate with an etching chemistry
US20060062922A1 (en) 2004-09-23 2006-03-23 Molecular Imprints, Inc. Polymerization technique to attenuate oxygen inhibition of solidification of liquids and composition therefor
US7244386B2 (en) * 2004-09-27 2007-07-17 Molecular Imprints, Inc. Method of compensating for a volumetric shrinkage of a material disposed upon a substrate to form a substantially planar structure therefrom
WO2006060757A2 (en) * 2004-12-01 2006-06-08 Molecular Imprints, Inc. Eliminating printability of sub-resolution defects in imprint lithography
US7281919B2 (en) * 2004-12-07 2007-10-16 Molecular Imprints, Inc. System for controlling a volume of material on a mold
US7676088B2 (en) * 2004-12-23 2010-03-09 Asml Netherlands B.V. Imprint lithography
US20060144274A1 (en) * 2004-12-30 2006-07-06 Asml Netherlands B.V. Imprint lithography
US7490547B2 (en) * 2004-12-30 2009-02-17 Asml Netherlands B.V. Imprint lithography
US7686970B2 (en) 2004-12-30 2010-03-30 Asml Netherlands B.V. Imprint lithography
US20060144814A1 (en) * 2004-12-30 2006-07-06 Asml Netherlands B.V. Imprint lithography
US7354698B2 (en) * 2005-01-07 2008-04-08 Asml Netherlands B.V. Imprint lithography
US7922474B2 (en) * 2005-02-17 2011-04-12 Asml Netherlands B.V. Imprint lithography
JP4617917B2 (ja) * 2005-02-21 2011-01-26 セイコーエプソン株式会社 膜パターン形成方法、及び弾性表面波デバイスの製造方法
US7523701B2 (en) 2005-03-07 2009-04-28 Asml Netherlands B.V. Imprint lithography method and apparatus
US7611348B2 (en) * 2005-04-19 2009-11-03 Asml Netherlands B.V. Imprint lithography
US7762186B2 (en) * 2005-04-19 2010-07-27 Asml Netherlands B.V. Imprint lithography
US7442029B2 (en) 2005-05-16 2008-10-28 Asml Netherlands B.V. Imprint lithography
US7692771B2 (en) * 2005-05-27 2010-04-06 Asml Netherlands B.V. Imprint lithography
US7708924B2 (en) * 2005-07-21 2010-05-04 Asml Netherlands B.V. Imprint lithography
US20060267231A1 (en) * 2005-05-27 2006-11-30 Asml Netherlands B.V. Imprint lithography
US7418902B2 (en) * 2005-05-31 2008-09-02 Asml Netherlands B.V. Imprint lithography including alignment
KR100903097B1 (ko) * 2005-06-13 2009-06-15 한국기계연구원 균일압으로 패턴 성형이 가능한 임프린팅장치
US7377764B2 (en) * 2005-06-13 2008-05-27 Asml Netherlands B.V. Imprint lithography
US8808808B2 (en) * 2005-07-22 2014-08-19 Molecular Imprints, Inc. Method for imprint lithography utilizing an adhesion primer layer
US8557351B2 (en) * 2005-07-22 2013-10-15 Molecular Imprints, Inc. Method for adhering materials together
US8846195B2 (en) 2005-07-22 2014-09-30 Canon Nanotechnologies, Inc. Ultra-thin polymeric adhesion layer
US7759407B2 (en) 2005-07-22 2010-07-20 Molecular Imprints, Inc. Composition for adhering materials together
US20070023976A1 (en) * 2005-07-26 2007-02-01 Asml Netherlands B.V. Imprint lithography
US7878791B2 (en) * 2005-11-04 2011-02-01 Asml Netherlands B.V. Imprint lithography
US8011915B2 (en) 2005-11-04 2011-09-06 Asml Netherlands B.V. Imprint lithography
US7803308B2 (en) 2005-12-01 2010-09-28 Molecular Imprints, Inc. Technique for separating a mold from solidified imprinting material
US7906058B2 (en) * 2005-12-01 2011-03-15 Molecular Imprints, Inc. Bifurcated contact printing technique
US7670530B2 (en) 2006-01-20 2010-03-02 Molecular Imprints, Inc. Patterning substrates employing multiple chucks
KR101324549B1 (ko) 2005-12-08 2013-11-01 몰레큘러 임프린츠 인코퍼레이티드 기판의 양면 패턴화를 위한 방법 및 시스템
JP4827513B2 (ja) * 2005-12-09 2011-11-30 キヤノン株式会社 加工方法
US20070138699A1 (en) * 2005-12-21 2007-06-21 Asml Netherlands B.V. Imprint lithography
US7517211B2 (en) * 2005-12-21 2009-04-14 Asml Netherlands B.V. Imprint lithography
KR100808969B1 (ko) 2005-12-29 2008-03-04 서울시립대학교 산학협력단 탄성 표면파 필터의 제작 방법
KR101197061B1 (ko) * 2006-03-27 2012-11-06 삼성디스플레이 주식회사 표시장치 제조용 몰드와 이를 이용한 표시장치의 제조방법
US7802978B2 (en) 2006-04-03 2010-09-28 Molecular Imprints, Inc. Imprinting of partial fields at the edge of the wafer
US8142850B2 (en) 2006-04-03 2012-03-27 Molecular Imprints, Inc. Patterning a plurality of fields on a substrate to compensate for differing evaporation times
TW200801794A (en) * 2006-04-03 2008-01-01 Molecular Imprints Inc Method of concurrently patterning a substrate having a plurality of fields and a plurality of alignment marks
US8012395B2 (en) 2006-04-18 2011-09-06 Molecular Imprints, Inc. Template having alignment marks formed of contrast material
US7931460B2 (en) * 2006-05-03 2011-04-26 3D Systems, Inc. Material delivery system for use in solid imaging
US8215946B2 (en) 2006-05-18 2012-07-10 Molecular Imprints, Inc. Imprint lithography system and method
JP4819577B2 (ja) * 2006-05-31 2011-11-24 キヤノン株式会社 パターン転写方法およびパターン転写装置
US8318253B2 (en) * 2006-06-30 2012-11-27 Asml Netherlands B.V. Imprint lithography
US8015939B2 (en) 2006-06-30 2011-09-13 Asml Netherlands B.V. Imprintable medium dispenser
US20080102380A1 (en) * 2006-10-30 2008-05-01 Mangat Pawitter S High density lithographic process
TW200842934A (en) * 2006-12-29 2008-11-01 Molecular Imprints Inc Imprint fluid control
KR100814264B1 (ko) * 2007-05-18 2008-03-18 주식회사 아바코 임프린트 리소그래피 공정에서의 스탬프와 임프린트된기판과의 분리 방법
US20090014917A1 (en) * 2007-07-10 2009-01-15 Molecular Imprints, Inc. Drop Pattern Generation for Imprint Lithography
US20090038636A1 (en) * 2007-08-09 2009-02-12 Asml Netherlands B.V. Cleaning method
US7854877B2 (en) 2007-08-14 2010-12-21 Asml Netherlands B.V. Lithography meandering order
US8144309B2 (en) * 2007-09-05 2012-03-27 Asml Netherlands B.V. Imprint lithography
US8119052B2 (en) * 2007-11-02 2012-02-21 Molecular Imprints, Inc. Drop pattern generation for imprint lithography
US8945444B2 (en) * 2007-12-04 2015-02-03 Canon Nanotechnologies, Inc. High throughput imprint based on contact line motion tracking control
US9323143B2 (en) * 2008-02-05 2016-04-26 Canon Nanotechnologies, Inc. Controlling template surface composition in nano-imprint lithography
US8361371B2 (en) * 2008-02-08 2013-01-29 Molecular Imprints, Inc. Extrusion reduction in imprint lithography
US8187515B2 (en) * 2008-04-01 2012-05-29 Molecular Imprints, Inc. Large area roll-to-roll imprint lithography
US7995196B1 (en) 2008-04-23 2011-08-09 Tracer Detection Technology Corp. Authentication method and system
JP2010080630A (ja) * 2008-09-25 2010-04-08 Canon Inc 押印装置および物品の製造方法
US20100096764A1 (en) * 2008-10-20 2010-04-22 Molecular Imprints, Inc. Gas Environment for Imprint Lithography
US8075299B2 (en) * 2008-10-21 2011-12-13 Molecular Imprints, Inc. Reduction of stress during template separation
US8586126B2 (en) 2008-10-21 2013-11-19 Molecular Imprints, Inc. Robust optimization to generate drop patterns in imprint lithography which are tolerant of variations in drop volume and drop placement
US8512797B2 (en) * 2008-10-21 2013-08-20 Molecular Imprints, Inc. Drop pattern generation with edge weighting
US8361546B2 (en) * 2008-10-30 2013-01-29 Molecular Imprints, Inc. Facilitating adhesion between substrate and patterned layer
US20100112220A1 (en) * 2008-11-03 2010-05-06 Molecular Imprints, Inc. Dispense system set-up and characterization
JP2011066238A (ja) * 2009-09-17 2011-03-31 Toshiba Corp パターン形成用テンプレートの作製方法
JP5774598B2 (ja) * 2009-11-24 2015-09-09 エーエスエムエル ネザーランズ ビー.ブイ. アライメント及びインプリントリソグラフィ
US20110165412A1 (en) * 2009-11-24 2011-07-07 Molecular Imprints, Inc. Adhesion layers in nanoimprint lithograhy
SG172492A1 (en) * 2009-12-16 2011-07-28 Choong Whye Kwok Method and apparatus for making polymeric resin-based optical components via ultra-violet radiation
JP5033867B2 (ja) * 2009-12-28 2012-09-26 株式会社日立ハイテクノロジーズ 微細構造体、微細構造体の製造方法、及び微細構造体製造用の重合性樹脂組成物
NL2006004A (en) * 2010-03-25 2011-09-27 Asml Netherlands Bv Imprint lithography.
JP2012169537A (ja) * 2011-02-16 2012-09-06 Toshiba Corp インプリント装置、インプリント方法およびプロセス条件選択方法
JP5930699B2 (ja) * 2011-12-20 2016-06-08 キヤノン株式会社 インプリント装置、インプリント方法およびデバイスの製造方法
JP5907788B2 (ja) * 2012-04-11 2016-04-26 株式会社図研 情報処理装置、情報処理方法、プログラムおよび基板製造システム
US20170066208A1 (en) 2015-09-08 2017-03-09 Canon Kabushiki Kaisha Substrate pretreatment for reducing fill time in nanoimprint lithography
US10488753B2 (en) * 2015-09-08 2019-11-26 Canon Kabushiki Kaisha Substrate pretreatment and etch uniformity in nanoimprint lithography
JP6141500B2 (ja) * 2015-09-08 2017-06-07 キヤノン株式会社 ナノインプリントリソグラフィーにおける充填時間を短縮するための基板の前処理
US10134588B2 (en) 2016-03-31 2018-11-20 Canon Kabushiki Kaisha Imprint resist and substrate pretreatment for reducing fill time in nanoimprint lithography
US10620539B2 (en) 2016-03-31 2020-04-14 Canon Kabushiki Kaisha Curing substrate pretreatment compositions in nanoimprint lithography
US10095106B2 (en) 2016-03-31 2018-10-09 Canon Kabushiki Kaisha Removing substrate pretreatment compositions in nanoimprint lithography
MX2018012063A (es) * 2016-04-06 2019-01-14 Procter & Gamble Metodo para fabricar una placa de impresion flexografica con patron.
US11131922B2 (en) * 2016-06-06 2021-09-28 Canon Kabushiki Kaisha Imprint lithography template, system, and method of imprinting
US10509313B2 (en) 2016-06-28 2019-12-17 Canon Kabushiki Kaisha Imprint resist with fluorinated photoinitiator and substrate pretreatment for reducing fill time in nanoimprint lithography
KR20180023102A (ko) 2016-08-23 2018-03-07 삼성디스플레이 주식회사 와이어 그리드 패턴 및 이의 제조방법
KR102326522B1 (ko) * 2016-10-18 2021-11-12 몰레큘러 임프린츠 인코퍼레이티드 구조물들의 마이크로리소그래픽 제작
US10258741B2 (en) 2016-12-28 2019-04-16 Cequr Sa Microfluidic flow restrictor and system
US10317793B2 (en) 2017-03-03 2019-06-11 Canon Kabushiki Kaisha Substrate pretreatment compositions for nanoimprint lithography
CN110546734B (zh) 2017-03-08 2024-04-02 佳能株式会社 固化物图案的制造方法和光学部件、电路板和石英模具复制品的制造方法以及用于压印预处理的涂覆材料及其固化物
WO2018163995A1 (ja) 2017-03-08 2018-09-13 キヤノン株式会社 パターン形成方法、ならびに加工基板、光学部品及び石英モールドレプリカの製造方法、ならびにインプリント前処理コーティング材料及びそれとインプリントレジストとのセット
JP6875233B2 (ja) * 2017-09-12 2021-05-19 キオクシア株式会社 テンプレート基板、テンプレート基板の製造方法、及び半導体装置の製造方法
US11927883B2 (en) 2018-03-30 2024-03-12 Canon Kabushiki Kaisha Method and apparatus to reduce variation of physical attribute of droplets using performance characteristic of dispensers
US10677588B2 (en) * 2018-04-09 2020-06-09 Kla-Tencor Corporation Localized telecentricity and focus optimization for overlay metrology
CN111825311A (zh) * 2019-04-17 2020-10-27 中国兵器工业第五九研究所 光学玻璃阵列透镜微纳热压成型工艺
JP7441037B2 (ja) * 2019-12-13 2024-02-29 キヤノン株式会社 インプリント装置、情報処理装置、インプリント方法及び物品の製造方法
US11656546B2 (en) 2020-02-27 2023-05-23 Canon Kabushiki Kaisha Exposure apparatus for uniform light intensity and methods of using the same
US11443940B2 (en) * 2020-06-24 2022-09-13 Canon Kabushiki Kaisha Apparatus for uniform light intensity and methods of using the same
US11719533B2 (en) * 2021-03-28 2023-08-08 Kla Corporation Modulation of scanning velocity during overlay metrology
KR102474000B1 (ko) 2022-03-07 2022-12-05 주식회사피에스디이 나노 임프린팅을 위한 디스펜서 및 그 제조 방법
KR102454787B1 (ko) 2022-05-06 2022-10-14 주식회사피에스디이 몰드 자동 교체형 나노 임프린팅 리소그래피 장치 및 그 방법
CN115513072B (zh) * 2022-11-08 2023-03-24 四川遂宁市利普芯微电子有限公司 一种基于流体点胶的微电子器件封装方法

Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5226171A (en) * 1975-08-22 1977-02-26 Nippon Telegr & Teleph Corp <Ntt> Mask creation method
JPS5882726A (ja) * 1981-11-12 1983-05-18 Toppan Printing Co Ltd 高密度情報担体の製造方法
JPS62183582U (ja) * 1986-05-09 1987-11-21
JPS6414752A (en) * 1987-07-09 1989-01-18 Seiko Epson Corp Production of optical recording medium
JPH03283435A (ja) * 1990-03-30 1991-12-13 Toshiba Corp 樹脂吐出装置
JPH0423244A (ja) * 1990-05-18 1992-01-27 Tdk Corp 光ディスク用基板の製造方法および光ディスク
JPH04252040A (ja) * 1991-01-11 1992-09-08 Kawasaki Steel Corp 接着剤塗布装置およびダイボンディング方法
JPH0547051A (ja) * 1991-08-19 1993-02-26 Sony Corp 光デイスクの製造方法
JPH07221006A (ja) * 1994-01-28 1995-08-18 Sony Corp 平坦化膜の形成方法およびその形成装置
JPH07335532A (ja) * 1994-06-13 1995-12-22 Fujitsu Ltd 樹脂の回転塗布方法
JPH08241899A (ja) * 1995-03-06 1996-09-17 Matsushita Electric Ind Co Ltd チップのボンディング方法
JPH0991771A (ja) * 1995-09-27 1997-04-04 Sony Corp 光学記録媒体の製造方法
JP2000298352A (ja) * 1999-04-14 2000-10-24 Jsr Corp 電子部品用材料およびその使用方法
US20020150398A1 (en) * 2000-08-21 2002-10-17 Choi Byung J. Flexure based macro motion translation stage
JP2002539604A (ja) * 1999-03-11 2002-11-19 ボード・オヴ・リージェンツ,ザ・ユニヴァーシティ・オヴ・テキサス・システム 段付き鋳張り捺印式リソグラフィー
JP2003517727A (ja) * 1999-10-29 2003-05-27 ボード・オブ・リージエンツ,ザ・ユニバーシテイ・オブ・テキサス・システム インプリント・リソグラフィのための高精度方向付けアライメントデバイスおよびギャップ制御デバイス

Family Cites Families (479)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1236304A (en) 1917-02-03 1917-08-07 Riley L Howell Cushioned hand-stamp.
GB494877A (en) 1938-03-01 1938-11-02 Johnson Bros Hanley Ltd Improvements in or relating to pottery ware and the manufacture thereof
US3574485A (en) 1958-11-28 1971-04-13 Broido Louis Method and apparatus for movement of liquids by electromagnetic means
GB1139808A (en) 1965-05-11 1969-01-15 Hayes Engineers Leeds Ltd Improvements in or relating to tracer valve control units
GB1146618A (en) 1965-10-11 1969-03-26 Harry Frank Gipe Method for preparing photo-lithographic plates
US3527062A (en) 1968-09-25 1970-09-08 Singer General Precision Universal joint flexure hinge
US3577593A (en) 1968-10-29 1971-05-04 Bird & Son Apparatus for heat and vacuum-pressure machine molding
US3783520A (en) * 1970-09-28 1974-01-08 Bell Telephone Labor Inc High accuracy alignment procedure utilizing moire patterns
JPS4826845B1 (ja) 1970-11-25 1973-08-16
US3807027A (en) * 1972-03-31 1974-04-30 Johns Manville Method of forming the bell end of a bell and spigot joint
US3807029A (en) * 1972-09-05 1974-04-30 Bendix Corp Method of making a flexural pivot
US3811665A (en) * 1972-09-05 1974-05-21 Bendix Corp Flexural pivot with diaphragm means
FR2325018A1 (fr) * 1975-06-23 1977-04-15 Ibm Dispositif de mesure d'intervalle pour definir la distance entre deux faces ou plus
IT1068535B (it) 1975-11-03 1985-03-21 Ibm Apparecchio e processo elettrolito grafico
US4062600A (en) 1976-04-05 1977-12-13 Litton Systems, Inc. Dual-gimbal gyroscope flexure suspension
US4098001A (en) 1976-10-13 1978-07-04 The Charles Stark Draper Laboratory, Inc. Remote center compliance system
DE2800476A1 (de) 1977-01-07 1978-07-13 Instruments Sa Verfahren zur duplizierung einer optischen flaeche sowie so hergestelltes beugungsgitter
GB1578259A (en) 1977-05-11 1980-11-05 Philips Electronic Associated Methods of manufacturing solid-state devices apparatus for use therein and devices manufactured thereby
JPS577931Y2 (ja) 1977-06-03 1982-02-16
NL7710555A (nl) 1977-09-28 1979-03-30 Philips Nv Werkwijze en inrichting voor het vervaardigen van informatie bevattende platen.
US4155169A (en) * 1978-03-16 1979-05-22 The Charles Stark Draper Laboratory, Inc. Compliant assembly system device
US4201800A (en) * 1978-04-28 1980-05-06 International Business Machines Corp. Hardened photoresist master image mask process
SE412303B (sv) * 1978-06-09 1980-02-25 Ericsson Telefon Ab L M Telefonapparat med magasinfack
JPS6053675B2 (ja) * 1978-09-20 1985-11-27 富士写真フイルム株式会社 スピンコ−テイング方法
US4202107A (en) * 1978-10-23 1980-05-13 Watson Paul C Remote axis admittance system
JPS605935Y2 (ja) 1978-12-05 1985-02-25 アイダエンジニアリング株式会社 フィ−ドバ−移動装置
US4326805A (en) 1980-04-11 1982-04-27 Bell Telephone Laboratories, Incorporated Method and apparatus for aligning mask and wafer members
US4337579A (en) 1980-04-16 1982-07-06 The Charles Stark Draper Laboratory, Inc. Deformable remote center compliance device
US4355469A (en) 1980-11-28 1982-10-26 The Charles Stark Draper Laboratory, Inc. Folded remote center compliance device
JPS5820046U (ja) 1981-07-31 1983-02-07 東陶機器株式会社 衛生設備室における天壁と側壁との取付装置
US4414750A (en) 1981-10-19 1983-11-15 The Charles Stark Draper Laboratory, Inc. Single stage remote center compliance device
DE3208081A1 (de) 1982-03-06 1983-09-08 Braun Ag, 6000 Frankfurt Verfahren zur herstellung einer siebartigen scherfolie fuer einen elektrisch betriebenen trockenrasierapparat mit erhebungen auf ihrer der haut zugewandten flaeche
US4617238A (en) 1982-04-01 1986-10-14 General Electric Company Vinyloxy-functional organopolysiloxane compositions
DE3377597D1 (en) * 1982-04-12 1988-09-08 Nippon Telegraph & Telephone Method for forming micropattern
US4614300A (en) 1982-04-19 1986-09-30 E. I. Du Pont De Nemours And Company Computerized spray machine
US4440804A (en) * 1982-08-02 1984-04-03 Fairchild Camera & Instrument Corporation Lift-off process for fabricating self-aligned contacts
US4544572A (en) 1982-09-07 1985-10-01 Minnesota Mining And Manufacturing Company Coated ophthalmic lenses and method for coating the same
JPS5972727A (ja) 1982-10-19 1984-04-24 Matsushita Electric Ind Co Ltd 位置合わせ用テ−ブル
US4451507A (en) * 1982-10-29 1984-05-29 Rca Corporation Automatic liquid dispensing apparatus for spinning surface of uniform thickness
US4512386A (en) * 1982-11-12 1985-04-23 Swiss Aluminium Ltd. Adjustable mold for electromagnetic casting
FR2538923A1 (fr) 1982-12-30 1984-07-06 Thomson Csf Procede et dispositif d'alignement optique de motifs dans deux plans rapproches dans un appareil d'exposition comprenant une source de rayonnement divergent
US4551192A (en) 1983-06-30 1985-11-05 International Business Machines Corporation Electrostatic or vacuum pinchuck formed with microcircuit lithography
JPS6011905U (ja) 1983-07-01 1985-01-26 ダイハツ興産株式会社 2壁間の接合構造
US4507331A (en) * 1983-12-12 1985-03-26 International Business Machines Corporation Dry process for forming positive tone micro patterns
US4512848A (en) * 1984-02-06 1985-04-23 Exxon Research And Engineering Co. Procedure for fabrication of microstructures over large areas using physical replication
US4559717A (en) 1984-02-21 1985-12-24 The United States Of America As Represented By The Secretary Of Commerce Flexure hinge
US4552833A (en) 1984-05-14 1985-11-12 International Business Machines Corporation Radiation sensitive and oxygen plasma developable resist
US4694703A (en) 1984-06-28 1987-09-22 Lear Siegler, Inc. Circumferentially oriented flexure suspension
US5554336A (en) 1984-08-08 1996-09-10 3D Systems, Inc. Method and apparatus for production of three-dimensional objects by stereolithography
JPS61116358A (ja) * 1984-11-09 1986-06-03 Mitsubishi Electric Corp フオトマスク材料
JPH0740378B2 (ja) * 1984-12-07 1995-05-01 株式会社日立製作所 情報記録担体複製方法及びその装置
US4908298A (en) * 1985-03-19 1990-03-13 International Business Machines Corporation Method of creating patterned multilayer films for use in production of semiconductor circuits and systems
WO1987002935A1 (en) * 1985-11-18 1987-05-21 Eastman Kodak Company Process for making optical recording media
EP0228671A1 (en) 1985-12-23 1987-07-15 General Electric Company Method for the production of a coated substrate with controlled surface characteristics
US4657845A (en) * 1986-01-14 1987-04-14 International Business Machines Corporation Positive tone oxygen plasma developable photoresist
US4692205A (en) 1986-01-31 1987-09-08 International Business Machines Corporation Silicon-containing polyimides as oxygen etch stop and dual dielectric coatings
JPH0770780B2 (ja) * 1986-02-07 1995-07-31 株式会社日立製作所 半導体レ−ザ
NL8600809A (nl) 1986-03-28 1987-10-16 Philips Nv Methode om een matrijs te voorzien van een loslaag.
US4724222A (en) * 1986-04-28 1988-02-09 American Telephone And Telegraph Company, At&T Bell Laboratories Wafer chuck comprising a curved reference surface
US4737425A (en) * 1986-06-10 1988-04-12 International Business Machines Corporation Patterned resist and process
KR900004269B1 (ko) 1986-06-11 1990-06-18 가부시기가이샤 도시바 제 1물체와 제 2 물체와의 위치 맞추는 방법 및 장치
US4929083A (en) * 1986-06-19 1990-05-29 Xerox Corporation Focus and overlay characterization and optimization for photolithographic exposure
DE3622540A1 (de) * 1986-07-04 1988-01-07 Bayer Ag Verfahren zur herstellung von spannungsarmen formteilen
DE3760773D1 (en) * 1986-07-25 1989-11-16 Oki Electric Ind Co Ltd Negative resist material, method for its manufacture and method for using it
JPS6334108A (ja) * 1986-07-30 1988-02-13 Hitachi Ltd 光デイスク用基板の製造方法および装置
JPS6376330A (ja) 1986-09-18 1988-04-06 Oki Electric Ind Co Ltd 半導体装置の製造方法
FR2604553A1 (fr) 1986-09-29 1988-04-01 Rhone Poulenc Chimie Substrat polymere rigide pour disque optique et les disques optiques obtenus a partir dudit substrat
US4707218A (en) 1986-10-28 1987-11-17 International Business Machines Corporation Lithographic image size reduction
JPH06104375B2 (ja) * 1986-11-10 1994-12-21 松下電器産業株式会社 印刷方法
JPS63162132A (ja) 1986-12-26 1988-07-05 Nippon Thompson Co Ltd Xyテ−ブル
US4931351A (en) 1987-01-12 1990-06-05 Eastman Kodak Company Bilayer lithographic process
US5736424A (en) * 1987-02-27 1998-04-07 Lucent Technologies Inc. Device fabrication involving planarization
US6391798B1 (en) * 1987-02-27 2002-05-21 Agere Systems Guardian Corp. Process for planarization a semiconductor substrate
JPS63138730U (ja) 1987-03-03 1988-09-13
US4731155A (en) * 1987-04-15 1988-03-15 General Electric Company Process for forming a lithographic mask
US4808511A (en) * 1987-05-19 1989-02-28 International Business Machines Corporation Vapor phase photoresist silylation process
JPS63194956U (ja) 1987-05-29 1988-12-15
US5132069A (en) 1987-07-10 1992-07-21 Newton John R Method of injection molding composite articles
US5028361A (en) 1987-11-09 1991-07-02 Takeo Fujimoto Method for molding a photosensitive composition
US5028366A (en) 1988-01-12 1991-07-02 Air Products And Chemicals, Inc. Water based mold release compositions for making molded polyurethane foam
JPH01196749A (ja) 1988-01-30 1989-08-08 Hoya Corp 光情報記録媒体用基板の製造方法
JPH01206007A (ja) * 1988-02-12 1989-08-18 Canon Inc 情報記録媒体用基板の製造方法
US4848179A (en) 1988-02-16 1989-07-18 Trw Inc. Flexidigit robotic manipulator
DE3805631A1 (de) 1988-02-24 1989-09-07 Teldix Gmbh Drehschwingungsantrieb
US4883561A (en) 1988-03-29 1989-11-28 Bell Communications Research, Inc. Lift-off and subsequent bonding of epitaxial films
US4846931A (en) 1988-03-29 1989-07-11 Bell Communications Research, Inc. Method for lifting-off epitaxial films
US4891303A (en) * 1988-05-26 1990-01-02 Texas Instruments Incorporated Trilayer microlithographic process using a silicon-based resist as the middle layer
JPH0269936A (ja) 1988-07-28 1990-03-08 Siemens Ag 半導体材料上の樹脂構造の形成方法
US5108875A (en) * 1988-07-29 1992-04-28 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
US4921778A (en) * 1988-07-29 1990-05-01 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
EP0355496A3 (en) 1988-08-15 1990-10-10 Sumitomo Heavy Industries Co., Ltd. Position detector employing a sector fresnel zone plate
JPH0822526B2 (ja) 1988-08-31 1996-03-06 三菱電機株式会社 中空セラミックス殻の製造方法
JP2546350B2 (ja) 1988-09-09 1996-10-23 キヤノン株式会社 位置合わせ装置
US5876550A (en) * 1988-10-05 1999-03-02 Helisys, Inc. Laminated object manufacturing apparatus and method
US4982796A (en) * 1988-10-18 1991-01-08 Arch Development Corp. Electromagnetic confinement for vertical casting or containing molten metal
US5171490A (en) 1988-11-29 1992-12-15 Fudim Efrem V Method and apparatus for production of three-dimensional objects by irradiation of photopolymers
US4964945A (en) 1988-12-09 1990-10-23 Minnesota Mining And Manufacturing Company Lift off patterning process on a flexible substrate
US5439766A (en) 1988-12-30 1995-08-08 International Business Machines Corporation Composition for photo imaging
JPH0292603U (ja) 1989-01-09 1990-07-23
JPH02192045A (ja) * 1989-01-20 1990-07-27 Fujitsu Ltd 光ディスク基板の製造方法
JPH02289311A (ja) * 1989-01-25 1990-11-29 Hoya Corp スタンパーおよびこのスタンパーを用いる情報記録媒体用基板の製造方法
CA2010169A1 (en) 1989-02-21 1990-08-21 Masakazu Uekita Multi-layer resist
US4999280A (en) * 1989-03-17 1991-03-12 International Business Machines Corporation Spray silylation of photoresist images
JPH0781024B2 (ja) 1989-03-22 1995-08-30 旭硝子株式会社 撥水性.防汚性を有する透明基材およびそれを装着した構造物
US5169494A (en) 1989-03-27 1992-12-08 Matsushita Electric Industrial Co., Ltd. Fine pattern forming method
EP0394741B1 (de) 1989-04-24 1997-06-25 Siemens Aktiengesellschaft Verfahren zur Erzeugung ätzresistenter Strukturen
JP3001607B2 (ja) 1989-04-24 2000-01-24 シーメンス、アクチエンゲゼルシヤフト 二層法における寸法安定な構造転写方法
US5110514A (en) * 1989-05-01 1992-05-05 Soane Technologies, Inc. Controlled casting of a shrinkable material
US5053318A (en) 1989-05-18 1991-10-01 Shipley Company Inc. Plasma processing with metal mask integration
CA2011927C (en) 1989-06-02 1996-12-24 Alan Lee Sidman Microlithographic method for producing thick, vertically-walled photoresist patterns
US4919748A (en) * 1989-06-30 1990-04-24 At&T Bell Laboratories Method for tapered etching
JP2704001B2 (ja) 1989-07-18 1998-01-26 キヤノン株式会社 位置検出装置
JP2906472B2 (ja) 1989-09-01 1999-06-21 旭硝子株式会社 透明成形体
DE4031637C2 (de) 1989-10-06 1997-04-10 Toshiba Kawasaki Kk Anordnung zum Messen einer Verschiebung zwischen zwei Objekten
US5139925A (en) 1989-10-18 1992-08-18 Massachusetts Institute Of Technology Surface barrier silylation of novolak film without photoactive additive patterned with 193 nm excimer laser
US5362606A (en) 1989-10-18 1994-11-08 Massachusetts Institute Of Technology Positive resist pattern formation through focused ion beam exposure and surface barrier silylation
JP2811337B2 (ja) * 1989-12-07 1998-10-15 日化エンジニアリング株式会社 ディスク製造方法
US5505349A (en) 1990-02-09 1996-04-09 Berg Company, A Division Of Dec International, Inc. Electronic dispensing heads
JP3197010B2 (ja) * 1990-03-05 2001-08-13 株式会社東芝 間隔設定方法及び間隔設定装置
US5073230A (en) 1990-04-17 1991-12-17 Arizona Board Of Regents Acting On Behalf Of Arizona State University Means and methods of lifting and relocating an epitaxial device layer
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
JP2586692B2 (ja) * 1990-05-24 1997-03-05 松下電器産業株式会社 パターン形成材料およびパターン形成方法
US5016691A (en) 1990-06-19 1991-05-21 Lucien Bernier Apparatus for centering template guide on router
JP2524436B2 (ja) 1990-09-18 1996-08-14 インターナショナル・ビジネス・マシーンズ・コーポレイション 表面処理方法
DE4029912A1 (de) 1990-09-21 1992-03-26 Philips Patentverwaltung Verfahren zur bildung mindestens eines grabens in einer substratschicht
US5331371A (en) 1990-09-26 1994-07-19 Canon Kabushiki Kaisha Alignment and exposure method
US5314772A (en) * 1990-10-09 1994-05-24 Arizona Board Of Regents High resolution, multi-layer resist for microlithography and method therefor
US5126006A (en) 1990-10-30 1992-06-30 International Business Machines Corp. Plural level chip masking
US5072126A (en) 1990-10-31 1991-12-10 International Business Machines Corporation Promixity alignment using polarized illumination and double conjugate projection lens
US5362940A (en) 1990-11-09 1994-11-08 Litel Instruments Use of Fresnel zone plates for material processing
JP2796899B2 (ja) 1991-02-16 1998-09-10 住友重機械工業株式会社 色収差2重焦点装置における帯域光および複色光照明方法
SE467678B (sv) 1991-03-26 1992-08-24 Lennart Olsson Saett och anordning foer foeraendring av vinkelhastigheten hos ett drivet roterande mediabaerorgan
JPH04327939A (ja) * 1991-04-26 1992-11-17 Matsushita Electric Ind Co Ltd 樹脂製凹版およびその製造方法
US5240878A (en) 1991-04-26 1993-08-31 International Business Machines Corporation Method for forming patterned films on a substrate
US5212147A (en) * 1991-05-15 1993-05-18 Hewlett-Packard Company Method of forming a patterned in-situ high Tc superconductive film
US5206983A (en) 1991-06-24 1993-05-04 Wisconsin Alumni Research Foundation Method of manufacturing micromechanical devices
US5421981A (en) 1991-06-26 1995-06-06 Ppg Industries, Inc. Electrochemical sensor storage device
JPH0521584A (ja) 1991-07-16 1993-01-29 Nikon Corp 保持装置
EP0524759A1 (en) 1991-07-23 1993-01-27 AT&T Corp. Device fabrication process
US5242711A (en) 1991-08-16 1993-09-07 Rockwell International Corp. Nucleation control of diamond films by microlithographic patterning
JPH0553289A (ja) 1991-08-22 1993-03-05 Nec Corp 位相シフトレチクルの製造方法
US5563702A (en) 1991-08-22 1996-10-08 Kla Instruments Corporation Automated photomask inspection apparatus and method
JPH0555654A (ja) 1991-08-26 1993-03-05 Nec Corp 圧電素子変位拡大機構
US5357122A (en) 1991-09-05 1994-10-18 Sony Corporation Three-dimensional optical-electronic integrated circuit device with raised sections
US5317386A (en) * 1991-09-06 1994-05-31 Eastman Kodak Company Optical monitor for measuring a gap between two rollers
JPH0580530A (ja) * 1991-09-24 1993-04-02 Hitachi Ltd 薄膜パターン製造方法
US5277749A (en) * 1991-10-17 1994-01-11 International Business Machines Corporation Methods and apparatus for relieving stress and resisting stencil delamination when performing lift-off processes that utilize high stress metals and/or multiple evaporation steps
US5331020A (en) 1991-11-14 1994-07-19 Dow Corning Limited Organosilicon compounds and compositions containing them
US5263073A (en) 1991-12-20 1993-11-16 Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College Scanning systems for high resolution E-beam and X-ray lithography
JP3074579B2 (ja) 1992-01-31 2000-08-07 キヤノン株式会社 位置ずれ補正方法
US5204739A (en) 1992-02-07 1993-04-20 Karl Suss America, Inc. Proximity mask alignment using a stored video image
US5244818A (en) 1992-04-08 1993-09-14 Georgia Tech Research Corporation Processes for lift-off of thin film materials and for the fabrication of three dimensional integrated circuits
US5545367A (en) 1992-04-15 1996-08-13 Soane Technologies, Inc. Rapid prototype three dimensional stereolithography
EP0568478A1 (en) 1992-04-29 1993-11-03 International Business Machines Corporation Darkfield alignment system using a confocal spatial filter
US5731981A (en) * 1992-06-08 1998-03-24 Azbar, Inc. Beverage dispensing system for bar
US5371822A (en) 1992-06-09 1994-12-06 Digital Equipment Corporation Method of packaging and assembling opto-electronic integrated circuits
US5376810A (en) 1992-06-26 1994-12-27 California Institute Of Technology Growth of delta-doped layers on silicon CCD/S for enhanced ultraviolet response
US5601641A (en) * 1992-07-21 1997-02-11 Tse Industries, Inc. Mold release composition with polybutadiene and method of coating a mold core
JPH06244269A (ja) 1992-09-07 1994-09-02 Mitsubishi Electric Corp 半導体製造装置並びに半導体製造装置におけるウエハ真空チャック装置及びガスクリーニング方法及び窒化膜形成方法
US5431777A (en) 1992-09-17 1995-07-11 International Business Machines Corporation Methods and compositions for the selective etching of silicon
US5543228A (en) * 1992-11-10 1996-08-06 Dai Nippon Printing Co., Ltd. Molded relief hologram
TW227628B (ja) 1992-12-10 1994-08-01 Samsung Electronics Co Ltd
JPH06183561A (ja) * 1992-12-18 1994-07-05 Canon Inc 移動ステージ装置
JP2821073B2 (ja) 1992-12-18 1998-11-05 松下電器産業株式会社 ギャップ制御装置及びギャップ制御方法
US6153886A (en) 1993-02-19 2000-11-28 Nikon Corporation Alignment apparatus in projection exposure apparatus
DE69405451T2 (de) 1993-03-16 1998-03-12 Koninkl Philips Electronics Nv Verfahren und Vorrichtung zur Herstellung eines strukturierten Reliefbildes aus vernetztem Photoresist auf einer flachen Substratoberfläche
US5348616A (en) 1993-05-03 1994-09-20 Motorola, Inc. Method for patterning a mold
US5884292A (en) * 1993-05-06 1999-03-16 Pitney Bowes Inc. System for smart card funds refill
US5594042A (en) * 1993-05-18 1997-01-14 Dow Corning Corporation Radiation curable compositions containing vinyl ether functional polyorganosiloxanes
US5861467A (en) * 1993-05-18 1999-01-19 Dow Corning Corporation Radiation curable siloxane compositions containing vinyl ether functionality and methods for their preparation
US5380474A (en) * 1993-05-20 1995-01-10 Sandia Corporation Methods for patterned deposition on a substrate
US5414514A (en) 1993-06-01 1995-05-09 Massachusetts Institute Of Technology On-axis interferometric alignment of plates using the spatial phase of interference patterns
US5324683A (en) 1993-06-02 1994-06-28 Motorola, Inc. Method of forming a semiconductor structure having an air region
JP2837063B2 (ja) * 1993-06-04 1998-12-14 シャープ株式会社 レジストパターンの形成方法
US6279474B1 (en) 1993-08-13 2001-08-28 Heidelberger Druckmaschinen Ag Method and device for transferring ink in a printing unit of an offset printing press
US6776094B1 (en) 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
US5900160A (en) * 1993-10-04 1999-05-04 President And Fellows Of Harvard College Methods of etching articles via microcontact printing
US5776748A (en) 1993-10-04 1998-07-07 President And Fellows Of Harvard College Method of formation of microstamped patterns on plates for adhesion of cells and other biological materials, devices and uses therefor
US6180239B1 (en) * 1993-10-04 2001-01-30 President And Fellows Of Harvard College Microcontact printing on surfaces and derivative articles
US5512131A (en) * 1993-10-04 1996-04-30 President And Fellows Of Harvard College Formation of microstamped patterns on surfaces and derivative articles
NL9401260A (nl) * 1993-11-12 1995-06-01 Cornelis Johannes Maria Van Ri Membraan voor microfiltratie, ultrafiltratie, gasscheiding en katalyse, werkwijze ter vervaardiging van een dergelijk membraan, mal ter vervaardiging van een dergelijk membraan, alsmede diverse scheidingssystemen omvattende een dergelijk membraan.
KR970009858B1 (ko) 1994-01-12 1997-06-18 엘지반도체 주식회사 다층 레지스트 패턴 형성방법
US5534101A (en) * 1994-03-02 1996-07-09 Telecommunication Research Laboratories Method and apparatus for making optical components by direct dispensing of curable liquid
KR0157279B1 (ko) 1994-03-15 1999-05-01 모리시타 요이찌 노광방법
US5417802A (en) * 1994-03-18 1995-05-23 At&T Corp. Integrated circuit manufacturing
US5528118A (en) 1994-04-01 1996-06-18 Nikon Precision, Inc. Guideless stage with isolated reaction stage
US5453157A (en) 1994-05-16 1995-09-26 Texas Instruments Incorporated Low temperature anisotropic ashing of resist for semiconductor fabrication
US5670415A (en) * 1994-05-24 1997-09-23 Depositech, Inc. Method and apparatus for vacuum deposition of highly ionized media in an electromagnetic controlled environment
US5425964A (en) 1994-07-22 1995-06-20 Rockwell International Corporation Deposition of multiple layer thin films using a broadband spectral monitor
US5515167A (en) * 1994-09-13 1996-05-07 Hughes Aircraft Company Transparent optical chuck incorporating optical monitoring
US5477058A (en) 1994-11-09 1995-12-19 Kabushiki Kaisha Toshiba Attenuated phase-shifting mask with opaque reticle alignment marks
US5563684A (en) 1994-11-30 1996-10-08 Sgs-Thomson Microelectronics, Inc. Adaptive wafer modulator for placing a selected pattern on a semiconductor wafer
US5458520A (en) 1994-12-13 1995-10-17 International Business Machines Corporation Method for producing planar field emission structure
JPH08171744A (ja) * 1994-12-16 1996-07-02 Canon Inc 光記録媒体用スタンパー原盤の製造方法
US6034378A (en) * 1995-02-01 2000-03-07 Nikon Corporation Method of detecting position of mark on substrate, position detection apparatus using this method, and exposure apparatus using this position detection apparatus
DE19509452A1 (de) 1995-03-22 1996-09-26 Inst Mikrotechnik Mainz Gmbh Werkzeug mit Entformvorrichtung zur Abformung mikrostrukturierter Bauteile
US5849209A (en) 1995-03-31 1998-12-15 Johnson & Johnson Vision Products, Inc. Mold material made with additives
US6342389B1 (en) 1995-04-10 2002-01-29 Roger S. Cubicciotti Modified phycobilisomes and uses therefore
US5743998A (en) * 1995-04-19 1998-04-28 Park Scientific Instruments Process for transferring microminiature patterns using spin-on glass resist media
GB9509487D0 (en) * 1995-05-10 1995-07-05 Ici Plc Micro relief element & preparation thereof
US5820769A (en) 1995-05-24 1998-10-13 Regents Of The University Of Minnesota Method for making magnetic storage having discrete elements with quantized magnetic moments
US5948570A (en) 1995-05-26 1999-09-07 Lucent Technologies Inc. Process for dry lithographic etching
US5808742A (en) 1995-05-31 1998-09-15 Massachusetts Institute Of Technology Optical alignment apparatus having multiple parallel alignment marks
US5625193A (en) 1995-07-10 1997-04-29 Qc Optics, Inc. Optical inspection system and method for detecting flaws on a diffractive surface
JP3624476B2 (ja) * 1995-07-17 2005-03-02 セイコーエプソン株式会社 半導体レーザ装置の製造方法
US5804017A (en) 1995-07-27 1998-09-08 Imation Corp. Method and apparatus for making an optical information record
US5654238A (en) 1995-08-03 1997-08-05 International Business Machines Corporation Method for etching vertical contact holes without substrate damage caused by directional etching
DE69524247T2 (de) * 1995-08-04 2002-08-08 Ibm Stempel für lithographie-verfahren
AU6774996A (en) * 1995-08-18 1997-03-12 President And Fellows Of Harvard College Self-assembled monolayer directed patterning of surfaces
JPH0963134A (ja) * 1995-08-24 1997-03-07 Sony Corp 光学記録媒体の製造方法
JPH09128820A (ja) * 1995-08-25 1997-05-16 Sony Corp 光学記録媒体の製造方法と製造装置
US5566584A (en) 1995-08-31 1996-10-22 Beta Squared, Inc. Flexure support for a fixture positioning device
US5849222A (en) 1995-09-29 1998-12-15 Johnson & Johnson Vision Products, Inc. Method for reducing lens hole defects in production of contact lens blanks
US5545570A (en) * 1995-09-29 1996-08-13 Taiwan Semiconductor Manufacturing Company Method of inspecting first layer overlay shift in global alignment process
SE508373C2 (sv) 1995-10-30 1998-09-28 Obducat Ab Kruptosystem för optiskt lagringsmedia
US6309580B1 (en) * 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US6518189B1 (en) * 1995-11-15 2003-02-11 Regents Of The University Of Minnesota Method and apparatus for high density nanostructures
US6482742B1 (en) 2000-07-18 2002-11-19 Stephen Y. Chou Fluid pressure imprint lithography
US7758794B2 (en) 2001-10-29 2010-07-20 Princeton University Method of making an article comprising nanoscale patterns with reduced edge roughness
US5772905A (en) * 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US20040036201A1 (en) * 2000-07-18 2004-02-26 Princeton University Methods and apparatus of field-induced pressure imprint lithography
US20040137734A1 (en) 1995-11-15 2004-07-15 Princeton University Compositions and processes for nanoimprinting
US5747102A (en) * 1995-11-16 1998-05-05 Nordson Corporation Method and apparatus for dispensing small amounts of liquid material
US5923408A (en) 1996-01-31 1999-07-13 Canon Kabushiki Kaisha Substrate holding system and exposure apparatus using the same
JP2842362B2 (ja) * 1996-02-29 1999-01-06 日本電気株式会社 重ね合わせ測定方法
US5669303A (en) 1996-03-04 1997-09-23 Motorola Apparatus and method for stamping a surface
US5725788A (en) * 1996-03-04 1998-03-10 Motorola Apparatus and method for patterning a surface
US6355198B1 (en) * 1996-03-15 2002-03-12 President And Fellows Of Harvard College Method of forming articles including waveguides via capillary micromolding and microtransfer molding
US20030179354A1 (en) 1996-03-22 2003-09-25 Nikon Corporation Mask-holding apparatus for a light exposure apparatus and related scanning-exposure method
US5817376A (en) 1996-03-26 1998-10-06 Minnesota Mining And Manufacturing Company Free-radically polymerizable compositions capable of being coated by electrostatic assistance
JP3832891B2 (ja) 1996-03-28 2006-10-11 日本トムソン株式会社 リニア電磁アクチュエータを用いたxyテーブル
JPH09283621A (ja) 1996-04-10 1997-10-31 Murata Mfg Co Ltd 半導体装置のt型ゲート電極形成方法およびその構造
JPH09278490A (ja) 1996-04-11 1997-10-28 Matsushita Electric Ind Co Ltd 撥水性ガラスコート及びその製造方法
US5853446A (en) 1996-04-16 1998-12-29 Corning Incorporated Method for forming glass rib structures
US5942443A (en) * 1996-06-28 1999-08-24 Caliper Technologies Corporation High throughput screening assay systems in microscale fluidic devices
US5802914A (en) 1996-05-30 1998-09-08 Eastman Kodak Company Alignment mechanism using flexures
US5888650A (en) * 1996-06-03 1999-03-30 Minnesota Mining And Manufacturing Company Temperature-responsive adhesive article
US5779799A (en) 1996-06-21 1998-07-14 Micron Technology, Inc. Substrate coating apparatus
US5717518A (en) 1996-07-22 1998-02-10 Kla Instruments Corporation Broad spectrum ultraviolet catadioptric imaging system
US6753131B1 (en) 1996-07-22 2004-06-22 President And Fellows Of Harvard College Transparent elastomeric, contact-mode photolithography mask, sensor, and wavefront engineering element
US6074827A (en) 1996-07-30 2000-06-13 Aclara Biosciences, Inc. Microfluidic method for nucleic acid purification and processing
US6039897A (en) * 1996-08-28 2000-03-21 University Of Washington Multiple patterned structures on a single substrate fabricated by elastomeric micro-molding techniques
WO1998010121A1 (en) 1996-09-06 1998-03-12 Obducat Ab Method for anisotropic etching of structures in conducting materials
US5858580A (en) * 1997-09-17 1999-01-12 Numerical Technologies, Inc. Phase shifting circuit manufacture method and apparatus
US6036055A (en) * 1996-11-12 2000-03-14 Barmate Corporation Wireless liquid portion and inventory control system
DE19648844C1 (de) 1996-11-26 1997-09-18 Jenoptik Jena Gmbh Einrichtung und Verfahren zur Abformung mikrosystemtechnischer Strukturen
FR2756400B1 (fr) 1996-11-28 1999-12-03 Bornes De Distribution Sofabod Procede et dispositif d'autorisation et de controle par carte a memoire pour la distribution et le comptage de consommation d'au moins un fluide ou energie
JPH10172897A (ja) 1996-12-05 1998-06-26 Nikon Corp 基板アダプタ,基板保持装置及び基板保持方法
US5885514A (en) * 1996-12-09 1999-03-23 Dana Corporation Ambient UVL-curable elastomer mold apparatus
US6048623A (en) 1996-12-18 2000-04-11 Kimberly-Clark Worldwide, Inc. Method of contact printing on gold coated films
US5895263A (en) * 1996-12-19 1999-04-20 International Business Machines Corporation Process for manufacture of integrated circuit device
US6143412A (en) 1997-02-10 2000-11-07 President And Fellows Of Harvard College Fabrication of carbon microstructures
US6049373A (en) 1997-02-28 2000-04-11 Sumitomo Heavy Industries, Ltd. Position detection technique applied to proximity exposure
DE19710420C2 (de) * 1997-03-13 2001-07-12 Helmut Fischer Gmbh & Co Verfahren und Vorrichtung zum Messen der Dicken dünner Schichten mittels Röntgenfluoreszenz
US6051179A (en) 1997-03-19 2000-04-18 Replicator Systems, Inc. Apparatus and method for production of three-dimensional models by spatial light modulator
JP3296239B2 (ja) 1997-03-27 2002-06-24 ウシオ電機株式会社 間隙設定機構を備えたプロキシミティ露光装置
US5948470A (en) 1997-04-28 1999-09-07 Harrison; Christopher Method of nanoscale patterning and products made thereby
US5812629A (en) 1997-04-30 1998-09-22 Clauser; John F. Ultrahigh resolution interferometric x-ray imaging
US5996415A (en) * 1997-04-30 1999-12-07 Sensys Instruments Corporation Apparatus and method for characterizing semiconductor wafers during processing
US5948219A (en) 1997-05-07 1999-09-07 Advanced Micro Devices, Inc. Apparatus for selectively exposing a semiconductor topography to an electric field
US5926690A (en) 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
US6033977A (en) * 1997-06-30 2000-03-07 Siemens Aktiengesellschaft Dual damascene structure
AU3818997A (en) 1997-07-25 1999-02-16 Regents Of The University Of Minnesota Single-electron floating-gate mos memory
US5988859A (en) 1997-07-30 1999-11-23 Kirk; Lester C. Apparatus for dispensing valuable bulk commodities and method therefor
IT1294942B1 (it) 1997-08-01 1999-04-23 Sacmi Procedimento di pressatura di polveri ceramiche ed attrezzatura di attuazione dello stesso.
US5912049A (en) 1997-08-12 1999-06-15 Micron Technology, Inc. Process liquid dispense method and apparatus
US5974150A (en) 1997-09-30 1999-10-26 Tracer Detection Technology Corp. System and method for authentication of goods
US5877861A (en) * 1997-11-14 1999-03-02 International Business Machines Corporation Method for overlay control system
US5937758A (en) * 1997-11-26 1999-08-17 Motorola, Inc. Micro-contact printing stamp
US5991022A (en) 1997-12-09 1999-11-23 N&K Technology, Inc. Reflectance spectrophotometric apparatus with toroidal mirrors
US6019166A (en) 1997-12-30 2000-02-01 Intel Corporation Pickup chuck with an integral heatsink
US6539286B1 (en) * 1998-01-26 2003-03-25 Micron Technology, Inc. Fluid level sensor
US6117708A (en) 1998-02-05 2000-09-12 Micron Technology, Inc. Use of residual organic compounds to facilitate gate break on a carrier substrate for a semiconductor device
SE511682C2 (sv) 1998-03-05 1999-11-08 Etchtech Sweden Ab Motstånd i elektriska ledare på eller i mönsterkort, substrat och halvledarbrickor
US6150680A (en) 1998-03-05 2000-11-21 Welch Allyn, Inc. Field effect semiconductor device having dipole barrier
EP1060299A1 (en) 1998-03-05 2000-12-20 Obducat AB Method of etching
JPH11251337A (ja) * 1998-03-06 1999-09-17 Hitachi Chem Co Ltd 半導体装置の製造方法及び半導体装置
TW352421B (en) * 1998-04-27 1999-02-11 United Microelectronics Corp Method and process of phase shifting mask
JP2000039702A (ja) * 1998-04-30 2000-02-08 Ebara Corp 微細パタ―ンの転写加工方法
JP3780700B2 (ja) 1998-05-26 2006-05-31 セイコーエプソン株式会社 パターン形成方法、パターン形成装置、パターン形成用版、パターン形成用版の製造方法、カラーフィルタの製造方法、導電膜の製造方法及び液晶パネルの製造方法
US6239590B1 (en) 1998-05-26 2001-05-29 Micron Technology, Inc. Calibration target for calibrating semiconductor wafer test systems
US6150231A (en) 1998-06-15 2000-11-21 Siemens Aktiengesellschaft Overlay measurement technique using moire patterns
US6027595A (en) * 1998-07-02 2000-02-22 Samsung Electronics Co., Ltd. Method of making optical replicas by stamping in photoresist and replicas formed thereby
DE19832414C2 (de) 1998-07-18 2000-07-20 Rob Borgmann Siebdruckverfahren zum Bedrucken von ebenflächigen Gegenständen, insbesondere Glasscheiben, mit einem Dekor und Vorrichtung zur Durchführung des Verfahrens
US5907782A (en) * 1998-08-15 1999-05-25 Acer Semiconductor Manufacturing Inc. Method of forming a multiple fin-pillar capacitor for a high density dram cell
US6096655A (en) 1998-09-02 2000-08-01 International Business Machines, Corporation Method for forming vias and trenches in an insulation layer for a dual-damascene multilevel interconnection structure
US6523803B1 (en) 1998-09-03 2003-02-25 Micron Technology, Inc. Mold apparatus used during semiconductor device fabrication
WO2000021689A1 (en) 1998-10-09 2000-04-20 The Trustees Of Princeton University Microscale patterning and articles formed thereby
US6713238B1 (en) * 1998-10-09 2004-03-30 Stephen Y. Chou Microscale patterning and articles formed thereby
US6726195B1 (en) 1998-10-13 2004-04-27 Dek International Gmbh Method for ensuring planarity when using a flexible, self conforming, workpiece support system
US6261469B1 (en) 1998-10-13 2001-07-17 Honeywell International Inc. Three dimensionally periodic structural assemblies on nanometer and longer scales
US6218316B1 (en) 1998-10-22 2001-04-17 Micron Technology, Inc. Planarization of non-planar surfaces in device fabrication
US6437891B1 (en) 1998-10-27 2002-08-20 Agere Systems Guardian Corp. Integrated dual-wavelength transceiver
US6248486B1 (en) 1998-11-23 2001-06-19 U.S. Philips Corporation Method of detecting aberrations of an optical imaging system
US6388755B1 (en) 1998-12-03 2002-05-14 Advanced Optical Technologies, Inc. Wireless position and orientation detecting system
US6204922B1 (en) * 1998-12-11 2001-03-20 Filmetrics, Inc. Rapid and accurate thin film measurement of individual layers in a multi-layered or patterned sample
JP2000194142A (ja) * 1998-12-25 2000-07-14 Fujitsu Ltd パタ―ン形成方法及び半導体装置の製造方法
US6251207B1 (en) 1998-12-31 2001-06-26 Kimberly-Clark Worldwide, Inc. Embossing and laminating irregular bonding patterns
US20020122873A1 (en) 2000-01-05 2002-09-05 Mirkin Chad A. Nanolithography methods and products therefor and produced thereby
US6168845B1 (en) * 1999-01-19 2001-01-02 International Business Machines Corporation Patterned magnetic media and method of making the same using selective oxidation
US6274294B1 (en) 1999-02-03 2001-08-14 Electroformed Stents, Inc. Cylindrical photolithography exposure process and apparatus
US6565928B2 (en) * 1999-03-08 2003-05-20 Tokyo Electron Limited Film forming method and film forming apparatus
US6160430A (en) 1999-03-22 2000-12-12 Ati International Srl Powerup sequence artificial voltage supply circuit
DE19913076A1 (de) * 1999-03-23 2000-10-19 Hahn Schickard Ges Vorrichtung und Verfahren zum Aufbringen von Mikrotröpfchen auf ein Substrat
US6569481B1 (en) * 1999-03-29 2003-05-27 The Quaker Oats Company Method for making a puffed food starch product
JP4286374B2 (ja) 1999-03-30 2009-06-24 新日鐵化学株式会社 シリコーン樹脂及びこれを含有する感光性樹脂組成物
US6305677B1 (en) 1999-03-30 2001-10-23 Lam Research Corporation Perimeter wafer lifting
JP4151151B2 (ja) * 1999-04-06 2008-09-17 松下電器産業株式会社 ダイボンディング用のペースト塗布装置およびペースト塗布方法
KR100335070B1 (ko) * 1999-04-21 2002-05-03 백승준 압축 성형 기법을 이용한 미세 패턴 형성 방법
US6387783B1 (en) * 1999-04-26 2002-05-14 International Business Machines Corporation Methods of T-gate fabrication using a hybrid resist
JP2000323461A (ja) * 1999-05-11 2000-11-24 Nec Corp 微細パターン形成装置、その製造方法、および形成方法
JP3939048B2 (ja) 1999-05-17 2007-06-27 セイコーインスツル株式会社 圧電アクチュエータ
US6421583B1 (en) 1999-05-20 2002-07-16 Lancer Partnership Beverage dispenser including an improved electronic control system
WO2000072093A1 (en) * 1999-05-25 2000-11-30 Massachusetts Institute Of Technology Optical gap measuring apparatus and method using two-dimensional grating mark with chirp in one direction
US6306467B1 (en) 1999-06-14 2001-10-23 Ford Global Technologies, Inc. Method of solid free form fabrication of objects
US6188150B1 (en) * 1999-06-16 2001-02-13 Euv, Llc Light weight high-stiffness stage platen
US6255022B1 (en) * 1999-06-17 2001-07-03 Taiwan Semiconductor Manufacturing Company Dry development process for a bi-layer resist system utilized to reduce microloading
US6467761B1 (en) 1999-06-21 2002-10-22 The United States Of America As Represented By The Secretary Of Commerce Positioning stage
EP1065567A3 (en) * 1999-06-29 2001-05-16 Applied Materials, Inc. Integrated critical dimension control
US6220561B1 (en) 1999-06-30 2001-04-24 Sandia Corporation Compound floating pivot micromechanisms
US6190929B1 (en) * 1999-07-23 2001-02-20 Micron Technology, Inc. Methods of forming semiconductor devices and methods of forming field emission displays
EP1072954A3 (en) * 1999-07-28 2002-05-22 Lucent Technologies Inc. Lithographic process for device fabrication
US6780001B2 (en) 1999-07-30 2004-08-24 Formfactor, Inc. Forming tool for forming a contoured microelectronic spring mold
EP1077393A2 (en) * 1999-08-19 2001-02-21 Canon Kabushiki Kaisha Substrate attracting and holding system for use in exposure apparatus
US6383928B1 (en) * 1999-09-02 2002-05-07 Texas Instruments Incorporated Post copper CMP clean
US6512401B2 (en) * 1999-09-10 2003-01-28 Intel Corporation Output buffer for high and low voltage bus
US6517995B1 (en) * 1999-09-14 2003-02-11 Massachusetts Institute Of Technology Fabrication of finely featured devices by liquid embossing
US6329256B1 (en) 1999-09-24 2001-12-11 Advanced Micro Devices, Inc. Self-aligned damascene gate formation with low gate resistance
US6377868B1 (en) 1999-10-28 2002-04-23 Ecolab Inc. Data processing system for managing chemical product usage
US6355994B1 (en) 1999-11-05 2002-03-12 Multibeam Systems, Inc. Precision stage
DE19958966A1 (de) * 1999-12-07 2001-06-13 Infineon Technologies Ag Erzeugung von Resiststrukturen
US6091485A (en) 1999-12-15 2000-07-18 N & K Technology, Inc. Method and apparatus for optically determining physical parameters of underlayers
DE60019974T2 (de) * 1999-12-23 2005-11-10 The University Of Massachusetts, Boston Verfahren zur herstellung von submikron mustern auf filmen
US6165911A (en) 1999-12-29 2000-12-26 Calveley; Peter Braden Method of patterning a metal layer
US6498640B1 (en) 1999-12-30 2002-12-24 Koninklijke Philips Electronics N.V. Method to measure alignment using latent image grating structures
AU2001228987A1 (en) 2000-01-21 2001-07-31 Obducat Aktiebolag A mold for nano imprinting
SE515785C2 (sv) 2000-02-23 2001-10-08 Obducat Ab Anordning för homogen värmning av ett objekt och användning av anordningen
US6234379B1 (en) * 2000-02-28 2001-05-22 Nordson Corporation No-flow flux and underfill dispensing methods
US6337262B1 (en) * 2000-03-06 2002-01-08 Chartered Semiconductor Manufacturing Ltd. Self aligned T-top gate process integration
SE515962C2 (sv) 2000-03-15 2001-11-05 Obducat Ab Anordning för överföring av mönster till objekt
JP2001277594A (ja) 2000-03-31 2001-10-09 Nec Corp 画像形成装置及び画像形成方法
US6313567B1 (en) 2000-04-10 2001-11-06 Motorola, Inc. Lithography chuck having piezoelectric elements, and method
SE0001367L (sv) 2000-04-13 2001-10-14 Obducat Ab Apparat och förfarande för elektrokemisk bearbetning av substrat
SE0001368L (sv) 2000-04-13 2001-10-14 Obducat Ab Apparat och förfarande för elektrokemisk bearbetning av substrat
SE0001369L (sv) 2000-04-13 2001-10-14 Obducat Ab Förfarande vid samt apparat för bearbetning av substrat
SE516194C2 (sv) 2000-04-18 2001-12-03 Obducat Ab Substrat för samt process vid tillverkning av strukturer
US6245581B1 (en) 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
US7859519B2 (en) 2000-05-01 2010-12-28 Tulbert David J Human-machine interface
SE516414C2 (sv) 2000-05-24 2002-01-15 Obducat Ab Metod vid tillverkning av en mall, samt mallen tillverkad därav
JP2001358056A (ja) * 2000-06-15 2001-12-26 Canon Inc 露光装置
US6262464B1 (en) 2000-06-19 2001-07-17 International Business Machines Corporation Encapsulated MEMS brand-pass filter for integrated circuits
ATE280436T1 (de) * 2000-06-22 2004-11-15 Unaxis Balzers Ag Beschichtungsanlage für scheibenförmige werkstücke
US20020159918A1 (en) 2000-06-25 2002-10-31 Fan-Gang Tseng Micro-fabricated stamp array for depositing biologic diagnostic testing samples on bio-bindable surface
US6696220B2 (en) 2000-10-12 2004-02-24 Board Of Regents, The University Of Texas System Template for room temperature, low pressure micro-and nano-imprint lithography
AU2001273491A1 (en) 2000-07-16 2002-02-05 Board Of Regents, The University Of Texas System High-resolution overlay alignment methods and systems for imprint lithography
KR100827741B1 (ko) 2000-07-17 2008-05-07 보드 오브 리전츠, 더 유니버시티 오브 텍사스 시스템 임프린트 리소그래피 공정을 위한 자동 유체 분배 방법 및시스템
US20050160011A1 (en) 2004-01-20 2005-07-21 Molecular Imprints, Inc. Method for concurrently employing differing materials to form a layer on a substrate
US20050037143A1 (en) * 2000-07-18 2005-02-17 Chou Stephen Y. Imprint lithography with improved monitoring and control and apparatus therefor
US7322287B2 (en) 2000-07-18 2008-01-29 Nanonex Corporation Apparatus for fluid pressure imprint lithography
US7635262B2 (en) 2000-07-18 2009-12-22 Princeton University Lithographic apparatus for fluid pressure imprint lithography
US7211214B2 (en) * 2000-07-18 2007-05-01 Princeton University Laser assisted direct imprint lithography
EP1309897A2 (en) 2000-08-01 2003-05-14 Board Of Regents, The University Of Texas System Methods for high-precision gap and orientation sensing between a transparent template and substrate for imprint lithography
US6326627B1 (en) 2000-08-02 2001-12-04 Archimedes Technology Group, Inc. Mass filtering sputtered ion source
US6443571B1 (en) * 2000-08-03 2002-09-03 Creo Srl Self-registering fluid droplet transfer method
US6777170B1 (en) 2000-08-04 2004-08-17 Massachusetts Institute Of Technology Stereolithographic patterning by variable dose light delivery
US6730256B1 (en) 2000-08-04 2004-05-04 Massachusetts Institute Of Technology Stereolithographic patterning with interlayer surface modifications
US6455411B1 (en) 2000-09-11 2002-09-24 Texas Instruments Incorporated Defect and etch rate control in trench etch for dual damascene patterning of low-k dielectrics
US6718630B2 (en) 2000-09-18 2004-04-13 Matsushita Electric Industrial Co., Ltd. Apparatus and method for mounting components on substrate
AU2001290415A1 (en) 2000-09-18 2002-03-26 Obducat Aktiebolag Method of etching, as well as frame element, mask and prefabricated substrate element for use in such etching
CN1243849C (zh) 2000-09-20 2006-03-01 奥博杜卡特股份公司 湿法刻蚀方法
US6790763B2 (en) 2000-12-04 2004-09-14 Ebara Corporation Substrate processing method
US6632742B2 (en) 2001-04-18 2003-10-14 Promos Technologies Inc. Method for avoiding defects produced in the CMP process
US6783719B2 (en) 2001-01-19 2004-08-31 Korry Electronics, Co. Mold with metal oxide surface compatible with ionic release agents
US6489068B1 (en) 2001-02-21 2002-12-03 Advanced Micro Devices, Inc. Process for observing overlay errors on lithographic masks
US6387787B1 (en) 2001-03-02 2002-05-14 Motorola, Inc. Lithographic template and method of formation and use
JP2002299226A (ja) 2001-04-03 2002-10-11 Nikon Corp 電子線露光用ステンシルマスク及びその作製方法
US6955767B2 (en) 2001-03-22 2005-10-18 Hewlett-Packard Development Company, Lp. Scanning probe based lithographic alignment
US6664026B2 (en) 2001-03-22 2003-12-16 International Business Machines Corporation Method of manufacturing high aspect ratio photolithographic features
US6517977B2 (en) * 2001-03-28 2003-02-11 Motorola, Inc. Lithographic template and method of formation and use
US6791669B2 (en) 2001-04-12 2004-09-14 Nikon Corporation Positioning device and exposure apparatus including the same
US6534418B1 (en) * 2001-04-30 2003-03-18 Advanced Micro Devices, Inc. Use of silicon containing imaging layer to define sub-resolution gate structures
US6943036B2 (en) 2001-04-30 2005-09-13 Agilent Technologies, Inc. Error detection in chemical array fabrication
US6541360B1 (en) * 2001-04-30 2003-04-01 Advanced Micro Devices, Inc. Bi-layer trim etch process to form integrated circuit gate structures
US6964793B2 (en) 2002-05-16 2005-11-15 Board Of Regents, The University Of Texas System Method for fabricating nanoscale patterns in light curable compositions using an electric field
JP2002353102A (ja) 2001-05-23 2002-12-06 Hitachi Ltd 半導体装置の製造方法
US6847433B2 (en) * 2001-06-01 2005-01-25 Agere Systems, Inc. Holder, system, and process for improving overlay in lithography
TW488080B (en) 2001-06-08 2002-05-21 Au Optronics Corp Method for producing thin film transistor
US7049049B2 (en) 2001-06-27 2006-05-23 University Of South Florida Maskless photolithography for using photoreactive agents
US6561706B2 (en) * 2001-06-28 2003-05-13 Advanced Micro Devices, Inc. Critical dimension monitoring from latent image
JP2003084123A (ja) * 2001-06-29 2003-03-19 Seiko Epson Corp カラーフィルタ基板、カラーフィルタ基板の製造方法、液晶表示装置、電気光学装置、電気光学装置の製造方法及び電子機器
US20050064344A1 (en) * 2003-09-18 2005-03-24 University Of Texas System Board Of Regents Imprint lithography templates having alignment marks
SG187992A1 (en) 2001-07-25 2013-03-28 Univ Princeton Nanochannel arrays and their preparation and use for high throughput macromolecular analysis
US6678038B2 (en) * 2001-08-03 2004-01-13 Nikon Corporation Apparatus and methods for detecting tool-induced shift in microlithography apparatus
CN100347608C (zh) 2001-09-25 2007-11-07 米卢塔技术株式会社 利用毛细作用力在基体上形成微型图案的方法
US20030080472A1 (en) * 2001-10-29 2003-05-01 Chou Stephen Y. Lithographic method with bonded release layer for molding small patterns
US6716767B2 (en) 2001-10-31 2004-04-06 Brewer Science, Inc. Contact planarization materials that generate no volatile byproducts or residue during curing
US6771372B1 (en) 2001-11-01 2004-08-03 Therma-Wave, Inc. Rotational stage with vertical axis adjustment
US6890688B2 (en) 2001-12-18 2005-05-10 Freescale Semiconductor, Inc. Lithographic template and method of formation and use
US6621960B2 (en) 2002-01-24 2003-09-16 Oplink Communications, Inc. Method of fabricating multiple superimposed fiber Bragg gratings
US6743368B2 (en) 2002-01-31 2004-06-01 Hewlett-Packard Development Company, L.P. Nano-size imprinting stamp using spacer technique
US6605849B1 (en) 2002-02-14 2003-08-12 Symmetricom, Inc. MEMS analog frequency divider
EP1484645A4 (en) 2002-02-19 2008-12-17 Nissan Chemical Ind Ltd COMPOSITION FOR FORMING ANTIREFLECTION COATING
US6737202B2 (en) 2002-02-22 2004-05-18 Motorola, Inc. Method of fabricating a tiered structure using a multi-layered resist stack and use
DE10307518B4 (de) 2002-02-22 2011-04-14 Hoya Corp. Halbtonphasenschiebermaskenrohling, Halbtonphasenschiebermaske und Verfahren zu deren Herstellung
US7455955B2 (en) * 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
TW594431B (en) 2002-03-01 2004-06-21 Asml Netherlands Bv Calibration methods, calibration substrates, lithographic apparatus and device manufacturing methods
US6716754B2 (en) 2002-03-12 2004-04-06 Micron Technology, Inc. Methods of forming patterns and molds for semiconductor constructions
US7117583B2 (en) 2002-03-18 2006-10-10 International Business Machines Corporation Method and apparatus using a pre-patterned seed layer for providing an aligned coil for an inductive head structure
US6881366B2 (en) 2002-04-22 2005-04-19 International Business Machines Corporation Process of fabricating a precision microcontact printing stamp
US7037639B2 (en) 2002-05-01 2006-05-02 Molecular Imprints, Inc. Methods of manufacturing a lithography template
US6743713B2 (en) 2002-05-15 2004-06-01 Institute Of Microelectronics Method of forming dual damascene pattern using dual bottom anti-reflective coatings (BARC)
US6849558B2 (en) * 2002-05-22 2005-02-01 The Board Of Trustees Of The Leland Stanford Junior University Replication and transfer of microstructures and nanostructures
US6852454B2 (en) * 2002-06-18 2005-02-08 Freescale Semiconductor, Inc. Multi-tiered lithographic template and method of formation and use
US7179079B2 (en) 2002-07-08 2007-02-20 Molecular Imprints, Inc. Conforming template for patterning liquids disposed on substrates
US6926929B2 (en) 2002-07-09 2005-08-09 Molecular Imprints, Inc. System and method for dispensing liquids
US6932934B2 (en) * 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US7077992B2 (en) 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US6908861B2 (en) 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US7442336B2 (en) * 2003-08-21 2008-10-28 Molecular Imprints, Inc. Capillary imprinting technique
US6900881B2 (en) 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
GB2391385A (en) 2002-07-26 2004-02-04 Seiko Epson Corp Patterning method by forming indent region to control spreading of liquid material deposited onto substrate
US7070405B2 (en) * 2002-08-01 2006-07-04 Molecular Imprints, Inc. Alignment systems for imprint lithography
US7027156B2 (en) * 2002-08-01 2006-04-11 Molecular Imprints, Inc. Scatterometry alignment for imprint lithography
US6916584B2 (en) * 2002-08-01 2005-07-12 Molecular Imprints, Inc. Alignment methods for imprint lithography
US6936194B2 (en) 2002-09-05 2005-08-30 Molecular Imprints, Inc. Functional patterning material for imprint lithography processes
US7029529B2 (en) * 2002-09-19 2006-04-18 Applied Materials, Inc. Method and apparatus for metallization of large area substrates
US8349241B2 (en) 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
US6980282B2 (en) 2002-12-11 2005-12-27 Molecular Imprints, Inc. Method for modulating shapes of substrates
US7750059B2 (en) 2002-12-04 2010-07-06 Hewlett-Packard Development Company, L.P. Polymer solution for nanoimprint lithography to reduce imprint temperature and pressure
US20040112862A1 (en) 2002-12-12 2004-06-17 Molecular Imprints, Inc. Planarization composition and method of patterning a substrate using the same
US7365103B2 (en) 2002-12-12 2008-04-29 Board Of Regents, The University Of Texas System Compositions for dark-field polymerization and method of using the same for imprint lithography processes
AU2003300865A1 (en) * 2002-12-13 2004-07-09 Molecular Imprints, Inc. Magnification corrections employing out-of-plane distortions on a substrate
US6770852B1 (en) 2003-02-27 2004-08-03 Lam Research Corporation Critical dimension variation compensation across a wafer by means of local wafer temperature control
EP1460738A3 (en) 2003-03-21 2004-09-29 Avalon Photonics AG Wafer-scale replication-technique for opto-mechanical structures on opto-electronic devices
JP4651390B2 (ja) 2003-03-27 2011-03-16 コリア・インスティテュート・オブ・マシナリー・アンド・マテリアルズ 多重浮彫要素スタンプを利用したuvナノインプリントリソグラフィ法
US20040202865A1 (en) 2003-04-08 2004-10-14 Andrew Homola Release coating for stamper
US7070406B2 (en) 2003-04-29 2006-07-04 Hewlett-Packard Development Company, L.P. Apparatus for embossing a flexible substrate with a pattern carried by an optically transparent compliant media
US6808646B1 (en) 2003-04-29 2004-10-26 Hewlett-Packard Development Company, L.P. Method of replicating a high resolution three-dimensional imprint pattern on a compliant media of arbitrary size
US6860956B2 (en) 2003-05-23 2005-03-01 Agency For Science, Technology & Research Methods of creating patterns on substrates and articles of manufacture resulting therefrom
TWI228638B (en) 2003-06-10 2005-03-01 Ind Tech Res Inst Method for and apparatus for bonding patterned imprint to a substrate by adhering means
US20050160934A1 (en) 2004-01-23 2005-07-28 Molecular Imprints, Inc. Materials and methods for imprint lithography
US7157036B2 (en) * 2003-06-17 2007-01-02 Molecular Imprints, Inc Method to reduce adhesion between a conformable region and a pattern of a mold
US9725805B2 (en) 2003-06-27 2017-08-08 Spts Technologies Limited Apparatus and method for controlled application of reactive vapors to produce thin films and coatings
US20040261703A1 (en) 2003-06-27 2004-12-30 Jeffrey D. Chinn Apparatus and method for controlled application of reactive vapors to produce thin films and coatings
US6879191B2 (en) * 2003-08-26 2005-04-12 Intel Corporation Voltage mismatch tolerant input/output buffer
US6852358B1 (en) * 2003-08-28 2005-02-08 Chang Chun Plastics Co., Ltd. Process for preparing an optical waveguide component from acrylate/titanium alkoxide composite material and the prepared optical waveguide component
US7136150B2 (en) 2003-09-25 2006-11-14 Molecular Imprints, Inc. Imprint lithography template having opaque alignment marks
US7261830B2 (en) * 2003-10-16 2007-08-28 Molecular Imprints, Inc. Applying imprinting material to substrates employing electromagnetic fields
US20050084804A1 (en) 2003-10-16 2005-04-21 Molecular Imprints, Inc. Low surface energy templates
US7122482B2 (en) 2003-10-27 2006-10-17 Molecular Imprints, Inc. Methods for fabricating patterned features utilizing imprint lithography
US20050106321A1 (en) 2003-11-14 2005-05-19 Molecular Imprints, Inc. Dispense geometery to achieve high-speed filling and throughput
US20050098534A1 (en) 2003-11-12 2005-05-12 Molecular Imprints, Inc. Formation of conductive templates employing indium tin oxide
US20050170670A1 (en) 2003-11-17 2005-08-04 King William P. Patterning of sacrificial materials
US20050253137A1 (en) 2003-11-20 2005-11-17 President And Fellows Of Harvard College Nanoscale arrays, robust nanostructures, and related devices
US7023238B1 (en) 2004-01-07 2006-04-04 Altera Corporation Input buffer with selectable threshold and hysteresis option
US20050151283A1 (en) 2004-01-08 2005-07-14 Bajorek Christopher H. Method and apparatus for making a stamper for patterning CDs and DVDs
US20050156353A1 (en) 2004-01-15 2005-07-21 Watts Michael P. Method to improve the flow rate of imprinting material
KR100566700B1 (ko) 2004-01-15 2006-04-03 삼성전자주식회사 반도체 공정에서 포토레지스트 패턴 형성 방법,포토레지스트 패턴 형성용 템플레이트 및 이의 제조 방법.
KR100558754B1 (ko) 2004-02-24 2006-03-10 한국기계연구원 Uv 나노임프린트 리소그래피 공정 및 이 공정을수행하는 장치
US20050189676A1 (en) 2004-02-27 2005-09-01 Molecular Imprints, Inc. Full-wafer or large area imprinting with multiple separated sub-fields for high throughput lithography
JP2005267738A (ja) 2004-03-18 2005-09-29 Meiki Co Ltd 光ディスク基板成形用金型装置
US20050230882A1 (en) 2004-04-19 2005-10-20 Molecular Imprints, Inc. Method of forming a deep-featured template employed in imprint lithography
US7140861B2 (en) 2004-04-27 2006-11-28 Molecular Imprints, Inc. Compliant hard template for UV imprinting
US20050253307A1 (en) 2004-05-11 2005-11-17 Molecualr Imprints, Inc. Method of patterning a conductive layer on a substrate
CN101426957A (zh) 2004-05-28 2009-05-06 得克萨斯州大学***董事会 基片支承***和方法
US7307697B2 (en) 2004-05-28 2007-12-11 Board Of Regents, The University Of Texas System Adaptive shape substrate support system
US20050276919A1 (en) 2004-06-01 2005-12-15 Molecular Imprints, Inc. Method for dispensing a fluid on a substrate
ATE477515T1 (de) 2004-06-03 2010-08-15 Molecular Imprints Inc Fluidausgabe und tropfenausgabe nach bedarf für die herstellung im nanobereich
US7785526B2 (en) * 2004-07-20 2010-08-31 Molecular Imprints, Inc. Imprint alignment method, system, and template
US7309225B2 (en) 2004-08-13 2007-12-18 Molecular Imprints, Inc. Moat system for an imprint lithography template
US7939131B2 (en) * 2004-08-16 2011-05-10 Molecular Imprints, Inc. Method to provide a layer with uniform etch characteristics
US7281919B2 (en) 2004-12-07 2007-10-16 Molecular Imprints, Inc. System for controlling a volume of material on a mold
US7636999B2 (en) 2005-01-31 2009-12-29 Molecular Imprints, Inc. Method of retaining a substrate to a wafer chuck
US20060177535A1 (en) 2005-02-04 2006-08-10 Molecular Imprints, Inc. Imprint lithography template to facilitate control of liquid movement
US7798801B2 (en) 2005-01-31 2010-09-21 Molecular Imprints, Inc. Chucking system for nano-manufacturing
US20060177532A1 (en) 2005-02-04 2006-08-10 Molecular Imprints, Inc. Imprint lithography method to control extrusion of a liquid from a desired region on a substrate
JP2006315207A (ja) 2005-05-10 2006-11-24 Pital Internatl Machinery Co Ltd 内部充填発泡体を有する中空異型板の共用押出し製造方法及びその製品
US20060266916A1 (en) 2005-05-25 2006-11-30 Molecular Imprints, Inc. Imprint lithography template having a coating to reflect and/or absorb actinic energy
DE102008051973A1 (de) 2008-10-16 2010-04-22 Vmi - Az Extrusion Gmbh Extrusions-Fördervorrichtung

Patent Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5226171A (en) * 1975-08-22 1977-02-26 Nippon Telegr & Teleph Corp <Ntt> Mask creation method
JPS5882726A (ja) * 1981-11-12 1983-05-18 Toppan Printing Co Ltd 高密度情報担体の製造方法
JPS62183582U (ja) * 1986-05-09 1987-11-21
JPS6414752A (en) * 1987-07-09 1989-01-18 Seiko Epson Corp Production of optical recording medium
JPH03283435A (ja) * 1990-03-30 1991-12-13 Toshiba Corp 樹脂吐出装置
JPH0423244A (ja) * 1990-05-18 1992-01-27 Tdk Corp 光ディスク用基板の製造方法および光ディスク
JPH04252040A (ja) * 1991-01-11 1992-09-08 Kawasaki Steel Corp 接着剤塗布装置およびダイボンディング方法
JPH0547051A (ja) * 1991-08-19 1993-02-26 Sony Corp 光デイスクの製造方法
JPH07221006A (ja) * 1994-01-28 1995-08-18 Sony Corp 平坦化膜の形成方法およびその形成装置
JPH07335532A (ja) * 1994-06-13 1995-12-22 Fujitsu Ltd 樹脂の回転塗布方法
JPH08241899A (ja) * 1995-03-06 1996-09-17 Matsushita Electric Ind Co Ltd チップのボンディング方法
JPH0991771A (ja) * 1995-09-27 1997-04-04 Sony Corp 光学記録媒体の製造方法
JP2002539604A (ja) * 1999-03-11 2002-11-19 ボード・オヴ・リージェンツ,ザ・ユニヴァーシティ・オヴ・テキサス・システム 段付き鋳張り捺印式リソグラフィー
JP2000298352A (ja) * 1999-04-14 2000-10-24 Jsr Corp 電子部品用材料およびその使用方法
JP2003517727A (ja) * 1999-10-29 2003-05-27 ボード・オブ・リージエンツ,ザ・ユニバーシテイ・オブ・テキサス・システム インプリント・リソグラフィのための高精度方向付けアライメントデバイスおよびギャップ制御デバイス
US20020150398A1 (en) * 2000-08-21 2002-10-17 Choi Byung J. Flexure based macro motion translation stage

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
JPN5003003039; HAISMA J: JOURNAL OF VACUUM SCIENCE AND TECHNOLOGY : PART B V14N6, 19961101, P4124-4128, AMERICAN INSTITUTE OF PHYSICS. *
JPN5003003040; M. Colburn, S. Johnson, M. Stewart, S. Damle, T. Bailey, B. Choi, M. Wedlake, T. Michaelson, S.V. Sr: 'Step and Flash Imprint Lithography:A New Approach to High-Resolution Patterning' Proceedings of SPIE Vol.3676, 19990315, P379-389 *
JPN7010003083; Jan Haisma, Martin Verheijen, Kees van den Heuvel, and Jan van den Berg: 'Mold-assisted nanolithography: A process for reliable pattern replication' Journal of Vacuum Science and Technology B Vol.14, Issue6, 1996, pp.4124-4128 *

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011181944A (ja) * 2006-04-18 2011-09-15 Canon Inc インプリント方法およびインプリント装置
JP2013089663A (ja) * 2011-10-14 2013-05-13 Canon Inc インプリント装置、それを用いた物品の製造方法
JP2013214627A (ja) * 2012-04-03 2013-10-17 Dainippon Printing Co Ltd ナノインプリント用マスターテンプレート及びレプリカテンプレートの製造方法
JP2014229883A (ja) * 2013-05-27 2014-12-08 キヤノン株式会社 インプリント方法、インプリント装置及びデバイス製造方法
JP2016131257A (ja) * 2016-04-06 2016-07-21 大日本印刷株式会社 ナノインプリント用マスターテンプレート及びレプリカテンプレートの製造方法
JP2020511795A (ja) * 2017-03-16 2020-04-16 モレキュラー インプリンツ, インコーポレイテッドMolecular Imprints,Inc. 光学ポリマーフィルムおよびそれを鋳造する方法
US11298856B2 (en) 2017-03-16 2022-04-12 Molecular Imprints, Inc. Optical polymer films and methods for casting the same
JP7149284B2 (ja) 2017-03-16 2022-10-06 モレキュラー インプリンツ, インコーポレイテッド 光学ポリマーフィルムおよびそれを鋳造する方法
US11318692B2 (en) 2017-10-17 2022-05-03 Magic Leap, Inc. Methods and apparatuses for casting polymer products
US11787138B2 (en) 2017-10-17 2023-10-17 Magic Leap, Inc. Methods and apparatuses for casting polymer products
WO2019160058A1 (ja) * 2018-02-19 2019-08-22 Scivax株式会社 モールド形成方法およびモールド
US11320591B2 (en) 2018-10-16 2022-05-03 Magic Leap, Inc. Methods and apparatuses for casting polymer products

Also Published As

Publication number Publication date
EP2270592A2 (en) 2011-01-05
US20080199816A1 (en) 2008-08-21
WO2002006902A2 (en) 2002-01-24
JP4740518B2 (ja) 2011-08-03
EP1303793A2 (en) 2003-04-23
KR20030079910A (ko) 2003-10-10
EP2270592A3 (en) 2011-11-30
US9223202B2 (en) 2015-12-29
KR100827741B1 (ko) 2008-05-07
CN1455888A (zh) 2003-11-12
JP5325914B2 (ja) 2013-10-23
AU2001277907A1 (en) 2002-01-30
EP1303793B1 (en) 2015-01-28
EP2270592B1 (en) 2015-09-02
WO2002006902A3 (en) 2002-10-03
JP2004504714A (ja) 2004-02-12
US20020094496A1 (en) 2002-07-18
CN1262883C (zh) 2006-07-05

Similar Documents

Publication Publication Date Title
JP5325914B2 (ja) 転写リソグラフィ・プロセスのための自動液体ディスペンス方法およびシステム
JP4512168B2 (ja) 基板とこの前記基板から所定の距離をおいて位置するテンプレート間の整列を決定する方法
JP2004505273A (ja) 転写リソグラフィのための透明テンプレートと基板の間のギャップおよび配向を高精度でセンシングするための方法
US8016277B2 (en) Flexure based macro motion translation stage
US7060324B2 (en) Method of creating a dispersion of a liquid on a substrate

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130312

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130524

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130702

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130722

R150 Certificate of patent or registration of utility model

Ref document number: 5325914

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees