JP2010512649A - プラズマ浸漬イオン注入プロセス - Google Patents

プラズマ浸漬イオン注入プロセス Download PDF

Info

Publication number
JP2010512649A
JP2010512649A JP2009540505A JP2009540505A JP2010512649A JP 2010512649 A JP2010512649 A JP 2010512649A JP 2009540505 A JP2009540505 A JP 2009540505A JP 2009540505 A JP2009540505 A JP 2009540505A JP 2010512649 A JP2010512649 A JP 2010512649A
Authority
JP
Japan
Prior art keywords
gas
substrate
plasma
ions
supplying
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2009540505A
Other languages
English (en)
Other versions
JP5331703B2 (ja
JP2010512649A5 (ja
Inventor
シジアン リー,
カルティク ラマスワミ,
ビアジョ ギャロ,
ドン ヒョン リー,
マジード エー. フォード,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2010512649A publication Critical patent/JP2010512649A/ja
Publication of JP2010512649A5 publication Critical patent/JP2010512649A5/ja
Application granted granted Critical
Publication of JP5331703B2 publication Critical patent/JP5331703B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/513Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • H01L21/2236Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase from or into a plasma phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

【課題】 プラズマ浸漬イオン注入プロセスによって基板内にイオンを注入する方法を提供する。
【解決手段】 一実施形態において、プラズマ浸漬イオン注入プロセスによって基板内にイオンを注入する方法は、処理チャンバ内に基板を準備するステップと、反応ガスと還元ガスを含むガス混合物をチャンバ内に供給するステップと、ガス混合物からのイオンを基板内に注入するステップとを含んでいる。他の実施形態において、方法は、処理チャンバ内に基板を準備するステップと、反応ガスと水素含有還元ガスを含むガス混合物をチャンバ内に供給するステップと、ガス混合物からのイオンを基板内に注入するステップとを含んでいる。
【選択図】 図1A

Description

発明の背景
発明の分野
[0001]本発明の実施形態は、一般的には、半導体製造プロセスとデバイスの分野に関し、より詳細には、プラズマ浸漬イオン注入プロセスによって基板内にイオンを注入する方法に関する。
関連技術の説明
[0002]集積回路は、基板(例えば、半導体ウエハ)上に形成される百万を超えるマイクロ電界効果型トランジスタ(例えば、相補型金属酸化半導体(CMOS)電界効果型トランジスタ)を含み、回路内で様々な機能を行うように協調することができるものである。CMOSトランジスタは、基板内に形成されるソース領域とドレイン領域の間に配置されるゲート構造を備えている。ゲート構造は、一般に、ゲート電極とゲート誘電体層を備えている。ゲート電極は、ゲート誘電体層の下のドレイン領域とソース領域の間に形成されるチャンネル領域において荷電キャリアの流れを制御するようにゲート誘電体層の上に配置される。
[0003]イオン注入プロセスは、典型的には、基板内にイオンを注入するとともにドープするのに用いられ、基板上に所要の形状と濃度でゲートとソースドレイン構造を形成する。イオン注入プロセス中、異なるプロセスガス或いはガス混合物を用いてイオン源化学種を与えることができる。プロセスガスがイオン注入処理チャンバ内に供給されると、RF電力が生じてプラズマを生成してプロセスガス内でイオンの解離を促進させるとともに基板の表面に向けて、また内部に向けて解離されたイオンを加速させる。解離されたイオン種から組み合わされた副生成物のような不純物がプラズマ解離中に形成され、基板内に所要のイオンとともに駆動され更に/又は注入され、それによって基板の構造を汚染することになる。望ましくないイオン種は、また、基板上に形成される構造の集中度、形状、寸法、及びイオン分布を変化させ、それによって全体の電気デバイスの性能に不利に影響する。
[0004]それ故、イオン注入プロセスの改善が求められている。
[0005]プラズマ浸漬イオン注入プロセスによって基板内にイオンを注入する方法を提供する。一実施形態において、基板内にイオンを注入する方法は、処理チャンバ内に基板を準備するステップと、チャンバ内に反応ガスと還元ガスを含むガス混合物を供給するステップと、ガス混合物からのイオンを基板内に注入するステップと、を含んでいる。
[0006]他の実施形態において、基板内にイオンを注入する方法は、処理チャンバ内に基板を準備するステップと、チャンバ内に反応ガスと水素含有還元ガスを含むガス混合物を供給するステップと、ガス混合物からのイオンを基板内に注入するステップと、を含んでいる。
[0007]更に他の実施形態において、基板内にイオンを注入する方法は、処理チャンバ内に基板を供給するステップと、反応ガスとSiH、B、NH及びHを含有する群より選ばれる水素含有還元ガスとを含むガス混合物を供給するステップと、RF電力を印加して、プラズマを形成するステップと、ガス混合物をイオン種として解離させる工程であって、還元ガスからのイオン種がイオン種の第一部分と反応させる前記工程と、チャンバから副生成物をポンプにより排出する工程とを含むステップと、ガス混合物からのイオン種の第二部分を基板内に注入するステップと、を含んでいる。
[0008]本発明の上記特徴が詳細に理解され得るように、上で簡単にまとめた本発明のより具体的な説明は、その一部が添付の図面に示される実施形態によって参照することができる。しかしながら、添付の図面は、本発明の典型的な実施形態のみを示すので、本発明の範囲を制限するものと考えられるべきでなく、本発明は他の等しく効果的な実施形態を許容してもよいことは留意されるべきである。
図1Aは、本発明の実施に適切なプラズマイオン注入ツールを示す一実施形態である。 図1Bは、本発明の実施に適切なプラズマイオン注入ツールを示す一実施形態である。 図2は、本発明の一実施形態のプラズマ浸漬イオン注入プロセスの方法を示すプロセスダイアグラムである。
[0011]理解を図るために、可能なところでは同じ参照番号を用いて、各図に共通な同じ構成要素を示している。一つの実施形態の構成要素と特徴部は更に繰り返すことなく他の実施形態にも便宜的に組み込まれてもよいことは企図されることである。
[0012]しかしながら、添付の図面は、本発明の例示的実施形態のみを示すので、本発明の範囲を制限するものと考えられるべきでなく、本発明は他の等しく効果的な実施形態を許容することは留意されるべきである。
詳細な説明
[0013]本発明は、プラズマ浸漬イオン注入プロセスによって基板内にイオンを注入する方法を提供する。一実施形態において、イオン注入プロセスは、処理チャンバ内に反応ガスと還元ガスを含むガス混合物を供給することによって行われる。プラズマを生成して、ガス混合物からのイオンを解離させ、それによって、要望に応じてバイアス電圧を用いて、基板に向かって加速され、また基板内に注入されるイオン源を形成する。供給されるガス混合物は、異なったイオン種を与え、副生成物と相互に反応し更に/又は結合するある種の解離したイオンを与え、その後に処理チャンバからポンプで排出される。あるイオンの相互反応及び/又は組み合わせは、不要なイオンが基板内に所要のイオンと共に注入されることを防止する。
[0014]図1Aは、本発明の一実施形態のイオン注入プロセスを実施するのに用いることができるプラズマリアクタ100を示す図である。プロセスが実施される一つの適切なリアクタは、カリフォルニア州サンタクララのAppliedMaterials社から入手可能なP3i(登録商標)リアクタであってもよい。本明細書に記載される方法が他の製造業者からのものを含む、他の適当に適合されたプラズマリアクタにおいて実施されてもよいことは企図される。
[0015]プラズマリアクタ100は、プロセス領域104を封入する底部124、最上部126、側壁122を持つチャンバ本体102を含んでいる。基板支持アセンブリ128は、チャンバ本体102の底部124から支持され、処理のために基板106を受容するように適合される。ガス分配プレート130は、基板支持アセンブリ128に面しているチャンバ本体102の最上部126に結合される。ポンプポート132は、チャンバ本体102の中に画成され、真空ポンプ134に結合される。真空ポンプ134は、スロットルバルブ136を通じてポンプポート132に結合される。ガス源152は、ガス分配プレートに結合されて、基板106上で行われるプロセスに対してガス状前駆化合物を供給する。
[0016]図1Aに示されるリアクタ100は、更に、図1Bの透視図に最適に示されるプラズマ源190を含んでいる。プラズマ源190は、互いに交差して(或いは図1Bに示される例示的実施形態のように互いに直交して)配置されたチャンバ本体102の最上部126の外側の上に取り付けられた一対の分離した外部再入可能コンジット140、140’を含んでいる。第一外部コンジット140は、チャンバ本体102内のプロセス領域104の第一側面に、最上部126に形成される開口198を通じて結合される第一端部140aを有している。第二端部140bは、プロセス領域104の第二側面に結合される開口196を有している。第二外部再入可能コンジット140bは、プロセス領域104の第三側面に結合される開口194を有する第一端部140a’とプロセス領域104の第四側面に開口192を有する第二端部140b’を有している。一実施形態において、第一と第二の外部再入可能コンジット140、140’は互いに直交するように構成され、それによってチャンバ本体の最上部126の周囲に約90度間隔で配置された各外部再入可能コンジット140、140'の両端140a、140a’、140b、140b’を与える。外部再入可能コンジット140、140'の直交形状は、プロセス領域全体に一様に配分されるプラズマ源を可能にする。第一と第二の外部再入可能コンジット140、140’は、プロセス領域104に一様なプラズマ分布を与えるように用いられる他の分配として構成されてもよいことが企図される。
[0017]磁気的に透過性のドーナツ状コア142、142’が外部再入可能コンジット140、140’の対応するコンジットの一部を取り囲んでいる。導電性コイル144、144’は、それぞれのインピーダンス整合回路或いは素子148、148’を通してそれぞれのRFプラズマ源の電力発生器146、146’に結合される。各外部再入可能コンジット140、140’は、それぞれの外部再入可能コンジット140、140’の両端140a、140b(また140a’、140b’)の間に別の連続する電気的通路をさえぎる絶縁環状リング150、150’によってそれぞれさえぎられる、中空導電管である。基板表面におけるイオンエネルギは、インピーダンス整合回路或いは素子156を通して基板支持アセンブリ128に結合されるRFプラズマバイアス電力発生器によって制御される。
[0018]図1Aに戻って、プロセスガス源152から供給されるガス状化合物を含むプロセスガスは、上にあるガス分配プレート130を通じてプロセス領域104に導入される。RF源のプラズマ電力146は、電力供給器142、144からコンジット140内に供給されるガスに結合され、これは外部再入可能コンジット140とプロセス領域104を含む第一閉鎖ドーナツ状通路内に循環するプラズマ電流を生成する。また、RF源の電力146’は、その他の電力供給器142’、144’から第二コンジット140’におけるガスに結合されることになり、これは第一ドーナツ状通路を横断する(例えば、直交する)第二閉鎖ドーナツ状通路に循環するプラズマ電流を生成する。第二ドーナツ状通路は、第二外部再入可能コンジット140’とプロセス領域104を含んでいる。各通路のプラズマ電流は、相互に同じでもよく、わずかにオフセットしてもよいそれぞれのRF源の電力発生器146、146’の周波数で発振(例えば、逆方向に)する。
[0019]一実施形態において、プロセスガス源152は、基板106に注入されるイオンを与えるのに用いることができる別の異なるプロセスガスを与える。プロセスガスの適切な例としては、特に、B、BF、SiH、SiF、PH、P、PO、PF、PF及びCFが挙げられる。各プラズマ源電力生成器146、146’の電力は、これらの合わせた効果が効率的にプロセスガス源152から供給されるプロセスガスを解離するとともに基板106の表面に所要のイオンのフラックスを生成するように作動させる。RFプラズマのバイアス電力生成器154の電力は、プロセスガスから解離されたイオンエネルギーが基板表面に向けて加速され、更に、所要のイオン濃度で基板106の最上面の下に所要の深さで注入される選択レベルに制御される。相対的に低いRF電力、例えば、約50eV未満で、相対的に低いプラズマイオンエネルギーが得られる場合がある。低いイオンエネルギーで解離されたイオンは、基板表面から約0オングストローム〜約100オングストロームの浅い深さに注入されることになる。代わりに、高いRF電力、例えば、約50eVを超える電力から与えられ生成される高いイオンエネルギーで解離されたイオンは、基板表面からの深さが実質的に100オングストロームを超える深さの基板に注入されることになる。
[0020]制御されたRFプラズマ源電力とRFプラズマバイアス電力の組み合わせは、処理チャンバ100において十分な運動量と所要のイオン分布を持つガス混合物中のイオンを解離する。イオンは基板表面に向けてバイアスされ駆動され、それによって所要のイオン濃度と分布と基板表面からの深さで基板にイオンが注入される。更に、供給されるプロセスガスからの制御されたイオンエネルギーと異なる種類のイオン種は、基板106内に注入されるイオンを促進させ、基板106上のゲート構造とソースドレイン領域のような所要のデバイス構造が形成される。
[0021]図2は、プラズマ浸漬イオン注入プロセスによって基板内にイオンを注入する方法200を示すプロセス流れ図である。プロセス200は、図1A-図1Bに記載されるように処理チャンバ100のようなプラズマ浸漬イオン注入処理チャンバ内で行われてもよい。
[0022]方法200は、処理チャンバ100内に基板を準備することによってステップ202から始まる。一実施形態において、基板は、酸化シリコン、炭化シリコン、結晶シリコン(例えば、Si<100>或いはSi<111>)、歪み付加(strained)シリコン、シリコンゲルマニウム、ドープされた或いはドープされない多結晶シリコン、ドープされた或いはドープされないシリコンウエハ、ドープされたシリコン、ゲルマニウム、ガリウムヒ素、窒化ガリウム、ガラス、サファイアのような材料であってもよい。基板は、直径200mm或いは300mmのウエハのような、また矩形或いは正方形のような様々な寸法を持ってもよい。特に断わらない限り、本明細書に記載される実施形態と例は、直径200mm或いは300mmの基板上で行われる。基板がゲート構造を形成するように用いられる実施形態において、多結晶シリコン層が基板上のゲート誘電体層上に配置されてもよい。
[0023]ステップ204で、ガス混合物が処理チャンバ100に供給されて、継続する注入プロセスのためのイオン種を与える。ガス混合物は、図1Aに記載されるように、或いは他の適切な手段によってプロセスガス源152からガス分配システム130へ供給されてもよい。
[0024]一実施形態において、処理チャンバ100内に供給されるガス混合物は、反応ガスと還元ガスを含んでいる。反応ガスは、基板に注入される所要のイオンを与える。例えば、反応ガスは、電気装置内に活性ドーパントを形成するのに用いられるB、P、Ga、As等の所要のイオン種源を与えて、基板のドープされた領域の所要の電気的特性を得ることができる。一実施形態において、イオン種源を与えるのに用いることができる反応ガスとしては、BF、B、BCl、P、PH、GaN、AsF、PF等が挙げられる。
[0025]一実施形態において、還元ガスは水素含有ガスである。還元ガスは、所要のイオン種以外のイオン種と反応するよう構成されて、基板内に所要のイオンと共に注入される望ましくないイオンを防止する。例えば、反応ガスがBFである実施形態では、BFガスは以下に更に詳細に記載されるように次の注入プロセス中に解離され、BF2+,BF 2+、Fイオンの形で副生成物のイオン種を作ることになる。還元ガスから生成される水素イオンは、不完全に解離したB3+、BF2+、及び/又はBF2+イオン及び/又は次にチャンバからポンプにより排出される副生成物のFイオンと効率的に反応し、このようにして望ましくないイオン種が基板内に同時に注入されることを防止する。一実施形態において、水素含有還元ガスには、SiH、B、NH、Hが含まれてもよい。
[0026]他の実施形態において、処理チャンバ100に供給されるガス混合物には不活性ガスが含まれてもよい。適切な不活性ガスの例としては、N、Ar、He、及びKr等が挙げられる。処理チャンバ100内の不活性ガスは、ガス混合物中でイオン衝撃を促進させ、それによってプロセスガス衝突の可能性を増し、イオン種の再結合を低減することになる。
[0027]必要により、窒素含有ガスがガス混合物とともに供給されて、処理チャンバからポンプにより一層容易に排出される揮発性副生成物の形成を援助してもよい。窒素含有ガスには、NO、NO、NH、N、NO等が含まれてもよい。一実施形態において、窒素含有ガスは、約0sccm〜約500sccm、例えば、約5sccm〜100sccmで処理チャンバに供給される場合がある。
[0028]ステップ206で、プラズマ浸漬イオン注入プロセスが行われて、ステップ204においてガス混合物から生成されるイオンを基板内に注入する。RF源電力が印加されて、処理チャンバ100内でガス混合物からプラズマを生成する。生成されたプラズマは、チャンバ100内のガス混合物をイオン種として解離する。RFバイアス電力がRF源電力と共に印加されて、ガス混合物からのイオン種を解離し、基板表面に向けてまた所要の深さに駆動させることができる。チャンバ100に印加されるRF源電力とバイアス電力は所要のエネルギーレベルに制御され、それにより基板に所要の濃度と深さでイオン種を解離しドープさせることができる。
[0029]一実施形態において、RF源電力は約50ワット〜約2000ワットに維持される場合がある。RFバイアス電力はRF電圧が約0ボルト〜約12000ボルトで約50ワット〜約1100ワットに維持される。
[0030]幾つかのプロセスパラメータは、また、ステップ206でプラズマ浸漬イオン注入プロセス中に調整されてもよい。一実施形態において、チャンバ圧は約4ミリトール〜約500ミリトールに保持される場合がある。基板温度は、約25℃〜約400℃に保持される場合がある。
[0031]ステップ204で供給されるガス混合物の流量及び/又は反応ガス、還元ガス、不活性ガス間のガス混合物の流量比は、各々の種類のガスの間で解離されたイオン種の相対的量を制御するよう選定されてもよい。選定されたガス混合物の流量及び/又は流量比は異なる種類のイオン種の間で予め決められた量及び/又は比率として解離されることを可能にし、それによって処理チャンバ内にある種類のイオンの余分な量を生成させることを防止し、基板上に不要な副反応を生じさせ更に/又は不要な膜を形成させる。例えば、フッ素イオン又はアルゴンイオンのようなある種類のイオン種の余分な量は基板表面をスパッタさせ、衝撃を与え、それによって基板表面が損傷し、粗くなることになる。また、Bのような他の種類のイオン種の余分な量が処理チャンバから容易に或いは効率的にポンプで排出されず、それによって基板上に蓄積し、基板の汚染とドーパントのプロファイルの変化が生じることになる。
[0032]一実施形態において、反応ガスと還元ガスの流量比は、約1:0.5〜約1:0.1に制御される場合がある。他の実施形態では、反応ガスは約5sccm〜約600sccm、例えば、約10sccm〜約400sccmの速度で処理チャンバ内に流される場合がある。水素含有還元ガスは、約0sccm〜約800sccm、例えば、約5sccm〜約500sccm、例えば、約5sccm〜約100sccmの速度で処理チャンバ内に流される場合がある。不活性ガスは、約0sccm〜約1200sccm、例えば、約5sccm〜約1000sccmの速度で処理チャンバ内に流される場合がある。
[0033]本発明の例示的実施形態において、ガス混合物には、BFとSiHが含まれてもよい。上記のように、BFとSiHのガスは、B3+、BF2+、BF 2+、F、Si4−、Hの形でプラズマによりイオン種として解離される。SiHから与えられる活性H種は、F種と他の解離した副生成物と反応し、HF、他の種類の揮発性化学種を形成し、従ってF種とその他の種類の副生成物が基板内に同時に注入されることを防止する。適切な量のSiHガス流を選定して、基板表面上に余分な解離したSiイオンが不要なシリコン膜を形成することから防止する。一実施形態において、BFガスとSiHのガスは、約1:50〜約1:100、例えば、1:80の流量比を持つ場合がある。代わりに、BFガス流量は50sccm〜400sccmで供給される場合があり、SiHガスは1sccm〜20sccm、例えば、5sccmで供給される場合がある。RF源電力は約100ボルト〜約2000ボルトで制御される場合があり、RFバイアス電力は約100ボルト〜約12000ボルトで制御される場合がある。
[0034]本発明の他の例示的実施形態において、処理チャンバ100内に供給されるガス混合物には、BF、B、Nのような窒素含有ガスが含まれてもよい。BF、B、Nガスは、B3+、BF2+、BF 2+、F、B、N、Hの形でプラズマによってイオン種として解離される。Bガスから与えられる活性H種は、F種と他の解離した副生成物と反応し、チャンバからポンプにより排出されるHF或いは他の種類の揮発性化学種を形成し、従って、基板内にF種と他の種類の副生成物が同時に注入されることを防止する。不完全に解離されたBガスはBの形でポリマーガスを形成する場合がある。Nガスから生成されるNイオン種は、ポリマーガスBと反応するように用いられ、チャンバから容易にポンプで排出される揮発性ガスを形成し、それによって、ポリマーガスが基板上に堆積し且つデバイス構造に不利に影響することを防止する。一実施形態において、BFガスとBガスは、約1:0.01〜約1:0.5の流量比を持つ場合がある。代わりに、BFガス流量は、50sccm〜400sccm、例えば、100sccmで供給される場合があり、Bガスは、10sccm〜100sccm、例えば、10sccmで供給される場合があり、Nガスは、5sccm〜20sccm、例えば、10sccmで供給される場合がある。RF源電力は、約100ワット〜1000ワットで制御される場合があり、RFバイアス電力は、約100ボルト〜約12000ボルトで制御される場合がある。
[0035]本発明のなお他の例示的実施形態において、処理チャンバ100内に供給されるガス混合物には、BF、B、SiHが含まれてもよい。BF、B、SiHガスは、B3+、BF2+、BF 2+、F、Si4−、B、Hの形でプラズマによってイオン種として解離される。B種は加速され、基板表面の下に約10オングストローム〜約800オングストロームの深さに基板内に注入される。Bガスから与えられる活性H種は、F種と他の解離した副生成物と反応し、HF或いは他の種類の揮発性化学種を形成し、F種と他の種類の副生成物が基板内に同時に注入されることを防止する。不完全に解離したBガスとHイオンは、チャンバからポンプにより排出される揮発性ガスを形成し、それによってポリマーガスとシリコンイオンが基板上に堆積するのを防止し、デバイス構造に不利に影響することになる。一実施形態において、BFガス、Bガス、SiHは、約1:0.01:0.01〜約1:0.1:0.01の流量比を持つ場合がある。代わりに、BFガスの流量比は50sccm〜400sccmで供給される場合があり、Bガスは10sccm〜100sccmで供給される場合があり、SiHガスは約5sccm〜20sccmで供給される場合がある。RF源電力は約100ワット〜約1000ワットで制御される場合があり、RFバイアス電力は約100ボルト〜約12000ボルトで制御される場合がある。
[0036]このようにして、プラズマ浸漬イオン注入プロセスによって基板内にイオンを注入する方法を提供する。改善された方法が基板上に不利に汚染されることなく或いはドーパントイオン濃度を変えることなく基板表面から所要の深さに所要の量のドーパントを注入し、それによって所要の電気性能を持つ基板上の電気装置を形成する。
[0037]上記は本発明の実施形態に関するが、本発明の他の多くの実施形態が本発明の基本的範囲から離れることなく構成されてもよく、本発明の範囲は以下の特許請求の範囲によって決定される。
100…プラズマリアクタ、102…チャンバ本体、104…プロセス領域、106…基板、122…側壁、124…底部、126…最上部、128…基板支持アセンブリ、130…ガス分配プレート、132…ポンプポート、134…真空ポンプ、136…スロットルバルブ、140、140’…外部再入可能コンジット、140a…第一端、140b…第二端、142、142’…ドーナツ状コア、144、144’…導電性コイル、146…RF源プラズマ電力、150、150’…絶縁環状コイル、152…ガス源、154…RFプラズマバイアス電力生成器、190…プラズマ源、192…開口、196…開口。

Claims (27)

  1. プラズマ浸漬イオン注入プロセスによって基板内にイオンを注入する方法であって:
    処理チャンバ内に基板を準備するステップと;
    該チャンバ内に反応ガスと還元ガスを含むガス混合物からプラズマを生成させるステップと;
    該基板内に該プラズマからのイオンを注入するステップと;
    を含む、前記方法。
  2. 該反応ガスが、BF、B、BCl、P、PH、GaN、AsF、又はPFの少なくとも一つを含む、請求項1に記載の方法。
  3. 該還元ガスが、SiH、B、NH、又はHの少なくとも一つを含む、請求項1に記載の方法。
  4. プラズマを生成させる該ステップが:
    該処理チャンバ内に該ガス混合物とともに窒素含有ガスを供給する工程;
    を更に含む、請求項1に記載の方法。
  5. 該窒素含有ガスが、NO、NO、NH、N又はNOの少なくとも一つを含む、請求項4に記載の方法。
  6. プラズマを生成させる該ステップが:
    該反応ガスを約5sccm〜約600sccmで供給する工程と;
    該還元ガスを約0sccm〜約500sccmで供給する工程と;
    を更に含む、請求項1に記載の方法。
  7. 該反応ガスが、BFであり、該還元ガスが、SiHガスである、請求項1に記載の方法。
  8. 該反応ガスが、BFとBであり、該還元ガスが、SiHガスである、請求項1に記載の方法。
  9. 該反応ガスが、BFであり、該還元ガスが、Bガスである、請求項1に記載の方法。
  10. 該ガス混合物を供給する該ステップが:
    該処理チャンバ内に該ガス混合物とともに不活性ガスを供給する工程であって、該不活性ガスが、Ar、He、Xe、Kr又はNの少なくとも一つを含む、前記工程;
    を更に含む、請求項1に記載の方法。
  11. イオンを注入する該ステップが:
    RF源電力を印加する工程と;
    RFバイアス電力を印加する工程と;
    を更に含む、請求項1に記載の方法。
  12. RFを印加する該ステップが:
    RF源電力を約50ワット〜2000ワットで印加する工程と;
    RFバイアス電力を約50ワット〜11000ワットで印加する工程と;
    を更に含む、請求項10に記載の方法。
  13. 該プラズマを生成させる該ステップが:
    該還元ガスと該反応ガスから解離したイオンの一部とを反応させる工程;
    を更に含む、請求項1に記載の方法。
  14. 該処理チャンバからポンプで排出する揮発性ガスを形成するステップ;
    を更に含む、請求項13に記載の方法。
  15. 注入する該ステップが:
    該基板表面から約10オングストローム〜約800オングストロームの深さで該基板内に該イオンを注入する工程;
    を更に含む、請求項1に記載の方法。
  16. 該反応ガスと該還元ガスが、約1:0.01〜約1:0.5のガス流量比で供給される、請求項1に記載の方法。
  17. 該還元ガスが、水素含有ガスである、請求項1に記載の方法。
  18. プラズマ浸漬イオン注入プロセスによって基板内にイオンを注入する方法であって:
    処理チャンバ内に基板を準備するステップと;
    該チャンバ内に反応ガスと水素含有還元ガスを含むガス混合物を供給するステップと;
    該ガス混合物からプラズマを形成するステップと;
    該ガス混合物から該基板内にイオンを注入するステップと;
    を含む、前記方法。
  19. 該反応ガスが、BF、B、BCl、P、PH、GaN、AsF、又はPFの少なくとも一つを含む、請求項18に記載の方法。
  20. 該水素含有還元ガスが、SiH又はBガスの少なくとも一つを含む、請求項18に記載の方法。
  21. ガス混合物を供給する該ステップが:
    該チャンバ内に窒素含有ガスを供給する工程;
    を更に含む、請求項18に記載の方法。
  22. 該窒素含有ガスが、NO、NO、NH、N又はNOの少なくとも一つを含む、請求項21に記載の方法。
  23. プラズマ浸漬イオン注入プロセスによって基板内にイオンを注入する方法であって、
    処理チャンバ内に基板を準備するステップと;
    反応ガスとSiH、B、NH、及びHを含む群より選ばれる水素含有還元ガス含むガス混合物を該チャンバ内に供給するステップと;
    RF電力を印加して、プラズマを形成させるステップと;
    該ガス混合物をイオン種として解離させるステップであって、該還元ガスからの該イオン種がイオン種の第一部分と反応する工程と、該チャンバから副生成物をポンプで排出する工程とを含む、前記ステップと;
    該ガス混合物からの該イオン種の第二部分を該基板内に注入するステップと;
    を含む、前記方法。
  24. 該反応ガスが、BFである、請求項23に記載の方法。
  25. 該イオンの該第二部分が、Bイオンである、請求項24に記載の方法。
  26. イオン種の該第一部分が、Fイオンである、請求項24に記載の方法。
  27. 該還元ガスからの該イオン種が、Hイオンである、請求項23に記載の方法。
JP2009540505A 2006-12-08 2007-12-07 プラズマ浸漬イオン注入プロセス Expired - Fee Related JP5331703B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/608,357 US7732309B2 (en) 2006-12-08 2006-12-08 Plasma immersed ion implantation process
US11/608,357 2006-12-08
PCT/US2007/086848 WO2008073845A1 (en) 2006-12-08 2007-12-07 Plasma immersed ion implantation process

Publications (3)

Publication Number Publication Date
JP2010512649A true JP2010512649A (ja) 2010-04-22
JP2010512649A5 JP2010512649A5 (ja) 2010-12-02
JP5331703B2 JP5331703B2 (ja) 2013-10-30

Family

ID=39498586

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009540505A Expired - Fee Related JP5331703B2 (ja) 2006-12-08 2007-12-07 プラズマ浸漬イオン注入プロセス

Country Status (6)

Country Link
US (3) US7732309B2 (ja)
JP (1) JP5331703B2 (ja)
KR (1) KR101502431B1 (ja)
CN (2) CN102522324B (ja)
TW (1) TWI375260B (ja)
WO (1) WO2008073845A1 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012507866A (ja) * 2008-10-31 2012-03-29 アプライド マテリアルズ インコーポレイテッド P3iチャンバにおける共形ドープの改善
WO2013164940A1 (ja) * 2012-05-01 2013-11-07 東京エレクトロン株式会社 被処理基体にドーパントを注入する方法、及びプラズマドーピング装置
JP2016529704A (ja) * 2013-07-18 2016-09-23 ヴァリアン セミコンダクター イクイップメント アソシエイツ インコーポレイテッド 注入システムのイオンビーム品質を改善する方法

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SG2014011944A (en) 2005-08-30 2014-08-28 Advanced Tech Materials Boron ion implantation using alternative fluorinated boron precursors, and formation of large boron hydrides for implantation
US7732309B2 (en) 2006-12-08 2010-06-08 Applied Materials, Inc. Plasma immersed ion implantation process
WO2010120805A2 (en) 2009-04-13 2010-10-21 Applied Materials, Inc. Modification of magnetic properties of films using ion and neutral beam implantation
US8598022B2 (en) 2009-10-27 2013-12-03 Advanced Technology Materials, Inc. Isotopically-enriched boron-containing compounds, and methods of making and using same
US8062965B2 (en) * 2009-10-27 2011-11-22 Advanced Technology Materials, Inc. Isotopically-enriched boron-containing compounds, and methods of making and using same
JP5919195B2 (ja) * 2009-10-27 2016-05-18 インテグリス・インコーポレーテッド イオン注入システムおよび方法
TWI466179B (zh) * 2010-02-26 2014-12-21 Advanced Tech Materials 用以增進離子植入系統中之離子源的壽命及性能之方法與設備
KR101902022B1 (ko) 2010-08-30 2018-09-27 엔테그리스, 아이엔씨. 고체 물질로부터 화합물 또는 그의 중간체를 제조하기 위한 장치 및 방법, 및 이러한 화합물과 중간체를 사용하는 방법
WO2012129454A2 (en) * 2011-03-24 2012-09-27 Advanced Technology Materials, Inc. Cluster ion implantation of arsenic and phosphorus
WO2013123140A1 (en) 2012-02-14 2013-08-22 Advanced Technology Materials, Inc. Alternate materials and mixtures to minimize phosphorus buildup in implant applications
US9570271B2 (en) * 2014-03-03 2017-02-14 Praxair Technology, Inc. Boron-containing dopant compositions, systems and methods of use thereof for improving ion beam current and performance during boron ion implantation
US20180012763A1 (en) * 2014-12-24 2018-01-11 Tokyo Electron Limited Doping method, doping apparatus, and semiconductor element manufacturing method
US10522330B2 (en) * 2015-06-12 2019-12-31 Varian Semiconductor Equipment Associates, Inc. In-situ plasma cleaning of process chamber components
US10233543B2 (en) 2015-10-09 2019-03-19 Applied Materials, Inc. Showerhead assembly with multiple fluid delivery zones
US20170292186A1 (en) * 2016-04-11 2017-10-12 Aaron Reinicker Dopant compositions for ion implantation
CN108417484B (zh) * 2018-04-13 2020-05-12 中国电子科技集团公司第四十六研究所 一种提升光电传感器用硅外延层掺杂浓度均匀性的方法
US11048158B2 (en) 2018-04-18 2021-06-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method for extreme ultraviolet lithography mask treatment
CN108962734B (zh) * 2018-06-27 2021-01-01 武汉华星光电半导体显示技术有限公司 一种多晶硅半导体层的制备方法、薄膜晶体管及制备方法

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07142421A (ja) * 1993-11-22 1995-06-02 Nec Corp 半導体装置のシャロージャンクション形成方法および形成装置
JPH08293279A (ja) * 1995-04-20 1996-11-05 Fuji Xerox Co Ltd 非質量分離型イオン注入装置
JP2002206168A (ja) * 2000-10-24 2002-07-26 Canon Inc シリコン系薄膜の形成方法、シリコン系半導体層の形成方法及び光起電力素子
JP2004506339A (ja) * 2000-08-11 2004-02-26 アプライド マテリアルズ インコーポレイテッド 外部から励磁されるトロイダルプラズマ源
JP2004507861A (ja) * 1999-12-13 2004-03-11 セメクイップ, インコーポレイテッド イオン注入イオン源、システム、および方法
JP2004179592A (ja) * 2002-11-29 2004-06-24 Matsushita Electric Ind Co Ltd プラズマドーピング方法およびデバイス
JP2004519070A (ja) * 2000-11-30 2004-06-24 セムエキップ インコーポレイテッド イオン注入システム及び制御方法

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB9200415D0 (en) * 1992-01-09 1992-02-26 Bagshawe Kenneth D Inactivation of cytotoxic drugs
JPH1154451A (ja) * 1997-08-07 1999-02-26 Mitsubishi Electric Corp 半導体装置の製造方法および半導体装置
CN1307143A (zh) * 2000-01-21 2001-08-08 李京熙 薄膜的制作方法及制作装置
US6893907B2 (en) 2002-06-05 2005-05-17 Applied Materials, Inc. Fabrication of silicon-on-insulator structure using plasma immersion ion implantation
US7037813B2 (en) 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US7465478B2 (en) 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
US6855436B2 (en) 2003-05-30 2005-02-15 International Business Machines Corporation Formation of silicon-germanium-on-insulator (SGOI) by an integral high temperature SIMOX-Ge interdiffusion anneal
US6841457B2 (en) 2002-07-16 2005-01-11 International Business Machines Corporation Use of hydrogen implantation to improve material properties of silicon-germanium-on-insulator material made by thermal diffusion
US7622200B2 (en) 2004-05-21 2009-11-24 Semiconductor Energy Laboratory Co., Ltd. Light emitting element
US20060011906A1 (en) * 2004-07-14 2006-01-19 International Business Machines Corporation Ion implantation for suppression of defects in annealed SiGe layers
US7141457B2 (en) 2004-11-18 2006-11-28 International Business Machines Corporation Method to form Si-containing SOI and underlying substrate with different orientations
US7504314B2 (en) 2005-04-06 2009-03-17 International Business Machines Corporation Method for fabricating oxygen-implanted silicon on insulation type semiconductor and semiconductor formed therefrom
US7732309B2 (en) 2006-12-08 2010-06-08 Applied Materials, Inc. Plasma immersed ion implantation process

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07142421A (ja) * 1993-11-22 1995-06-02 Nec Corp 半導体装置のシャロージャンクション形成方法および形成装置
JPH08293279A (ja) * 1995-04-20 1996-11-05 Fuji Xerox Co Ltd 非質量分離型イオン注入装置
JP2004507861A (ja) * 1999-12-13 2004-03-11 セメクイップ, インコーポレイテッド イオン注入イオン源、システム、および方法
JP2004506339A (ja) * 2000-08-11 2004-02-26 アプライド マテリアルズ インコーポレイテッド 外部から励磁されるトロイダルプラズマ源
JP2002206168A (ja) * 2000-10-24 2002-07-26 Canon Inc シリコン系薄膜の形成方法、シリコン系半導体層の形成方法及び光起電力素子
JP2004519070A (ja) * 2000-11-30 2004-06-24 セムエキップ インコーポレイテッド イオン注入システム及び制御方法
JP2004179592A (ja) * 2002-11-29 2004-06-24 Matsushita Electric Ind Co Ltd プラズマドーピング方法およびデバイス

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012507866A (ja) * 2008-10-31 2012-03-29 アプライド マテリアルズ インコーポレイテッド P3iチャンバにおける共形ドープの改善
WO2013164940A1 (ja) * 2012-05-01 2013-11-07 東京エレクトロン株式会社 被処理基体にドーパントを注入する方法、及びプラズマドーピング装置
JP2016529704A (ja) * 2013-07-18 2016-09-23 ヴァリアン セミコンダクター イクイップメント アソシエイツ インコーポレイテッド 注入システムのイオンビーム品質を改善する方法
US10804075B2 (en) 2013-07-18 2020-10-13 Varian Semiconductor Equipment Associates, Inc. Method of improving ion beam quality in an implant system
US10825653B2 (en) 2013-07-18 2020-11-03 Varian Semiconductor Equipment Associates, Inc. Method of improving ion beam quality in an implant system

Also Published As

Publication number Publication date
US20110053360A1 (en) 2011-03-03
WO2008073845A1 (en) 2008-06-19
KR101502431B1 (ko) 2015-03-13
JP5331703B2 (ja) 2013-10-30
CN101558183B (zh) 2012-03-14
CN102522324B (zh) 2015-09-02
TW200832523A (en) 2008-08-01
US7838399B2 (en) 2010-11-23
CN101558183A (zh) 2009-10-14
US20080138967A1 (en) 2008-06-12
US20080138968A1 (en) 2008-06-12
TWI375260B (en) 2012-10-21
CN102522324A (zh) 2012-06-27
US8273624B2 (en) 2012-09-25
KR20090085705A (ko) 2009-08-07
US7732309B2 (en) 2010-06-08

Similar Documents

Publication Publication Date Title
JP5331703B2 (ja) プラズマ浸漬イオン注入プロセス
US9431237B2 (en) Post treatment methods for oxide layers on semiconductor devices
US7645709B2 (en) Methods for low temperature oxidation of a semiconductor device
US8043981B2 (en) Dual frequency low temperature oxidation of a semiconductor device
US8288257B2 (en) Doping profile modification in P3I process
US8129261B2 (en) Conformal doping in P3I chamber
KR20140037202A (ko) 산화물 표면 대신 베어 실리콘 상의 폴리머 막들의 선택적 증착
US9553174B2 (en) Conversion process utilized for manufacturing advanced 3D features for semiconductor device applications
JP6141356B2 (ja) 半導体デバイス上に共形酸化物層を形成するための方法
KR101863330B1 (ko) 반도체 디바이스들에서 임계 전압을 조정하기 위한 방법들
US20120302048A1 (en) Pre or post-implant plasma treatment for plasma immersed ion implantation process
TWI442474B (zh) 用於在半導體裝置上形成共形氧化層的方法

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101018

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20101018

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20120925

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130305

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130522

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130709

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130729

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees