CN102522324B - 对基板进行共形掺杂的方法和将掺杂剂注入基板的方法 - Google Patents

对基板进行共形掺杂的方法和将掺杂剂注入基板的方法 Download PDF

Info

Publication number
CN102522324B
CN102522324B CN201110424053.2A CN201110424053A CN102522324B CN 102522324 B CN102522324 B CN 102522324B CN 201110424053 A CN201110424053 A CN 201110424053A CN 102522324 B CN102522324 B CN 102522324B
Authority
CN
China
Prior art keywords
dopant
substrate
etchant
gas
injected
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN201110424053.2A
Other languages
English (en)
Other versions
CN102522324A (zh
Inventor
李实健
卡提克·雷马斯瓦米
比亚吉欧·加洛
李东亨
马耶德·A·福阿德
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN102522324A publication Critical patent/CN102522324A/zh
Application granted granted Critical
Publication of CN102522324B publication Critical patent/CN102522324B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/513Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • H01L21/2236Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase from or into a plasma phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本发明揭示一种对基板进行共形掺杂的方法,所述基板具有沟道,所述沟道具有侧壁和底部部分,所述方法包括:将所述基板放置在等离子体腔室内;向所述腔室提供掺杂剂源和蚀刻剂;在所述腔室中形成电感耦合等离子体;给所述基板施加偏压;以及在掺杂剂注入所述侧壁和所述底部部分时,通过调整掺杂剂源和蚀刻剂进入所述腔室的流速的比率,来对掺杂剂注入所述侧壁和所述底部进行控制。

Description

对基板进行共形掺杂的方法和将掺杂剂注入基板的方法
技术领域
本发明的实施例大体涉及半导体制造工艺及组件领域,尤其涉及通过等离子体沉浸离子注入工艺(plasma immersion ion implantation process)而将离子注入至基板中的方法。
背景技术
集成电路可包含百万个以上形成在基板上且彼此于电路内协同执行各种功能的微型电子场效晶体管(例如,互补式金属氧化物半导体(CMOS)场效晶体管)。一CMOS晶体管包括位于源极及漏极区域之间的栅极结构,该源极及漏极区域形成在基板中。该栅极结构一般包括一栅极电极及一栅极电介质层。该栅极电极位于该栅极电介质层上方,以控制栅极电介质层下方信道区域内电荷载子的流动,信道区域形成在源极及漏极区域之间。
一离子注入工艺典型地用来将离子注入且掺杂至基板内,从而在基板上形成具有欲求(desired)的轮廓与浓度的栅极与源漏极结构。在离子注入工艺期间,不同的工艺气体或气体混合物可用以提供离子源物种。当工艺气体被供应至离子注入处理腔室内时,可以生成RF功率以产生等离子体,而促使工艺气体中离子解离以及将经解离的离子朝向且进入基板表面加速。在等离子体解离期间会形成杂质(例如经解离的离子物种结合的副产物),并且杂质会随着欲求的离子被驱入和/或注入基板内,因而污染基板中的结构。这些非欲求(undesired)的离子物种也会改变基板上所形成结构的浓度、轮廓、尺寸与离子分布,因而不利地影响了整体电子组件性能。
所以,亟需提供一种改良的离子注入工艺。
发明内容
本发明提供一种对基板进行共形掺杂的方法,所述基板具有沟道,所述沟道具有侧壁和底部部分,所述方法包括:将基板放置在等离子体腔室内;向所述腔室提供掺杂剂源和蚀刻剂;在所述腔室中形成电感耦合等离子体;给所述基板施加偏压;以及在将掺杂剂注入所述侧壁和所述底部部分时,通过调整掺杂剂源和蚀刻剂进入所述腔室的流速的比率,来对掺杂剂注入所述侧壁和所述底部进行控制。
还提供一种将掺杂剂注入基板的方法,所述基板具有沟道,所述沟道具有侧壁和底部部分,所述方法包括:将基板放置在等离子体沉浸离子注入腔室中;向所述腔室提供掺杂剂源;由所述掺杂剂源形成等离子体;将掺杂剂注入所述侧壁和底部部分;向所述腔室提供蚀刻剂;以及在将掺杂剂注入所述底部部分和所述侧壁时,使蚀刻剂与沉积在所述底部部分上的掺杂剂的反应保持平衡。
附图说明
上面概述的本发明的前述特征可以通过参照实施例而加以详细地了解,其中一些实施例被绘示在附图中。然而,应当注意的是,附图仅绘示出本发明的典型实施例且因此不被视为会限制本发明范围,本发包含其它等效实施例。
图1A-1B绘示适于实施本发明的等离子体沉浸离子注入工具的一实施例。
图2绘示根据本发明一实施例用于等离子体沉浸离子注入工艺的方法的流程图。
为了有助于了解,尽可能在附图中使用相同的组件符号。一实施例的构件与特征可以有益地被并入其它实施例中而无须赘述。
然而,应当注意的是,附图仅绘示出本发明的示范性实施例且因此不被视为会限制本发明范围,本发包含其它等效实施例。
主要组件符号说明
100 等离子体反应器         102 腔室本体
104 工艺区域               106 基板
122 侧壁                   124 底部
126 顶部                   128 基板支撑组件
130 气体散布板             132 抽吸口
134 真空泵                 136 节流阀
140 导管                   140’ 导管
140a 第一端                140a’ 第一端
140b 第二端                 140b’ 第二端
142 芯                      142’ 芯
144 线圈                    144’ 线圈
146 功率产生器              146’ 功率产生器
148 匹配电路                148’ 匹配电路
150 环                      150’ 环
152 气体源                  154  偏功率产生器
156 匹配电路                190  等离子体源
192 开口                    194  开口
196 开口                    198  开口
200 方法
202提供基板至等离子体沉浸处理腔室内
204供应包括反应气体与还原气体的气体混合物到处理腔室内
206执行等离子体沉浸离子注入工艺,以将来自气体混合物的离子注入到基板内
具体实施方式
本发明提供用以通过等离子体沉浸离子注入工艺而将离子注入至基板内的方法。在一实施例中,离子注入工艺是透过向处理腔室供应含有反应气体与还原气体的气体混合物来执行。产生一等离子体以将来自气体混合物的离子解离,从而形成朝着基板被加速且被注入至基板内的离子源,其中该基板具有欲求的偏压。供应的气体混合物提供不同的离子物种,因而提供了特定经解离的离子,所述经解离的离子与副产物相互作用地反应和/或与副产物结合而接着被泵送出处理腔室。特定离子的相互作用地反应和/或结合可避免非欲求离子随着欲求离子一起被注入基板内。
图1A绘示一等离子体反应器100,其可用来实施根据本发明一实施例的离子注入工艺。可实施该工艺的一适当反应器为可从美国加利福尼亚州圣克拉拉市(Santa Clara)的应用材料公司(Applied Materials,Inc.)获得的反应器。本文叙述的方法可以在其它适用的等离子体反应器中实施,包括来自其它制造商的等离子体反应器。
等离子体反应器100包括一腔室本体102,腔室本体102具有底部124、顶部126、与围绕工艺区域104的侧壁122。一基板支撑组件128由腔室本体102的底部124所支撑,且适于接收基板106以进行处理。一气体散布板130耦接至腔室本体102的顶部126,且面对基板支撑组件128。一抽吸口132被定义在腔室本体102中,并耦接至真空泵134。真空泵134经由一节流阀136耦接至抽吸口132。一气体源152耦接至气体散布板130,以供应在基板106上执行工艺所需要的气体前驱物化合物。
图1A绘示的反应器100还包括一等离子体源190,在图1B中显示较为清楚。等离子体源190包括一对分离的外部再进入导管140、140’,外部再进入导管140、140’装设在腔室本体102的顶部126外面而彼此横切(或如同图1B中示范性实施例所绘示的彼此正交)。第一外部再进入导管140具有一第一端140a,该第一端140a经由顶部126中形成的开口198耦接至腔室本体102中工艺区域104的第一侧内。一第二端140b具有耦接至工艺区域104的第二侧内的开口196。第二外部再进入导管140’具有一第一端140a’与一第二端140b’,第一端140a’具有耦接至工艺区域104的第三侧内的开口194,第二端140b’具有耦接至工艺区域104的第四侧内的开口192。在一实施例中,第一与第二外部再进入导管140、140’被建构成彼此正交,从而使每一外部再进入导管140、140’的两端140a、140a’、140b、140b’在腔室本体102的顶部126周围相隔约90度。外部再进入导管140、140’的正交组态使得等离子体源能均匀地散布在工艺区域104中。第一与第二外部再进入导管140、140’可被建构成能在工艺区域104内提供均匀等离子体散布的其它分布形式。
磁性可穿透的环面芯142、142’围绕外部再进入导管140、140’中相应的一个的一部分。导电线圈144、144’经由各自的阻抗匹配电路或构件148、148’耦接至各自的RF等离子体源功率产生器146、146’。每一外部再进入导管140、140’为分别被绝缘环150、150’干扰的中空导电管子,其中该些绝缘环150、150’干扰各个外部再进入导管140、140’的两端140a、140b(与140a’、140b’)之间的一连续电性路径。基板表面的离子能量是由一RF等离子体偏功率产生器154来控制,其中该RF等离子体偏功率产生器154经由一阻抗匹配电路或构件156耦接至基板支撑组件128。
再参照图1A,包括来自工艺气体源152的气体化合物的工艺气体经由上方气体散布板130被导入工艺区域104。RF源等离子体功率146从功率施用器142、144耦接至导管140内所供应的气体,这建立了一第一封闭环面(torroidal)路径中的循环等离子体流,其中该第一封闭环面路径包括外部再进入导管140与工艺区域104。而且,RF源功率146’可以从其它功率施用器142’、144’耦接至第二导管140’中的气体,这建立了与第一封闭环面路径横切(例如正交)的一第二封闭环面路径中的循环等离子体流。第二环面路径包括第二外部再进入导管140’与工艺区域104。每一路径中的等离子体流在各自RF源功率产生器146、146’的频率下振荡(例如,相反的方向),其中该两频率可以相同或稍微彼此偏移。
在一实施例中,工艺气体源152提供不同的工艺气体,这些气体可用来提供被注入基板106内的离子。适当的工艺气体实例包括有B2H6、BF3、SiH4、SiF4、PH3、P2H5、PO3、PF3、PF5与CF4等。每一等离子体源功率产生器146、146’的功率***作成使得这些产生器的结合效果能够有效率地将从工艺气体源152供应的工艺气体解离,并且在基板106的表面产生欲求的离子通量(ionflux)。RF等离子体偏功率产生器154的功率被控制在经选择的水平,在此水平从工艺气体解离的离子可以朝向基板表面被加速且被注入到基板106表面下一欲求深度而具有欲求离子浓度。例如,通过相对低的RF功率(例如低于约50eV),可以获得相对低的等离子体离子能量。经解离而具有低离子能量的离子可以被注入到基板表面下约0埃与100埃之间的浅深度。替代性地,经解离而具有高离子能量的离子是由高RF功率(例如高于约50eV)来提供且产生,经解离而具有高离子能量的离子可以被注入到基板表面下实质上超过100埃的深度。
经控制的RF等离子体源功率与RF等离子体偏功率的组合可以将气体混合物中的离子解离,该离子因而在处理腔室100中具有足够动量且欲求的离子分布。这些离子被偏压,并且被朝向基板驱动,从而将该些离子入到基板内而在基板表面下具有欲求的离子浓度、分布及深度。再者,来自所供应工艺气体的离子物种的经控制离子能量与不同类型有助于该些离子被注入到基板106内,因此在基板106上形成欲求的组件结构(例如栅极结构与源漏极区域)。
图2绘示通过等离子体沉浸离子注入工艺将离子注入到基板内的方法200的工艺流程图。工艺200可以在一等离子体沉浸离子注入处理腔室内(例如图1A-1B所述的处理腔室100)执行。
方法200开始于步骤202,其在处理腔室100中提供一基板。在一实施例中,基板可以是例如氧化硅、碳化硅、结晶硅(如Si<100>或Si<111>)、伸张硅、硅锗、掺杂或未掺杂多晶硅、掺杂或未掺杂硅晶片、掺杂硅、锗、砷化镓、氮化镓、玻璃与蓝宝石(sapphire)的材料。基板可以具有各种尺寸(例如直径为200mm或300mm的晶片),以及矩形或方形面板(pane)。除非特别指明,本文叙述的实施例与实例是在200mm直径或300mm直径的基板上实施。在使用基板以形成栅极结构的实施例中,可以在基板上的一栅极电介质层上沉积一多晶硅层。
在步骤204,将一气体混合物供应至处理腔室100内,以提供用于后续注入工艺的离子物种。可以从工艺气体源152(如图1A所示)或以其它适当方式供应气体混合物至气体散布***130。
在一实施例中,供应至处理腔室100内的气体混合物包括一反应气体与一还原气体。反应气体提供将被注入到基板内的欲求离子。例如,反应气体可以提供欲求离子物种的来源,如B、P、Ga、As等,这些离子物种用来在电性组件中形成活性的掺杂质以产生基板掺杂区域的欲求电性性能。在一实施例中,可以用来提供离子物种源的反应气体包括BF3、B2H6、BCl3、P2H5、PH3、GaN、AsF5、PF3等。
在一实施例中,还原气体可以是一含氢气体。还原气体是用以与除了欲求离子物种以外的离子物种发生反应,以避免非欲求离子随着欲求离子被注入到基板内。例如,在反应气体是BF3气体的实施例中,BF3气体在后续注入工艺会被解离(下文会更详细讨论)且产生BF2+、BF2 2+与F-离子形式的副产物离子物种。从还原气体产生的氢原子可以有效率地与未完全解离的B3+、BF2+和/或BF2 2+离子和/或副产物F-发生反应,它们接着被泵送出腔室,因而避免了非欲求离子物种被注入到基板内。在一实施例中,该含氢还原气体可以包括SiH4、B2H6、NH3、H2等。
在另一实施例中,被供应至处理腔室100内的气体混合物可以包括一惰性气体。适当的惰性气体实例包括N2、Ar、He、Xe与Kr等。处理腔室100中的惰性气体会促进气体混合物的离子轰击(ion bombardment),从而有效率地增加工艺气体撞击的可能性,可以减少离子物种的再结合。
可选地,一含氮气体可以随着气体混合物被供应,以有助于挥发性副产物的形成,其中这些挥发性副产物更容易被泵送出处理腔室。该含氮气体可以包括NO、NO2、NH3、N2、N2O等。在一实施例中,含氮气体能以介于约0sccm与约500sccm之间(例如介于约5sccm与约100sccm之间)被供应到处理腔室内。
在步骤206,执行一等离子体沉浸离子注入工艺,以将在步骤204从气体混合物产生的离子注入到基板内。供应一RF源功率,以在处理腔室100中从气体混合物产生等离子体。经产生的等离子体会将腔室100中的气体混合物解离成离子物种。一RF偏功率可以随着RF源功率被施加,以将从气体混合物解离的离子物种解离且朝向基板驱动,并且进入基板表面下一欲求深度。可以将施加到腔室100的RF源与偏功率控制在一欲求的能量水平,从而将离子物种解离且掺杂成基板中欲求的浓度与深度。
在一实施例中,RF源功率可以被维持在约50瓦与约2000瓦之间。RF偏功率可以于介于约0伏特与约12000伏特之间的RF电压被维持在约50瓦与约11000瓦之间。
在步骤206的等离子体沉浸离子注入工艺期间,也可以调整一些工艺参数。在一实施例中,腔室压力可以被维持在约4mTorr与约500mTorr之间。基板温度可以被维持在约25℃与约400℃之间。
步骤204所供应的反应气体、还原气体与惰性气体之间的气体混合物流速和/或气体混合物流量比可以经选择,以在各种类型气体之间控制经解离离子物种的相对量。经选择的气体混合物流速和/或流量比使得欲被解离的气体混合物在不同类型的离子物种之间具有预定的量和/或比例,从而避免在处理腔室中产生过量的特定类型离子、造成不希望的副反应和/或在基板上形成不欲求的薄膜。例如,过量的特定类型离子物种(例如氟或氩离子)可能会溅镀且轰击基板表面,从而损坏基板表面以及使基板表面粗糙化。而且,过量的另一种类型离子物种(例如BxHy聚合物)可能无法轻易且有效率地被泵送出处理腔室,从而累积在基板上并造成基板污染及掺杂质轮廓改变。
在一实施例中,反应气体与还原气体的流量比可以被控制在约1:0.5与约1:0.1之间。在另一实施例中,反应气体能以约5sccm与约600sccm之间的流速(例如约10sccm与约400sccm之间)被注入处理腔室。含氢还原气体能以约0sccm与约800sccm之间的流速(例如约5sccm与约500sccm之间,诸如约5sccm与约100sccm之间)被注入处理腔室。惰性气体能以约0sccm与约1200sccm之间的流速(例如约5sccm与约1000sccm之间)被注入处理腔室。
在本发明的一示范性实施例中,气体混合物包括BF3与SiH4。如前所述,BF3与SiH4气体会被等离子体解离成B3+、BF2+、BF2 2+、F-、Si4-与H+形式的离子物种。由SiH4提供的活性H物种会与F物种及其它经解离的副产物发生反应,形成HF或其它类型的挥发性物种,因此避免了F物种与其它类型的副产物一起被注入到基板内。选择适当的SiH4气体流量,以避免过量的经解离的Si离子在基板表面上形成一非欲求的硅薄膜。在一实施例中,BF3气体与SiH4气体可以具有约1:50与约1:100之间的流量比(例如1:80)。替代性地,BF3气体流速能以约50sccm与约400sccm之间来供应,而SiH4气体流速能以约1sccm与约20sccm之间(例如5sccm)来供应。RF源功率可以被控制在约100伏特与约2000伏特之间,并且RF偏功率可以被控制在约100伏特与约12000伏特之间。
在本发明的另一示范性实施例中,供应至处理腔室100内的气体混合物可以包括BF3、B2H6与一含氮气体(例如N2)。BF3、B2H6与N2气体被等离子体解离成B3+、BF2+、BF2 2+、F-、BxHy、N*与H+形式的离子物种。由B2H6气体提供的活性H物种会与F物种及其它经解离的副产物发生反应,形成HF或其它类型能被泵送出腔室的挥发性物种,因此避免了F物种与其它类型的副产物一起被注入到基板内。不完全解离的B2H6气体会形成BxHy形式的聚合物气体。从N2气体产生的N离子物种可用来与聚合物气体BxHy发生反应,以形成能轻易被泵送出腔室的挥发性气体,从而避免了在基板上沉积聚合物气体且不利地影响组件结构。在一实施例中,BF3气体与B2H6气体可以具有约1:0.01与约1:0.5之间的流量比。替代性地,BF3气体流速能以约50sccm与约400sccm之间(例如100sccm)来供应,而B2H6气体流速能以约10sccm与约100sccm之间(例如10sccm)来供应,而N2气体流速能以约5sccm与约20sccm之间(例如10sccm)来供应。RF源功率可以被控制在约100伏特与约1000伏特之间,并且RF偏功率可以被控制在约100伏特与约12000伏特之间。
在本发明又另一示范性实施例中,供应至处理腔室100内的气体混合物可以包括BF3、B2H6与SiH4。BF3、B2H6与SiH4气体被等离子体解离成B3+、BF2+、BF2 2+、F-、Si4-、BxHy与H+形式的离子物种。B物种被加速且被注入到基板内基板表面下约10埃与约800埃之间的深度。由B2H6气体提供的活性H物种会与F物种及其它经解离的副产物发生反应,形成HF或其它类型的挥发性物种,因此避免了F物种与其它类型的副产物一起被注入到基板内。不完全解离的BxHy气体与H离子会形成能被泵送出腔室的挥发性气体,从而避免了在基板上沉积聚合物气体与硅离子且不利地影响组件结构。在一实施例中,BF3气体、B2H6气体与SiH4气体可以具有约1:0.01:0.01与约1:0.1:0.01之间的流量比。替代性地,BF3气体流速能以约50sccm与约400sccm之间来供应,而B2H6气体流速能以约10sccm与约100sccm之间来供应,而SiH4气体流速能以约5sccm与约20sccm之间来供应。RF源功率可以被控制在约100伏特与约1000伏特之间,并且RF偏功率可以被控制在约100伏特与约12000伏特之间。
所以,本发明提供了用以通过等离子体沉浸离子注入工艺而将离子注入到基板内的方法。此改良的方法得以有益地将欲求的掺杂质量注入到基板表下欲求的深度,而不会不利地污染或改变基板上掺杂质离子浓度,从而在基板上形成具有欲求的电性性能的电性组件。
虽然前述说明针对于本发明的实施例,但在不脱离本发明基本范围下可以构想出本发明的其它与进一步实施例,并且本发明范围是由所附权利要求来决定。

Claims (15)

1.一种对基板进行共形掺杂的方法,所述基板具有沟道,所述沟道具有侧壁和底部部分,所述方法包括:
将所述基板放置在等离子体腔室内;
向所述腔室提供掺杂剂源和蚀刻剂;
在所述腔室中形成电感耦合等离子体;
给所述基板施加偏压;以及
在掺杂剂注入所述侧壁和所述底部部分时,通过调整蚀刻剂和掺杂剂源进入所述腔室的流速的比率,来对掺杂剂注入所述侧壁和所述底部进行控制,其中当掺杂剂被注入时,所述比率逐渐提高。
2.如权利要求1所述的方法,其中在掺杂剂被注入所述侧壁时,利用所述蚀刻剂将过量的掺杂剂从所述底部部分去除。
3.如权利要求1所述的方法,其中所述掺杂剂源包括B2H6、P2H5、PH3、GaN、AsF3或PF3中的至少一个。
4.如权利要求1所述的方法,其中所述蚀刻剂包括BF3或BCl3中的至少一个。
5.如权利要求1所述的方法,其中所述掺杂剂源是B2H6,所述蚀刻剂是BF3
6.如权利要求1所述的方法,其中所述比率被顺次地调整。
7.如权利要求1所述的方法,其中所述比率从0:5逐渐变化到5:0。
8.如权利要求1所述的方法,其中所述掺杂剂源包括B2H6、P2H5、PH3、GaN、AsF3或PF3中的至少一个,所述蚀刻剂包括BF3或BCl3中的至少一个,并且掺杂剂源和蚀刻剂的比率从5:0逐渐变化到0:5。
9.一种将掺杂剂注入基板的方法,所述基板具有沟道,所述沟道具有侧壁和底部部分,所述方法包括:
将基板放置在等离子体沉浸离子注入腔室中;
向所述腔室提供掺杂剂源;
由所述掺杂剂源形成等离子体;
将掺杂剂注入所述侧壁和底部部分;
向所述腔室提供蚀刻剂;以及
在将掺杂剂注入所述底部部分和所述侧壁时,使蚀刻剂与沉积在所述底部部分上的掺杂剂的反应保持平衡。
10.如权利要求9所述的方法,其中所述掺杂剂源包括B2H6、P2H5、PH3、GaN、AsF3或PF3中的至少一个。
11.如权利要求9所述的方法,其中所述蚀刻剂包括BF3或BCl3中的至少一个。
12.如权利要求9所述的方法,其中所述掺杂剂源是B2H6,所述蚀刻剂是BF3
13.如权利要求9所述的方法,其中蚀刻剂流速和掺杂剂源流速构成蚀刻剂和掺杂剂的比率,并且当掺杂剂被注入时,所述比率逐渐提高。
14.如权利要求13所述的方法,其中所述比率顺次提高。
15.如权利要求13所述的方法,其中提高蚀刻剂和掺杂剂的比率包括逐渐降低掺杂剂源的流速。
CN201110424053.2A 2006-12-08 2007-12-07 对基板进行共形掺杂的方法和将掺杂剂注入基板的方法 Expired - Fee Related CN102522324B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/608,357 US7732309B2 (en) 2006-12-08 2006-12-08 Plasma immersed ion implantation process
US11/608,357 2006-12-08
CN2007800442344A CN101558183B (zh) 2006-12-08 2007-12-07 等离子体沉浸离子注入工艺

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN2007800442344A Division CN101558183B (zh) 2006-12-08 2007-12-07 等离子体沉浸离子注入工艺

Publications (2)

Publication Number Publication Date
CN102522324A CN102522324A (zh) 2012-06-27
CN102522324B true CN102522324B (zh) 2015-09-02

Family

ID=39498586

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201110424053.2A Expired - Fee Related CN102522324B (zh) 2006-12-08 2007-12-07 对基板进行共形掺杂的方法和将掺杂剂注入基板的方法
CN2007800442344A Expired - Fee Related CN101558183B (zh) 2006-12-08 2007-12-07 等离子体沉浸离子注入工艺

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN2007800442344A Expired - Fee Related CN101558183B (zh) 2006-12-08 2007-12-07 等离子体沉浸离子注入工艺

Country Status (6)

Country Link
US (3) US7732309B2 (zh)
JP (1) JP5331703B2 (zh)
KR (1) KR101502431B1 (zh)
CN (2) CN102522324B (zh)
TW (1) TWI375260B (zh)
WO (1) WO2008073845A1 (zh)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103170447B (zh) 2005-08-30 2015-02-18 先进科技材料公司 使用替代的氟化含硼前驱体的硼离子注入和用于注入的大氢化硼的形成
US7732309B2 (en) 2006-12-08 2010-06-08 Applied Materials, Inc. Plasma immersed ion implantation process
CN102203912B (zh) * 2008-10-31 2013-11-13 应用材料公司 改善p3i腔室中共形掺杂的方法
CN102379005B (zh) 2009-04-13 2016-08-24 应用材料公司 用离子和中性束注入改变膜的磁性
US8062965B2 (en) * 2009-10-27 2011-11-22 Advanced Technology Materials, Inc. Isotopically-enriched boron-containing compounds, and methods of making and using same
US8598022B2 (en) 2009-10-27 2013-12-03 Advanced Technology Materials, Inc. Isotopically-enriched boron-containing compounds, and methods of making and using same
KR101747473B1 (ko) * 2009-10-27 2017-06-27 엔테그리스, 아이엔씨. 이온 주입 시스템 및 방법
TWI582836B (zh) * 2010-02-26 2017-05-11 恩特葛瑞斯股份有限公司 用以增進離子植入系統中之離子源的壽命及性能之方法與設備
US9205392B2 (en) 2010-08-30 2015-12-08 Entegris, Inc. Apparatus and method for preparation of compounds or intermediates thereof from a solid material, and using such compounds and intermediates
WO2012129454A2 (en) * 2011-03-24 2012-09-27 Advanced Technology Materials, Inc. Cluster ion implantation of arsenic and phosphorus
KR101982903B1 (ko) 2012-02-14 2019-05-27 엔테그리스, 아이엔씨. 주입 용품에서 인 축적을 최소화하기 위한 대체 물질 및 혼합물
WO2013164940A1 (ja) * 2012-05-01 2013-11-07 東京エレクトロン株式会社 被処理基体にドーパントを注入する方法、及びプラズマドーピング装置
US9524849B2 (en) * 2013-07-18 2016-12-20 Varian Semiconductor Equipment Associates, Inc. Method of improving ion beam quality in an implant system
US9570271B2 (en) * 2014-03-03 2017-02-14 Praxair Technology, Inc. Boron-containing dopant compositions, systems and methods of use thereof for improving ion beam current and performance during boron ion implantation
US20180012763A1 (en) * 2014-12-24 2018-01-11 Tokyo Electron Limited Doping method, doping apparatus, and semiconductor element manufacturing method
US10522330B2 (en) 2015-06-12 2019-12-31 Varian Semiconductor Equipment Associates, Inc. In-situ plasma cleaning of process chamber components
US10233543B2 (en) * 2015-10-09 2019-03-19 Applied Materials, Inc. Showerhead assembly with multiple fluid delivery zones
US20170292186A1 (en) * 2016-04-11 2017-10-12 Aaron Reinicker Dopant compositions for ion implantation
CN108417484B (zh) * 2018-04-13 2020-05-12 中国电子科技集团公司第四十六研究所 一种提升光电传感器用硅外延层掺杂浓度均匀性的方法
US11048158B2 (en) * 2018-04-18 2021-06-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method for extreme ultraviolet lithography mask treatment
CN108962734B (zh) * 2018-06-27 2021-01-01 武汉华星光电半导体显示技术有限公司 一种多晶硅半导体层的制备方法、薄膜晶体管及制备方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1307143A (zh) * 2000-01-21 2001-08-08 李京熙 薄膜的制作方法及制作装置

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB9200415D0 (en) * 1992-01-09 1992-02-26 Bagshawe Kenneth D Inactivation of cytotoxic drugs
JP2919254B2 (ja) * 1993-11-22 1999-07-12 日本電気株式会社 半導体装置の製造方法および形成装置
JPH08293279A (ja) * 1995-04-20 1996-11-05 Fuji Xerox Co Ltd 非質量分離型イオン注入装置
JPH1154451A (ja) * 1997-08-07 1999-02-26 Mitsubishi Electric Corp 半導体装置の製造方法および半導体装置
AU2430601A (en) * 1999-12-13 2001-06-18 Semequip, Inc. Ion implantation ion source, system and method
US6893907B2 (en) * 2002-06-05 2005-05-17 Applied Materials, Inc. Fabrication of silicon-on-insulator structure using plasma immersion ion implantation
WO2002015650A2 (en) * 2000-08-11 2002-02-21 Applied Materials, Inc. Externally excited torroidal plasma source
US7465478B2 (en) * 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
US7037813B2 (en) * 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
JP2002206168A (ja) * 2000-10-24 2002-07-26 Canon Inc シリコン系薄膜の形成方法、シリコン系半導体層の形成方法及び光起電力素子
WO2002043803A1 (en) * 2000-11-30 2002-06-06 Semequip, Inc. Ion implantation system and control method
US6855436B2 (en) * 2003-05-30 2005-02-15 International Business Machines Corporation Formation of silicon-germanium-on-insulator (SGOI) by an integral high temperature SIMOX-Ge interdiffusion anneal
US6841457B2 (en) * 2002-07-16 2005-01-11 International Business Machines Corporation Use of hydrogen implantation to improve material properties of silicon-germanium-on-insulator material made by thermal diffusion
JP4544447B2 (ja) * 2002-11-29 2010-09-15 パナソニック株式会社 プラズマドーピング方法
US7622200B2 (en) 2004-05-21 2009-11-24 Semiconductor Energy Laboratory Co., Ltd. Light emitting element
US20060011906A1 (en) * 2004-07-14 2006-01-19 International Business Machines Corporation Ion implantation for suppression of defects in annealed SiGe layers
US7141457B2 (en) * 2004-11-18 2006-11-28 International Business Machines Corporation Method to form Si-containing SOI and underlying substrate with different orientations
US7504314B2 (en) * 2005-04-06 2009-03-17 International Business Machines Corporation Method for fabricating oxygen-implanted silicon on insulation type semiconductor and semiconductor formed therefrom
US7732309B2 (en) 2006-12-08 2010-06-08 Applied Materials, Inc. Plasma immersed ion implantation process

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1307143A (zh) * 2000-01-21 2001-08-08 李京熙 薄膜的制作方法及制作装置

Also Published As

Publication number Publication date
CN101558183B (zh) 2012-03-14
US7838399B2 (en) 2010-11-23
TW200832523A (en) 2008-08-01
WO2008073845A1 (en) 2008-06-19
JP2010512649A (ja) 2010-04-22
US20110053360A1 (en) 2011-03-03
CN101558183A (zh) 2009-10-14
KR20090085705A (ko) 2009-08-07
US8273624B2 (en) 2012-09-25
JP5331703B2 (ja) 2013-10-30
US7732309B2 (en) 2010-06-08
US20080138968A1 (en) 2008-06-12
TWI375260B (en) 2012-10-21
US20080138967A1 (en) 2008-06-12
CN102522324A (zh) 2012-06-27
KR101502431B1 (ko) 2015-03-13

Similar Documents

Publication Publication Date Title
CN102522324B (zh) 对基板进行共形掺杂的方法和将掺杂剂注入基板的方法
CN106663632B (zh) 用于选择性沉积的方法与设备
TWI629717B (zh) 3d結構半導體應用之利用圖案化自組裝單層的選擇性原子層沉積製程
US7223676B2 (en) Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US9553174B2 (en) Conversion process utilized for manufacturing advanced 3D features for semiconductor device applications
US20140248759A1 (en) Safe handling of low energy, high dose arsenic, phosphorus, and boron implanted wafers
CN102203912B (zh) 改善p3i腔室中共形掺杂的方法
US8288257B2 (en) Doping profile modification in P3I process
CN111696863B (zh) 硅介质材料刻蚀方法
US20120302048A1 (en) Pre or post-implant plasma treatment for plasma immersed ion implantation process

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20150902

Termination date: 20161207

CF01 Termination of patent right due to non-payment of annual fee