JP2001326201A - ポリッシング装置 - Google Patents

ポリッシング装置

Info

Publication number
JP2001326201A
JP2001326201A JP2000143771A JP2000143771A JP2001326201A JP 2001326201 A JP2001326201 A JP 2001326201A JP 2000143771 A JP2000143771 A JP 2000143771A JP 2000143771 A JP2000143771 A JP 2000143771A JP 2001326201 A JP2001326201 A JP 2001326201A
Authority
JP
Japan
Prior art keywords
polishing
wafer
top ring
polished
cleaning
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2000143771A
Other languages
English (en)
Inventor
Seiji Katsuoka
誠司 勝岡
Masahiko Sekimoto
雅彦 関本
Mitsuru Miyazaki
充 宮崎
Naoki Nomichi
直樹 野路
Kazuki Chiba
一機 千葉
Kenji Fujimoto
憲司 藤本
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Ebara Corp
Mitsubishi Materials Corp
Original Assignee
Ebara Corp
Mitsubishi Materials Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ebara Corp, Mitsubishi Materials Corp filed Critical Ebara Corp
Priority to JP2000143771A priority Critical patent/JP2001326201A/ja
Priority to SG200102826A priority patent/SG96621A1/en
Priority to TW090111279A priority patent/TW491744B/zh
Priority to EP01111685A priority patent/EP1155778B1/en
Priority to DE60125859T priority patent/DE60125859T2/de
Priority to KR1020010026850A priority patent/KR20010106260A/ko
Priority to US09/855,677 priority patent/US6629883B2/en
Publication of JP2001326201A publication Critical patent/JP2001326201A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67219Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one polishing chamber
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/34Accessories
    • B24B37/345Feeding, loading or unloading work specially adapted to lapping
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B41/00Component parts such as frames, beds, carriages, headstocks
    • B24B41/06Work supports, e.g. adjustable steadies
    • B24B41/061Work supports, e.g. adjustable steadies axially supporting turning workpieces, e.g. magnetically, pneumatically
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Grinding Of Cylindrical And Plane Surfaces (AREA)
  • Constituent Portions Of Griding Lathes, Driving, Sensing And Control (AREA)

Abstract

(57)【要約】 【課題】 単位時間当たりの半導体ウエハ等の基板の研
磨枚数を増加させて処理能力を高めることができるマル
チヘッド型のポリッシング装置を提供する。 【解決手段】 研磨面を有する研磨テーブル34L,3
4R,35L,35Rと、半導体ウエハを保持して研磨
テーブル34L,34R,35L,35Rに押圧する複
数のトップリング32L,32Rと、複数のトップリン
グ32L,32Rを保持するとともに複数のトップリン
グ32L,32Rの回転割出しを行なうカルーセル36
L、36Rとを備えたマルチヘッド型のポリッシング装
置において、回転中心から所定円周上に位置し半導体ウ
エハを保持する複数の部分を有し、かつこの複数の部分
の割り出しを行うインデックス機能を有するロータリト
ランスポータ27L,27Rと、ロータリトランスポー
タ27L,27Rと各トップリング32L,32Rとの
間で半導体ウエハを受け渡しするプッシャー30L,3
0Rとを備えた。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は半導体ウエハ等の基
板を平坦かつ鏡面状に研磨するポリッシング装置に係
り、特に一個の研磨テーブルに対してポリッシング対象
物を保持するトップリングを複数個備えたマルチヘッド
型のポリッシング装置に関する。
【0002】
【従来の技術】近年、半導体デバイスの高集積化が進む
につれて回路の配線が微細化し、配線間距離もより狭く
なりつつある。特に、線幅がきわめて狭い光リソグラフ
ィの場合、許容される焦点深度が浅くなるため、ステッ
パーの結像面の高い平坦度が必要となる。そこで、半導
体ウエハの表面を平坦化することが必要となるが、この
平坦化法の1手段としてポリッシング装置を用いて化学
的機械的研磨(CMP)をすることが行われている。
【0003】前記ポリッシング装置として、一個の研磨
テーブルに対して半導体ウエハを保持するトップリング
を複数個備え、同時に複数の半導体ウエハを研磨するこ
とができるマルチヘッド型のポリッシング装置が知られ
ている。このマルチヘッド型のポリッシング装置におい
ては、各トップリングに半導体ウエハを装着した後に、
トップリングに保持された全ての半導体ウエハを同時に
研磨テーブル上の研磨面に押し付けて研磨を行い、所定
時間の研磨を行った後に、各トップリングを上昇させる
ことにより、研磨を終了した全ての半導体ウエハをトッ
プリングから取り外した後に、各トップリングに新たな
半導体ウエハを装着することが行われている。
【0004】
【発明が解決しようとする課題】上述した従来のマルチ
ヘッド型のポリッシング装置においては、複数の半導体
ウエハを同時に研磨し、同時にロードアンロード(即
ち、交換)するという方法を採っているが、研磨前の半
導体ウエハを複数のトップリングにロードし、研磨後の
半導体ウエハを複数のトップリングからアンロードする
作業を自動化しようとする場合、複数の半導体ウエハを
搬送ミスなく短時間でロードアンロードすることが求め
られる。しかしながら、マルチヘッド型のポリッシング
装置においてこの要請に答えられるロードアンロード機
構は存在していないのが現状である。
【0005】従来のマルチヘッド型のポリッシング装置
は、ポリッシングだけを行う専用のポリッシング装置で
あり、ポリッシングの完了した半導体ウエハは移動式の
水槽の中に入れて次の洗浄工程へ搬送されていた。とこ
ろが、このポリッシング工程と洗浄工程とを個別に行う
方法では、クリーンルームのクリーン度を損ない、かつ
ポリッシング終了後のウエハの搬送も人手による搬送手
段に頼らざるを得なかった。またポリッシング装置とそ
の後の洗浄工程で使用する洗浄機の2種類の装置を必要
とするため、設置スペースも広く必要であった。
【0006】そこで、ポリッシング工程のクリーン化を
図り、かつ装置の設置スペースの縮小を図るため、ポリ
ッシング工程と洗浄工程を同一装置内で行い、半導体ウ
エハをドライな状態で装置に入れ、処理後に半導体ウエ
ハをクリーンでドライな状態で装置から払い出すドライ
イン/ドライアウト方式を実現できるマルチヘッド型の
ポリッシング装置が求められている。
【0007】本発明は、上述の事情に鑑みなされたもの
で、単位時間当たりの半導体ウエハ等の基板の研磨枚数
を増加させて処理能力を高めることができるとともに、
ドライイン/ドライアウト方式を実現できるマルチヘッ
ド型のポリッシング装置を提供することを目的とする。
【0008】
【課題を解決するための手段】上記目的を達成するため
に、本発明の第1の態様は、研磨面を有する研磨テーブ
ルと、基板を保持して前記研磨テーブルに押圧する複数
のトップリングと、複数のトップリングを保持するとと
もに該複数のトップリングの回転割出しを行なうカルー
セルとを備えたマルチヘッド型のポリッシング装置にお
いて、前記各トップリングが所定位置にあるときに、各
トップリングが到達可能な位置に設置され、回転中心か
ら所定円周上に位置しポリッシング対象物を保持する複
数の部分を有し、かつこの複数の部分の割り出しを行う
インデックス機能を有するロータリトランスポータと、
前記ロータリトランスポータと各トップリングとの間で
ポリッシング対象物を受け渡しするプッシャーとを備え
たことを特徴とするポリッシング装置である。本発明に
よれば、半導体ウエハ等のポリッシング対象物をトップ
リングに搬送する時間を短縮することが可能となり、単
位時間あたりのポリッシング対象物の処理枚数(スルー
プット)を飛躍的に増加させることができる。
【0009】本発明の第2の態様は、研磨面を有する研
磨テーブルと、基板を保持して前記研磨テーブルに押圧
する複数のトップリングと、複数のトップリングを保持
するとともに該複数のトップリングの回転割出しを行な
うカルーセルとを備えたマルチヘッド型のポリッシング
装置において、研磨後のポリッシング対象物を洗浄する
複数の洗浄装置と、前記複数の洗浄装置間で研磨後のポ
リッシング対象物を搬送する搬送機構と、前記複数の洗
浄装置を経由してポリッシング対象物が複数段の洗浄工
程を経る間に又は洗浄工程前にポリッシング対象物を待
機させるための置き台を具備したステーションとを備え
たことを特徴とするポリッシング装置である。本発明に
よれば、次の処理工程により処理される前に、複数のポ
リッシング対象物の一部をステーションの置き台に待機
させておくことができる。
【0010】
【発明の実施の形態】以下、本発明に係るポリッシング
装置の実施の形態を図面を参照して説明する。図1は本
発明に係るポリッシング装置の各部の配置構成を示す平
面図である。図1に示すポリッシング装置は多数の半導
体ウエハをストックするウエハカセット1を載置するロ
ードアンロードステージ2を4つ備えている。ロードア
ンロードステージ2は昇降可能な機構を有していても良
い。ロードアンロードステージ2上の各ウエハカセット
1に到達可能となるように、走行機構3の上に2つのハ
ンドを有した搬送ロボット4が配置されている。
【0011】前記搬送ロボット4における2つのハンド
のうち下側のハンドはウエハカセット1より半導体ウエ
ハを受け取るときのみに使用され、上側のハンドはウエ
ハカセット1に半導体ウエハを戻すときのみに使用され
る。これは、洗浄した後のクリーンなウエハを上側にし
て、それ以上ウエハを汚さないための配置である。下側
のハンドはウエハを真空吸着する吸着型ハンドであり、
上側のハンドはウエハの周縁部を保持する落とし込み型
ハンドである。吸着型ハンドはカセット内のウエハのず
れに関係なく正確に搬送し、落とし込み型ハンドは真空
吸着のようにごみを集めてこないのでウエハの裏面のク
リーン度を保って搬送できる。搬送ロボット4の走行機
構3を対称軸に、ウエハカセット1とは反対側に2台の
洗浄機5,6が配置されている。各洗浄機5,6は搬送
ロボット4のハンドが到達可能な位置に配置されてい
る。
【0012】また2台の洗浄機5,6の間で、ロボット
4が到達可能な位置に、上下方向に多段に配置された半
導体ウエハの載置台を備えたウエハステーション90が
配置されている。前記洗浄機5,6は、ウエハを高速回
転させて乾燥させるスピンドライ機能を有しており、こ
れによりウエハの2段洗浄及び3段洗浄にモジュール交
換することなく対応することができるように構成されて
いる。
【0013】前記洗浄機5,6とウエハステーション9
0が配置されている領域Bと前記ウエハカセット1と搬
送ロボット4が配置されている領域Aのクリーン度を分
けるために隔壁14が配置され、互いの領域の間で半導
体ウエハを搬送するための隔壁の開口部にシャッター1
1が設けられている。洗浄機5とウエハステーション9
0に到達可能な位置に2つのハンドを有した搬送ロボッ
ト20が配置されており、洗浄機6とウエハステーショ
ン90に到達可能な位置に2つのハンドを有した搬送ロ
ボット21が配置されている。
【0014】前記搬送ロボット20および搬送ロボット
21の上側のハンドは、一度洗浄された半導体ウエハを
洗浄機もしくはウエハステーション90の載置台へ搬送
するのに使用され、下側のハンドは1度も洗浄されてい
ない半導体ウエハ、及び研磨される前の半導体ウエハを
搬送するのに使用される。下側のハンドで反転機へのウ
エハの出し入れを行うことにより、反転機上部の壁から
のリンス水のしずくにより上側のハンドを汚染すること
がない。
【0015】前記洗浄機5と隣接するように搬送ロボッ
ト20のハンドが到達可能な位置に洗浄機22が配置さ
れている。また、洗浄機6と隣接するように搬送ロボッ
ト21のハンドが到達可能な位置に洗浄機23が配置さ
れている。前記洗浄機5,6,22,23とウエハステ
ーション90と搬送ロボット20,21は全て領域Bの
中に配置されていて、領域A内の気圧よりも低い気圧に
調整されている。前記洗浄機22,23は、両面洗浄可
能な洗浄機である。
【0016】本ポリッシング装置は、各機器を囲むよう
にハウジング100を有しており、ハウジング100内
は隔壁14,隔壁15,隔壁16,隔壁24,および隔
壁25により複数の部屋(領域A,領域Bを含む)に区
画されている。隔壁24によって領域Bと区分されたポ
リッシング室が形成され、ポリッシング室は更に隔壁2
5によって2つの領域CとDに区分されている。そし
て、2つの領域C,Dにはそれぞれ研磨ユニットが配置
されている。即ち、領域C,Dには、それぞれ2つの研
磨テーブルと、それぞれ1枚の半導体ウエハを保持しか
つ半導体ウエハを前記研磨テーブルに対して押し付けな
がら研磨するための3つのトップリングを備えたカルー
セルが配置されている。即ち、領域Cには研磨テーブル
34L,35L,領域Dには研磨テーブル34R,35
Rがそれぞれ配置されており、また、領域Cにはカルー
セル36L,領域Dにはカルーセル36Rがそれぞれ配
置されている。また領域C内の研磨テーブル34Lに研
磨砥液を供給するための砥液ノズル(図示せず)と、研
磨テーブル34Lのドレッシングを行うためのドレッサ
38Lとが配置されている。領域D内の研磨テーブル3
4Rに研磨砥液を供給するための砥液ノズル(図示せ
ず)と、研磨テーブル34Rのドレッシングを行うため
のドレッサ38Rとが配置されている。さらに、領域C
内の研磨テーブル35Lのドレッシングを行うためのド
レッサ39Lと、領域D内の研磨テーブル35Rのドレ
ッシングを行うためのドレッサ39Rとが配置されてい
る。図1に示すように、左右の研磨ユニットは、隔壁2
5に対して線対称に配置されている。そして、左右の研
磨ユニットとも3個の各トップリング32L,32Rが
ウエハを保持して研磨している状態を示している。
【0017】図1に示すように、隔壁24によって領域
Bとは区切られた領域Cの中にあって、搬送ロボット2
0のハンドが到達可能な位置に半導体ウエハを反転させ
る反転機28Lが配置されている。また領域Dの中にあ
って、搬送ロボット21のハンドが到達可能な位置に半
導体ウエハを反転させる反転機28Rがそれぞれ配置さ
れている。また、領域Bと領域C,Dを区切る隔壁24
には、半導体ウエハ搬送用の開口部が設けられ、それぞ
れの反転機28Lと反転機28R専用のシャッター26
L,26Rが開口部に設けられている。
【0018】前記反転機28L及び反転機28Rは、半
導体ウエハをチャックするチャック機構と半導体ウエハ
の表面と裏面を反転させる反転機構と半導体ウエハを前
記チャック機構によりチャックしているかどうかを確認
するウエハ有無検知センサとを備えている。そして、反
転機28Lには搬送ロボット20によって半導体ウエハ
が搬送され、反転機28Rには搬送ロボット21によっ
て半導体ウエハが搬送される。
【0019】また領域C内には、前記反転機28Lとカ
ルーセル36Lに支持された3つのトップリング32L
との間でウエハを受渡しするためのロータリトランスポ
ータ27Lが配置されている。ロータリトランスポータ
27Lには、ウエハを載せるステージが4ヶ所等配に設
けてあり、同時に複数のウエハが搭載可能になってい
る。反転機28Lに搬送されたウエハは、ロータリトラ
ンスポータ27Lのステージの中心と、反転機28Lで
チャックされたウエハの中心の位相が合った時に、ロー
タリトランスポータ27Lの下方に設置されたリフタ2
9Lが昇降することで、ロータリトランスポータ27L
上に搬送される。ロータリトランスポータ27Lのステ
ージ上に載せられたウエハは、ロータリトランスポータ
27Lの回転割り出しによって、プッシャー30Lの上
方へ搬送される。トップリング32Lは予めロータリト
ランスポータ27Lの上方の位置(ロードアンロード位
置)に揺動している。トップリング32Lの中心が前記
ロータリトランスポータ27Lに搭載されたウエハの中
心と位相が合ったとき、それらの下方に配置されたプッ
シャー30Lが昇降することで、ウエハはロータリトラ
ンスポータ27Lから1個のトップリング32Lへ移送
される。上述の動作を繰り返すことによりカルーセル3
6Lに支持された3つのトップリング32Lへのウエハ
のロードが行われる。
【0020】前記各トップリング32Lに移送されたウ
エハは、トップリングの真空吸着機構により吸着または
吸着確認された後、トップリングに保持されたまま研磨
テーブル34Lまで搬送される。そして、ウエハは研磨
テーブル34L上に取り付けられた研磨パッド又は砥石
等からなる研磨面で研磨される。各トップリング32L
が所定位置(第2研磨テーブルへのアクセス位置)にき
たときに到達可能な位置に、前述した第2の研磨テーブ
ル35Lが配置されている。これにより、ウエハは第1
の研磨テーブル34Lで研磨が終了した後、第2の研磨
テーブル35Lで研磨できるようになっている。この場
合、第2の研磨テーブル35Lでは、バフ研磨ができる
ようになっている。
【0021】一方、領域D内には、前記反転機28Rと
カルーセル36Rに支持された3つのトップリング32
Rとの間でウエハを搬送するロータリトランスポータ2
7Rが配置されている。ロータリトランスポータ27R
には、ウエハを載せるステージが4ヶ所等配に設けてあ
り、同時に複数のウエハが搭載可能になっている。反転
機28Rに搬送されたウエハは、ロータリトランスポー
タ27Rのステージの中心と、反転機28Rでチャック
されたウエハの中心の位相が合った時に、ロータリトラ
ンスポータ27Rの下方に設置されたリフタ29Rが昇
降することで、ロータリトランスポータ27R上に搬送
される。ロータリトランスポータ27Rのステージ上に
載せられたウエハは、ロータリトランスポータ27Rの
回転割り出しによって、プッシャー30Rの上方へ搬送
される。トップリング32Rは予めロータリトランスポ
ータ27Rの上方の位置(ロードアンロード位置)に揺
動している。トップリング32Rの中心が前記ロータリ
トランスポータ27Rに搭載されたウエハの中心と位相
が合ったとき、それらの下方に配置されたプッシャー3
0Rが昇降することで、ウエハはロータリトランスポー
タ27Rからトップリング32Rへ移送される。上述の
動作を繰り返すことによりカルーセル36Rに支持され
た3つのトップリング32Rへのウエハのロードが行わ
れる。
【0022】前記各トップリング32Rに移送されたウ
エハは、トップリングの真空吸着機構により吸着または
吸着確認された後、トップリングに保持されたまま研磨
テーブル34Rまで搬送される。そして、ウエハは研磨
テーブル34R上に取り付けられた研磨パッド又は砥石
等からなる研磨面で研磨される。各トップリング32L
が所定位置(第2研磨テーブルへのアクセス位置)にき
たときに到達可能な位置に、前述した第2の研磨テーブ
ル35Rが配置されている。これにより、ウエハは第1
の研磨テーブル34Rで研磨が終了した後、第2の研磨
テーブル35Rで研磨できるようになっている。この場
合、第2の研磨テーブル35Rでは、バフ研磨ができる
ようになっている。
【0023】左右いずれの研磨ユニットにおいても、第
1の研磨テーブル34L(又は34R)でウエハを研磨
した後に、第2の研磨テーブル35L(又は35R)に
トップリング32L(又は32R)が移動する前に、ト
ップリング32L(又は32R)が研磨テーブル34L
(又は34R)から離間した位置で、研磨テーブルに隣
接して設置された洗浄液ノズル(図示せず)によりトッ
プリング32L(又は32R)に保持されたウエハ及び
トップリング下面及び側面に向けて洗浄液が噴射され
る。これにより、第2の研磨テーブル35L(又は35
R)へ移動する前にウエハが一旦リンスされるので、複
数の研磨テーブル相互間の汚染が防止できる。
【0024】前記第1の研磨テーブル34L(又は34
R)および第2の研磨テーブル35L(又は35R)で
研磨が終了した後、ドレッサ38L,38R,39L,
39Rによってそれぞれの研磨面のドレッシングを行
う。ドレッシングとは、研磨テーブルの研磨面がウエハ
の研磨によって劣化したことに対して、その回復を図る
ための処置で、コンディショニング,修正などと呼ばれ
ることもある。左側の研磨ユニットにおいて、研磨が終
了したウエハは、トップリング32Lからプッシャー3
0Lに移送され、その後、プッシャー30Lが下降して
ロータリトランスポータ27Lに受け渡される。そし
て、ロータリトランスポータ27Lの回転割出しによっ
て、ウエハは反転機28Lの下方に搬送され、その後、
リフタ29Lの上昇によって反転機28Lに戻される。
【0025】また右側の研磨ユニットにおいて、研磨が
終了したウエハは、トップリング32Rからプッシャー
30Rに移送され、その後、プッシャー30Rが下降し
てロータリトランスポータ27Rに受け渡される。そし
て、ロータリトランスポータ27Rの回転割出しによっ
て、反転機28Rの下方に搬送され、その後、リフタ2
9Rの上昇によって反転機28Rに戻される。
【0026】前述の動作によって、反転機28L又は2
8Rまで戻されたウエハは、純水もしくは洗浄用の薬液
がリンスノズルによりリンスされる。また、ウエハを離
脱したトップリング32L又は32Rのウエハ吸着面
は、トップリング洗浄ノズルから純水もしくは薬液によ
って洗浄され、場合によっては乾燥防止のためにリンス
される。そして、隔壁にはプッシャー洗浄ノズルが取り
付けてあり、前記プッシャーの洗浄も行えるようになっ
ている。また、ウエハの歩留り、洗浄効果の向上のた
め、ウエハをトップリングに吸着させた状態で薬液リン
スすることもでき、プッシャーの上方でロータリトラン
スポータ27L又は28Rに保持された状態で薬液リン
スすることもできる。また後述するノズルでリフタを洗
浄することもできる。
【0027】図2は、左右の研磨ユニットとも、3個の
各トップリング32L,32Rが各種異なった動作を行
っている状態を示している。即ち、左側の研磨部におい
ては、1個のトップリング32L−1がロードアンロー
ド位置にあり、1個のトップリング32L−2が研磨テ
ーブル34Lに対してオーバーハングした位置にあり、
1個のトップリング32L−3が研磨テーブル35L上
の位置にある。一方、右側の研磨部においては、1個の
トップリング32R−1がロードアンロード位置にアク
セスする状態にあり、1個のトップリング32R−2が
研磨テーブル35Rにアクセスする位置にあり、1個の
トップリング32R−3が研磨テーブル34Rから離間
した待避位置にある。その他の機器は図1に示す状態と
同一である。
【0028】また、ドレッサ38L,38Rは、それぞ
れドレッサヘッド60から吊下されている。ドレッサヘ
ッド60は位置決め可能な揺動軸によって支持されてお
り、ドレッサ38L,38Rは、それぞれ待機位置と研
磨テーブル34L,34R上のドレッサ位置との間を移
動可能になっている。ドレッサ39L,39Rも同様
に、それぞれドレッサヘッド61から吊下されている。
ドレッサヘッド61は位置決め可能な揺動軸によって支
持されており、ドレッサ39L,39Rは待機位置と研
磨テーブル35L,35R上のドレッサ位置との間を移
動可能になっている。
【0029】図3乃至図5はカルーセル36L(又は3
6R)によって支持されたマルチヘッド型のトップリン
グ32L(又は32R)と研磨テーブル34L(又は3
4R)との関係を示す図であり、図3は概略側面図、図
4および図5は概略斜視図である。カルーセル36L、
トップリング32Lおよび研磨テーブル34Lと、カル
ーセル36R、トップリング32Rおよび研磨テーブル
34Rとは同一の構成であるため、図3乃至図5に関連
した以下の説明においては、一方のカルーセル36L、
トップリング32Lおよび研磨テーブル34Lについて
説明する。
【0030】図3に示すように、カルーセル36Lは複
数の柱41(図3では1個のみ示す)によって支持され
たブリッジ42によって支持されている。カルーセル3
6Lはブリッジ42に取り付けられたモータ44の回転
主軸43に支持されている。したがって、カルーセル3
6Lは回転主軸43の回転によって垂直な回転軸芯Oを
中心に回転可能に構成されている。カルーセル36Lに
は3個のトップリング揺動アーム45(図3では1個の
み示す)が支持されている。各トップリング揺動アーム
45は、図3では真直に図示されているが、L字形状に
屈曲されている。
【0031】各トップリング揺動アーム45は減速機4
7を介してモータ48に連結されており、モータ48を
駆動することによって、トップリング揺動アーム45は
カルーセル36Lに対して揺動するようになっている。
トップリング揺動アーム45の先端にはトップリング3
2Lが支持されている。トップリング32Lはトップリ
ング軸46を介してエアシリンダ(図示せず)に連結さ
れ、このトップリング軸46はタイミングベルト50を
介してモータ51に連結されている。したがって、トッ
プリング32Lは、エアシリンダ(図示せず)によって
上下動可能に構成され、モータ51によって自身の軸芯
の回わりに回転可能に構成されている。
【0032】図4および図5はブリッジおよび支柱等を
除くことにより、カルーセル36L、トップリング揺動
アーム45およびトップリング32Lの関係をよりわか
りやすく示した図である。図4および図5に示すよう
に、カルーセル36Lは回転主軸43から120゜の等
間隔で放射状に延びた3個のヘッドh1,h2,h3か
ら構成されている。またカルーセル36Lの各ヘッドh
1〜h3に支持されたトップリング揺動アーム45はL
字状をなし、基端部はカルーセル36Lに支持され、先
端部はトップリング32Lを支持している。
【0033】図3乃至図5の右側部分には、ロータリト
ランスポータ27L(又は27R)と、反転機28L
(又は28R)と、リフタ29L(又は29R)と、プ
ッシャー30L(又は30R)との関係が示されてい
る。図3乃至図5に示すように、ロータリトランスポー
タ27L(又は27R)の上方に反転機28L(又は2
8R)が配置され、ロータリトランスポータ27L(又
は27R)の下方にリフタ29L(又は29R)および
プッシャー30L(又は30R)がそれぞれ配置されて
いる。
【0034】図3においては、1個のトップリング32
Lがロータリトランスポータ27Lの上方にある状態が
示されている。図4においては、3個のトップリング3
2Lが研磨テーブル34L上でウエハを研磨している状
態が示されている。このとき、トップリング揺動アーム
45は、カルーセル36Lの半径方向内側に入りこむよ
うに揺動している。また図5においては、1個のトップ
リング32L−1がロータリトランスポータ27Lの上
方のロードアンロード位置にあり、1個のトップリング
32L−2が研磨テーブル34Lに対してオーバーハン
グした位置にあり、1個のトップリング32L−3が研
磨テーブル35L上でウエハのバフ研磨をしている状態
が示されている。図5においては、各トップリング揺動
アーム45は、カルーセル36Lから半径方向外側に開
くように揺動している。なお図5では、トップリング3
2Lを識別するために1,2,3の添字を用いて図示し
ている。
【0035】次にブリッジとカルーセルとトップリング
揺動アームの各機能について、更に説明する。ブリッジ
42は、3ヶ所をテーブルベース52から立ち上がった
支柱41により堅固に支えられている。3ヶ所の支柱部
分には、ウォームギヤで構成されるギヤボックスとサー
ボモータおよびボールネジから構成されるジャッキとが
配置されている。3ヶ所のジャッキは同期制御され、同
時に動作することで、ターンテーブル34L(又は34
R)に貼り付けられた研磨クロスを交換する場合などの
メンテナンス時に、カルーセル36Lを上昇させること
ができるようになっている。通常の動作中は、ブリッジ
42はテーブルベース52から立ち上がった3本の支柱
41で支えられ、支柱上端のカップリング凸部とブリッ
ジ底面に取付けられたカップリング凹部がかみ合った状
態で静止している。さらに、凹凸カップリングの締結を
堅固なものにするため、ジャッキモータにトルクをか
け、あたかもボルト締結をしているような状態にしてい
る。また回転するカルーセル36L(又は36R)に圧
力流体、電源、信号を供給するために、ブリッジ42の
上には、配管および配線が螺旋状に配置させていて、カ
ルーセル36L(又は36R)が回転しても断線および
配管のねじりがないようにしている。
【0036】カルーセル36L(又は36R)は、ブリ
ッジ42から堅固な軸受を内蔵するモータ44により懸
架されている。カルーセル36Lは、トップリング揺動
アーム45がポリッシング位置にある時のみ回転可能に
制御される。回転していない時は、内蔵されたエアブレ
ーキにより回転しないように保持され、回転動作時のみ
このエアブレーキが開放される。カルーセル36Lは正
逆方向に回転可能であり、カルーセル36Lの回転は2
40°に制限され、同一回転方向に回転し続けることは
ない。カルーセル36Lを回転駆動するモータ44は、
研磨テーブルを回転駆動するモータと同様に、偏平で大
きな外径をもち、低速回転可能となっている。カルーセ
ル36Lを支持する回転主軸43は、カルーセル36
L、トップリング揺動アーム45に圧力流体、電源、制
御信号を供給するため、中空軸となっている。
【0037】一方、トップリング揺動アーム45は、カ
ルーセル36L(又は36R)に堅固な軸受により水平
面内で回転可能に懸架されている。トップリング揺動ア
ーム45は、カルーセル回転角度との組み合わせによ
り、研磨テーブル34L(又は34R)上でのポリッシ
ング位置、ポリッシング後のウエハをパッド上から容易
に引き離すために、テーブル外周端よりウエハをはみ出
させるオーバハング位置、トップリング32L(又は3
2R)に保持したウエハを研磨テーブル35L(又は3
5R)上に押し付けるバフィング位置、トップリング3
2L(又は32R)にウエハを装着またはトップリング
32L(又は32R)よりウエハを離脱させるロードア
ンロード位置、および、トップリング32L(又は32
R)をメンテナンスする場合にトップリング軸(スプラ
インシャフト)46から取り外しするためのメンテナン
ス位置に揺動回転移動可能になっている。トップリング
揺動アーム45の揺動回転移動は、堅固な軸受を介して
取付けられたサーボモータ48により制御させるように
なっている。トップリング揺動アーム45の回転揺動の
揺動端には、センサおよびメカニカルストッパが設けら
れている。
【0038】また、トップリング揺動アーム45は、研
磨テーブル34L(又は34R)上でポリッシング位置
にある時、その一部がカルーセル36L(又は36R)
にかぶさるように収納され、さらにその一部が、カルー
セル底面に取付けられたプレートに接し、上方向に動き
を拘束される。さらに、カルーセル底面に取付けられた
空圧シリンダにより、トップリング揺動アーム45の上
面が、同プレートに押し付けられる。これは、ポリッシ
ング中にウエハが受ける垂直方向の加工反力により、ト
ップリング揺動アーム45が上方向に弾性変形するのを
防ぎ、安定的に姿勢が保たれるようにするためである。
【0039】装置動作安全上、トップリング揺動アーム
45が動作を許された場所以外で誤って動作しないよう
に、図3に示すように、ブリッジ42の底面には、トッ
プリング揺動アーム動作ガイドプレート53が設けられ
ている。そして、トップリング揺動アーム45の上面に
は、ガイドポール56が固定されており、このガイドポ
ール56はガイドプレート53の溝に嵌合されている
(後述する)。これによって、ガイドポール56はガイ
ドプレート53にあらかじめ規定された経路以外に動く
ことができないように設定されている。
【0040】次に、ガイドプレート53について説明す
る。図6はガイドプレート53の平面図である。図6に
示すように、ガイドプレート53には6個の円弧状の溝
54a〜54fが形成されている。溝54aはロードア
ンロード位置規制用溝であり、溝54bはメンテナンス
位置規制用溝、溝54cは待機位置規制用溝である。ま
た溝54dはトップリング交換位置規制用溝、溝54e
はバフ位置規制用溝、54fはメンテナンス位置規制用
溝である。これら溝54a〜54fに各トップリング揺
動アーム45から立設されたガイドポール56が嵌合さ
れており、各トップリング揺動アーム45の動きが規定
されるようになっている。さらにガイドプレート53に
より規定された経路の要所には、センサが設けられてい
て、各トップリング揺動アーム45が規定された以上に
移動しようとした場合、制御ハード回路によりインター
ロックが働くようになっている。
【0041】上述の構成において、3個の半導体ウエハ
がバッチ処理される場合について説明する。この場合、
左側の研磨ユニットについて説明する。搬送ロボット2
0によって、反転機28Lに搬送されたウエハは、ロー
タリトランスポータ27Lのステージの中心と、反転機
28Lでチャックされたウエハの中心の位相が合った時
に、ロータリトランスポータ27Lの下方に設置された
リフタ29Lが昇降することで、ロータリトランスポー
タ27L上に搬送される。ロータリトランスポータ27
Lのステージ上に載せられたウエハは、ロータリトラン
スポータ27Lの回転割り出しによって、トップリング
32Lの下方へ搬送される。トップリング32Lは、ト
ップリング揺動アーム45の揺動によって予めロータリ
トランスポータ27Lのロードアンロード位置に揺動し
ている。トップリング32Lの中心が前記ロータリトラ
ンスポータ27Lに搭載されたウエハの中心と位相が合
ったとき、それらの下方に配置されたプッシャー30L
が昇降することで、ウエハはロータリトランスポータ2
7Lから1個のトップリング32Lへ移送される。上述
の動作を繰り返すことによりカルーセル36Lに支持さ
れた3つのトップリング32Lへのウエハのロードが行
われる。
【0042】全てのトップリング32Lに半導体ウエハ
を装着した後に、全てのトップリング32Lによって半
導体ウエハを研磨テーブル34Lに押し付けて3個の半
導体ウエハの同時研磨を行なう。この場合、半導体ウエ
ハを回転している研磨テーブル34Lの上面の研磨クロ
ス又は砥石等からなる研磨面にエアシリンダ(図示せ
ず)により押圧する。一方、研磨砥液ノズル(図示せ
ず)から研磨砥液を流すことより、研磨面に研磨砥液が
保持されており、半導体ウエハの被研磨面(下面)と研
磨面の間に研磨砥液が存在した状態でポリッシングが行
われる。この研磨中に、全ての半導体ウエハの研磨状態
を光学式センサ(後述する)で監視する。
【0043】その後、所望の研磨時間が終了すると、ト
ップリング32Lはウエハを吸着保持する。そして、ト
ップリング揺動アーム45を半径方向外方に揺動させる
ことにより、トップリング32Lは研磨テーブル上をウ
エハと研磨パッドが接触したままの状態で揺動し、ウエ
ハの中心が研磨テーブル34L上に存在し可能な限り研
磨テーブル34Lの外周近傍に位置し、ウエハの表面の
40%程度が研磨テーブル34Lからはみ出すところま
で移動する。その後、エアシリンダ(図示せず)を作動
させ、ウエハと共にトップリング32Lを上昇させる。
これは、使用する研磨パッドによっては、パッド上のス
ラリーとウエハとの間の表面張力がトップリングの吸着
力よりも強くなることがあり、ウエハが研磨パッド上に
残されてしまうため、その表面張力を減少させるため
に、研磨テーブル上よりもウエハを飛び出させてからト
ップリング32Lを上昇させる。ウエハがウエハ面積の
40%以上研磨テーブルからはみ出ると、トップリング
は傾き、ウエハが研磨テーブルのエッジに当たりウエハ
が割れてしまう恐れがあるので40%程度のはみ出しが
望ましい。
【0044】トップリング32Lの上昇が完了すると、
エアシリンダ(図示せず)の上昇点検出センサが作動
し、上昇動作が完了したことが確認できる。そして、ト
ップリング32Lの揺動動作を開始し、ロードアンロー
ド位置であるプッシャー30Lの上方へ移動してプッシ
ャーへのウエハの受け渡しを行う。ウエハをプッシャー
30Lに受け渡した後、トップリング32Lに向って下
方から洗浄液を吹き付け、トップリング32Lのウエハ
保持面やその周辺を洗浄する。この洗浄水の供給は、次
のウエハがトップリング32Lに受け渡されるまでの間
トップリングの乾燥防止を目的とし、継続してもよい。
ランニングコストを考慮して間欠的に洗浄水を吹き付け
てもよい。ポリッシングの間に、例えばポリッシング時
間を複数のステップに分割し、そのステップ毎にトップ
リングの押付け力や、回転数、ウエハの保持方法を変更
することが可能になっている。また使用する砥液の種
類、量、濃度、温度、供給のタイミングなどを変更する
ことが可能である。
【0045】次に、ロータリトランスポータとその周辺
機器である反転機、リフタおよびプッシャーについて図
7乃至図12を参照して説明する。図7は反転機を示す
図であり、図7(a)は平面図、図7(b)は一部断面
された側面図である。反転機28Lと28Rとは同一構
造であるため、以下の説明は反転機28Lのみを説明す
る。図7(a)および図7(b)に示すように、反転機
28は2本の円弧状のアーム230を備え、アーム23
0にウエハをクランプするための溝が形成されたコマ2
31が複数(たとえば6個)固定されている。このアー
ム230はシリンダ232と圧縮バネ233の力を利用
して押し引きされるシャフト234の動きに合せて開閉
されるように構成されている。アーム230は、シリン
ダ232が伸びたときに開き、シリンダ232が縮んだ
ときに圧縮バネ233の力で閉じられる。シャフト23
4とシリンダ232の先端には間隔が設けてあり、シャ
フト234は圧縮バネ233の力でエンドブロック23
6にストッパ235が当たるまで引き戻される。
【0046】また、ウエハ101がチャックされている
ときは、ストッパ235とエンドブロック236の間に
は1mmのクリアランスができるようにエンドブロック
236が調整されている。そして、ストッパ235には
スリットが切られており、ウエハをクランプした位置で
このスリットを透過するように透過型光センサ237が
配置されている。したがって、ウエハ101をクランプ
していない時、もしくは正常にクランプできなかったと
きには、このセンサ237の光は透過しないため、ウエ
ハ101の有無をこのセンサ237が認識できるように
なっている。
【0047】また、シャフト234のスライド機構とプ
ーリ238とが接続されており、プーリ238はステッ
ピングモータ239の軸端のプーリ240とベルト24
1で連結されており、ステッピングモータ239が回転
するとアーム230が回転する構造になっている。ま
た、図1に示すように、反転機28L,28Rと搬送ロ
ボット20,21との間にはシャッター26L,26R
が設置されており、反転機の入っているポリッシング室
と搬送ロボットのある洗浄室とを仕切っている。ウエハ
の搬送時にはこのシャッター26L,26Rを開き、搬
送ロボット20,21のハンドが出入りする。搬送ロボ
ット20,21のハンドの出入りがないときにはこのシ
ャッター26L,26Rは閉まっており、ウエハの洗浄
やアームに固定されたチャックコマの洗浄などが行える
ように防水機構をなしている。
【0048】次に、上述のように構成された反転機の動
作を説明する。反転機28Lへは搬送ロボット20とリ
フタ29Lがアクセス可能で、ウエハの受渡しを行う。
また反転機28Rへは搬送ロボット21とリフタ29R
がアクセス可能で、ウエハの受渡しを行う。反転機28
Lは搬送ロボット20やリフタ29Lから搬送されてく
るウエハをアーム230を開いた状態で待っている。搬
送ロボット20の下ハンド、又はリフタ29Lで搬送さ
れるウエハの位置がアームに固定されたコマ231のウ
エハクランプ用の溝と平面的に同じ高さで、且つアーム
のコマ配置の概ね中心に搬送されてきた時、搬送ロボッ
ト20やリフタ29Lからの移動完了の信号を受けてア
ーム230を閉じる。センサ237でウエハ101の有
無を確認した後、搬送ロボット20はハンドをある所定
の高さまで下げて、その後ハンドを引き抜く。一方、リ
フタ29Lはウエハ101の有無を確認後、下方に待避
してウエハの反転機28Lへの受け渡しを完了する。反
転機28Lに受け渡されたウエハ101はアーム230
と共にステッピングモータ239にて反転される。反転
されたウエハ101はその後の搬送機構である搬送ロボ
ット20やリフタ29Lがウエハを受け取りにくるまで
その状態で待機する。
【0049】反転の動作はポリッシングの前後にそれぞ
れ行なわれる。ポリッシング後のウエハ101を反転す
る場合は、ポリッシング時にウエハ101についた砥液
や研磨屑がウエハ101上で乾燥し、固着してウエハ1
01にダメージを与えるのを防止するため、反転中や反
転後にウエハ101へ洗浄液をリンスする。リンスされ
る洗浄液は純水や薬液が使用され、スプレーノズルによ
り必要流量、圧力で、最適な角度から所望の時間吹き付
ける。このリンスにより後段の洗浄性能が充分に発揮で
きる。ウエハ101が反転機28L上で待機する場合、
その間中、洗浄液を流し続けるが、ランニングコストを
考慮し洗浄液を間欠的に流して洗浄液の使用量を低減し
ても良い。また、反転機28Lがウエハ101をクラン
プしていない時に、ウエハ101をクランプする溝やそ
の周辺をその洗浄液で洗浄し、ウエハ101に接触する
部位からウエハ101が逆汚染されるのを防ぐこともで
きる。
【0050】図8はリフタを示す縦断面図である。リフ
タ29Lと29Rとは同一の構造であるため、以下の説
明ではリフタ29Lのみを説明する。リフタ29Lは、
ウエハを載置するステージ260とステージの上昇下降
動作を行うシリンダ261とを備えており、シリンダ2
61とステージ260とはスライド可能なシャフト26
2で連結されている。ステージ260は上方から見て等
角度に配置される3本の爪263に分かれていて、それ
ぞれの爪263はオリフラウエハを載置した場合でも搬
送に影響しない範囲内にウエハを保持できるような間隔
で配置される。この爪263は反転機28Lのチャック
用のコマ231と位相が一致しない向きに配置されてい
る。つまりチャック用のコマ231がウエハを保持する
第一のウエハエッジ部と、リフタの爪が保持する第二の
ウエハエッジ部は一致しない。また、反転機28Lやロ
ータリトランスポータ27Lとのウエハ受け渡しを行う
爪263にはウエハが載置される面があり、それより上
方はウエハが載置される際に搬送位置決め誤差を吸収
し、ウエハを求芯するようにテーパ状になっている。
【0051】シリンダの上昇動作でステージ260のウ
エハ保持面は反転機のウエハ保持高さまで上昇する。こ
の上昇動作を停止させるためにストッパとして緩衝機能
のあるストッパ264が設置されている。このストッパ
264にシリンダの軸に固定されたストッパベース26
5が当接するとシリンダ261の上昇が停止し、シリン
ダ261の軸に連結されているステージ260の上昇も
同時に停止する。このストッパ264の位置によりステ
ージ260の上昇する高さを受け渡し高さに調整でき
る。また、このシリンダ261には上昇位置と下降位置
のそれぞれを検知するセンサ266,267が設けられ
ており、シリンダ261の上昇下降の動作が完了したこ
とを検知できるようになっている。
【0052】次に、上述のように構成されたリフタの動
作を説明する。リフタ29Lは反転機28Lとロータリ
トランスポータ27Lの間のウエハ搬送機構である。ポ
リッシング前のウエハは搬送ロボット20から反転機2
8Lへ搬送される。その後、ウエハは反転され、パター
ン面が下を向く。反転機28Lで保持されたウエハに対
し下方からリフタ29Lが上昇してきてウエハの直下で
停止する。リフタ29Lの上端にはウエハを載置するス
テージ260があり、ウエハを載置することができる。
リフタ29Lの上昇がウエハの直下で停止したのを、例
えばリフタの上昇用シリンダのセンサ266で確認する
と、反転機28Lはウエハのクランプを開放し、ウエハ
はリフタ29Lのステージ260に載る。その後、リフ
タ29Lはウエハを載置したまま下降をする。下降の途
中でウエハはロータリトランスポータ27Lに受け渡さ
れる。このとき、ウエハはロータリトランスポータ27
Lのピン上に載置される。ウエハがロータリトランスポ
ータ27Lに受け渡された後もリフタ29Lは下降を続
け、シリンダ261のストローク分まで下降して停止す
る。
【0053】逆にポリッシング後のウエハは、ロータリ
トランスポータ27Lから反転機28Lにリフタ29L
によって搬送される。ポリッシング後のウエハはロータ
リトランスポータ27Lに載ってリフタ29Lの上方に
搬送されてくる。この時、リフタ29Lはロータリトラ
ンスポータ27Lの下の位置にある。ロータリトランス
ポータ27Lに載せられたウエハがリフタの真上に来て
停止したことを確認した後、リフタ29Lは上昇を開始
する。リフタ29Lは上昇の途中でロータリトランスポ
ータ27Lに載ったウエハを下方から取り去る。その
後、ウエハを載せたまま上昇を続ける。この時、反転機
28Lはウエハをクランプする準備としてアーム230
が開いた状態で待っている。反転機28Lのアーム23
0のウエハをクランプするコマ231のウエハクランプ
用の溝の高さでウエハの上昇は停止し、上昇終了とな
る。上昇終了は前述のシリンダ261のセンサ266で
検知され、この検知信号は装置本体の制御系に送られ、
上昇終了が認識される。その信号を受け、反転機28L
はクランプ動作として開いているアーム230を閉じ
る。この動作によりウエハは反転機28Lに保持され
る。反転機28Lで保持を確認したら、リフタ29Lは
下降する。
【0054】図9および図10はロータリトランスポー
タを示す図であり、図9は平面図、図10は縦断面図で
ある。ロータリトランスポータ27Lと27Rとは同一
の構造であるため、以下の説明ではロータリトランスポ
ータ27Lのみを説明する。図9および図10に示すよ
うに、ウエハ101を搭載して搬送するロータリトラン
スポータ27Lには4ヶ所のウエハ搭載ステージ(90
°等配)210を有し、4ヶ所のウエハ搭載ステージそ
れぞれには、ウエハを少なくとも3点のピンで支持すれ
ばよいが、オリフラノッチ兼用とするため本実施例では
6点のエッジで支えるために6本のピン201が立設さ
れている。ピン201の先端部にはテーパ202(15
°〜25°ぐらいが望ましい)が形成されており、ウエ
ハ搭載時に求芯することができるようになっている。ロ
ータリトランスポータ27Lは、常に反時計方向の一方
向に回転するようになっている。ロータリトランスポー
タ27Rは、時計方向の一方向に回転するようになって
いる。
【0055】各々のポジションにはウエハ有無センサ2
00がロータリトランスポータ27Lから外れた場所に
設置されている。センサ200はフォトセンサーであり
投光側200aと受光側200bで構成され、センサ2
00はステージと一緒に回転しない。各ステージ210
には、処理前ウエハと処理後ウエハとが搭載されるよう
になっている。
【0056】4ヶ所の各ウエハ搭載ポジションにはウエ
ハに洗浄液を供給するためのリンスノズル501,50
2,503,504がロータリトランスポータを挟み上
下方向にロータリトランスポータ27Lから外れた場所
に設置されている。ノズルはステージと一緒に回転しな
い。洗浄液は純水やイオン水などが使われることが多
い。各ウエハ搭載ステージは、スラリーの飛散やウエハ
洗浄およびトップリング洗浄時の水の飛散を防ぐため、
それぞれがセパレータ510で仕切られている。ロータ
リトランスポータ27Lはサーボモータ511に連結さ
れており、サーボモータ511の回転によりウエハを搬
送する。ロータリトランスポータ27Lの下部には原点
センサ206が設置され、ウエハ搬送ポジションの位置
決めは原点センサ206とサーボモータ511によって
制御される。位置決めされる搬送ポジションはHP(ホ
ームポジション)位置を中心とした90°づつ位相を異
にした4位置である。
【0057】次に、上述のように構成されたロータリト
ランスポータの動作を説明する。図9は、ホームポジシ
ョン(HP)位置を示している。ロータリトランスポー
タは一定方向(Lは反時計方向、Rは時計方向)に回転
し1つのステージ210がリフタ29Lの上方に予め位
置している。トップリング32Lへ搬送されるべきウエ
ハ101は、搬送ロボット20によって反転機28Lに
搬送される。反転機28Lはウエハ101をチャックし
た後、ウエハ101を180°反転させる。反転したウ
エハ101は、リフタ29Lによって反転機28Lより
受取られ、そのまま下降すると、ロータリトランスポー
タ27Lのウエハ搭載ステージ210上のピン201の
テーパ202により、リフタ29L上に載せられたウエ
ハ101は求芯され、ピン201の肩に載せられる。リ
フタ29Lは、ウエハ101がピン201に載せられた
後も、ロータリトランスポータ27Lが回転しても互い
に干渉しない位置まで下降を続ける。リフタ29Lが下
降を完了すると、ロータリトランスポータ27Lは反時
計廻りに90°位置を変え、上述の動作により次のウエ
ハをロータリトランスポータ27Lのステージ210に
搭載する。この動作を順次行い、プッシャー30L上に
1つのウエハ101を位置させる。ロータリトランスポ
ータ27Lの位置決めが完了すると、プッシャー30が
上昇し、その上方にあるトップリング32Lへウエハ1
01を搬送する。
【0058】トップリング32Lで研磨されたウエハ1
01は、予めトップリング32Lの下に位置させたロー
タリトランスポータ27Lのウエハ搭載ステージ210
に向けて前記プッシャー30Lにより搬送される。トッ
プリング32Lよりプッシャー30Lによって受取られ
たウエハ101は、ウエハ搭載ステージ上のピン201
のテーパ202により求芯されて、ピン201の肩の上
に載せられる。ウエハ搭載ステージ210上にウエハが
載せられ、プッシャー30Lがロータリトランスポータ
27Lと干渉しない位置まで下降したら、ロータリトラ
ンスポータ27Lが反時計周りに90°位置を変え、リ
フタ29L上にウエハ101を位置させる。ロータリト
ランスポータ27Lの位置決めが完了すると、リフタ2
9Lが上昇し、ウエハ搭載ステージ210よりウエハ1
01を受取り、反転機28Lへ搬送する。
【0059】図11および図12はプッシャーを示す図
であり、図11はプッシャーの縦断面図、図12はプッ
シャーの動作説明図である。プッシャー30Lと30R
は同一構造であるため、以下の説明ではプッシャー30
Lのみを説明する。図11に示すように、中空シャフト
140の延長上にトップリングを保持するためのガイド
ステージ141が設置され、中空シャフト140の中を
スプラインシャフト142が通り、スプラインシャフト
142の延長上にウエハを保持するプッシュステージ1
43が設置されている。スプラインシャフト142には
軸ブレに対してフレキシブルに軸を接続可能なフローテ
ィングジョイント144によってエアシリンダ145が
連結されている。エアシリンダは2個直列に上下に配置
されている。最下段に配置されたエアシリンダ146は
ガイドステージ141の上昇・下降用、及びプッシュス
テージ143の上昇・下降用で、エアシリンダ145ご
と中空シャフト140を上下させる。エアシリンダ14
5はプッシュステージ143の上昇・下降用である。
【0060】トップリングガイド148に位置合わせ機
構を持たせるため、X軸、Y軸方向に移動可能なリニア
ウェイ149を配置している。ガイドステージ141は
リニアウェイ149に固定されている。リニアウェイ1
49は中空シャフト140に固定されている。中空シャ
フト140はスライドブッシュ150を介してベアリン
グケース151に保持されている。エアシリンダ146
のストロークは圧縮バネ152によって中空シャフト1
40に伝えられる。
【0061】プッシュステージ143はガイドステージ
141の上方にあり、プッシュステージ143の中心よ
り下方に伸びるプッシュロッド160はガイドステージ
141の中心のスライドブッシュ147を通すことで芯
出しされ、スプラインシャフト142に接している。プ
ッシュステージ143はスプラインシャフト142を介
してシリンダ145によって上下し、トップリング32
Lへウエハ101をロードする。プッシュステージ14
3の端には位置決めのための圧縮バネ159が配置され
ている。
【0062】ガイドステージ141の最外周には、トッ
プリングガイド148が3個設置されている。トップリ
ングガイド148は2段の階段構造となっており、上段
部220はガイドリング301下面とのアクセス部であ
り、下段部221はウエハ101の求芯用、及び保持用
である。上段部220にはガイドリング301の呼び込
みのためテーパ(25°〜35°ぐらいが望ましい)が
ついており、下段部221にはウエハ101の呼び込み
用のテーパ(10°〜20°ぐらいが望ましい)がつい
ている。ウエハアンロード時は直接トップリングガイド
148でウエハエッジを受ける。
【0063】ガイドステージ141の裏面には防水と上
昇したステージが元の位置に復帰するため案内の機能を
持ったガイドスリーブ153が設置されている。ガイド
スリーブ153の内側にはプッシャーのセンタリングの
ためのセンタスリーブ154がベアリングケース151
に固定されている。プッシャーはベアリングケース15
1で研磨部側のモータハウジング104に固定されてい
る。
【0064】プッシュステージ143とガイドステージ
141の間の防水にはVリング155が用いられ、Vリ
ング155のリップ部分がガイドステージ141と接触
し、内部への水の浸入を防いでいる。ガイドステージ1
41が上昇するとG部の容積が大きくなり、圧力が下が
り水を吸い込んでしまう。これを防ぐためにVリング1
55の内側に穴202を設け、圧力が下がることを防止
している。
【0065】トップリングガイド148がトップリング
32Lにアクセスする際の高さ方向の位置決めと衝撃吸
収のために、ショックキラー156が設置される。各々
のエアシリンダにはプッシャー上下方向の位置確認のた
め上下リミットセンサが具備される。即ち、シリンダ1
45にセンサ203,204が、シリンダ146にセン
サ205,206がそれぞれ具備される。プッシャーに
付着したスラリーなどからウエハへの逆汚染を防止する
ため、汚れを洗浄するための洗浄ノズルが別途設置され
る。プッシャー上のウエハ有無を確認するためのウエハ
有無センサが別途設置される場合もある。エアシリンダ
の制御はダブルソレノイドバルブで行う。
【0066】次に、上述のように構成されたプッシャー
の動作を説明する。 1)ウエハロード時 図12(a)に示すように、プッシャー上方にロータリ
トランスポータ27Lによってウエハ101が搬送され
る。トップリング32Lがプッシャー上方のウエハロー
ド位置にあってウエハを保持していない時、図12
(b)に示すように、エアシリンダ145によりプッシ
ュステージ143が上昇する。プッシュステージ143
の上昇完了がセンサー203で確認されると、図12
(c)に示すように、エアシリンダ146によりガイド
ステージ141周りの構成品一式が上昇していく。上昇
途中でロータリトランスポータ27Lのウエハ保持位置
を通過する。このとき、通過と同時にウエハ101をト
ップリングガイド148のテーパ207でウエハ101
を求芯し、プッシュステージ143によりウエハ101
の(エッジ以外の)裏面を保持する。
【0067】プッシュステージ143がウエハ101を
保持したままトップリングガイド148は停止すること
なく上昇していき、トップリングガイド148のテーパ
208によってガイドリング301を呼び込む。X,Y
方向に自在に移動可能なリニアウェイ149による位置
合わせでトップリング32Lに求芯し、トップリングガ
イド148の上段部220がガイドリング301下面と
接触することでガイドステージ141の上昇は終了す
る。
【0068】ガイドステージ141はトップリングガイ
ド148の上段部220がガイドリング301下面に接
触して固定され、それ以上上昇することはない。ところ
が、エアシリンダ146はショックキラー156に当た
るまで上昇し続けるので、圧縮バネ152は収縮するた
めスプラインシャフト142のみが更に上昇し、プッシ
ュステージ143がさらに上昇する。この時、図12
(d)に示すように、プッシュステージ143はウエハ
101の(エッジ以外の)裏面を保持し、トップリング
32Lまでウエハ101を搬送する。ウエハ101がト
ップリングに接触した後にシリンダ146が上昇するス
トロークはバネ159が吸収し、ウエハ101を保護し
ている。
【0069】トップリング32Lがウエハ101の吸着
を完了すると、プッシャーは下降を開始し、図12
(a)の状態まで下降する。下降の際、トップリング求
芯のためセンタ位置を移動していたガイドステージ14
1はガイドスリーブ153に設けられたテーパ部とセン
タスリーブ154に設けられたテーパ部によってセンタ
リングされる。下降終了で動作完了する。
【0070】2)ウエハアンロード時 プッシャー上方のウエハアンロード位置にトップリング
32Lによってウエハ101が搬送される。ロータリト
ランスポータ27Lのウエハアンロードステージがプッ
シャー上方にあってウエハを搭載していない時、エアシ
リンダ146によりガイドステージ141周りの構成品
一式が上昇し、トップリングガイド148のテーパ20
8によってガイドリング301を呼び込む。リニアウェ
イ149による位置合わせでトップリング32Lに求芯
し、トップリングガイド148の上段部220がガイド
リング301の下面と接触することでガイドステージ1
41の上昇は終了する。
【0071】エアシリンダ146はショックキラー15
6に当たるまで動作しつづけるが、ガイドステージ14
1はトップリングガイド148の上段部220がガイド
リング301の下面に接触して固定されているため、エ
アシリンダ146は圧縮バネ152の反発力に打勝って
スプラインシャフト142をエアシリンダ145ごと押
し上げ、プッシュステージ143を上昇させる。この
時、図12(e)に示すように、プッシュステージ14
3はトップリングガイド148の下段201のウエハ保
持部より高い位置になることはない。本実施例ではシリ
ンダ146はトップリングガイド148がガイドリング
301に接触した所から更にストロークするように設定
されている。この時の衝撃はバネ152によって吸収さ
れる。
【0072】エアシリンダ146の上昇が終了するとト
ップリング32Lよりウエハ101がリリースされる。
この時、トップリングガイド148の下段テーパ207
によってウエハ101は求芯され、トップリングガイド
148の下段部221にエッジ部を保持される。ウエハ
101がプッシャーに保持されると、プッシャーは下降
を開始する。下降の際、トップリング求芯のためセンタ
位置を移動していたガイドステージ141はガイドスリ
ーブ153とセンタスリーブ154によりセンタリング
される。下降の途中でプッシャーよりロータリトランス
ポータ27Lにウエハ101のエッジ部で受け渡され、
下降終了で動作完了する。
【0073】図11および図12に示す構成のプッシャ
ーによれば、プッシャー30Lにトップリング32Lへ
の求芯機構を持たせることにより、プッシャーとトップ
リングとの位置関係の調整が容易になる。また、プッシ
ュステージ143の上昇ストロークをトップリング32
Lの下面より2mm高い位置までとすることで、高さ方
向の位置合わせが容易になる。その際、高さ方向での当
たりをバネにより吸収できるようにしている。
【0074】次に、第1研磨テーブルと半導体ウエハの
研磨状態を監視する光学式センサを説明する。図13は
第1研磨テーブルの構造と光学式センサの設置状態を示
す概略断面図である。研磨テーブル34Lと34Rは同
一構造であるため、以下の説明では研磨テーブル34L
のみを説明する。図13に示すように、研磨テーブル3
4L内には光学式センサ55が埋め込まれている。光学
式センサ55の配線59は研磨テーブル34L内を通
り、研磨テーブル支持軸の軸端に設けられたロータリコ
ネクタ(又はスリップリング)56を経由してコントロ
ーラ57に接続されている。コントローラ57は表示装
置(ディスプレイ)58に接続されている。
【0075】図14は、図13に示すポリッシング装置
の平面図である。図示するように、光学式センサ55
は、全てのトップリング32Lに保持された研磨中の半
導体ウエハ101の中心Cを通過する位置に設置され
ている。符号Cは研磨テーブル34Lの回転中心であ
る。光学式センサ55は、半導体ウエハ101の下方を
通過している間、通過軌跡上で連続的に半導体ウエハ1
01のCu層等の導電性膜や絶縁膜の膜厚を検出できる
ようになっている。
【0076】光学式センサ55は、投光素子と受光素子
を具備し、投光素子から半導体ウエハの被研磨面に光を
照射し、被研磨面からの反射光を受光素子で受光するよ
うに構成されている。この場合、投光素子から発せられ
る光は、レーザー光もしくはLEDによる光である。光
学式センサ55においては、投光素子から被研磨面に照
射された光の一部が導電性膜や絶縁膜からなる最上層の
膜を透過し、次の層の表面から反射された反射光と、最
上層の膜の表面から反射された反射光との二種類の反射
光が存在することになる。この二種類の反射光を受光素
子で受光し、受光素子からの信号をコントローラ57で
処理することにより最上層の導電性膜や絶縁膜の膜厚を
正確に検出できる。
【0077】次に、光学式センサ55を用いてSiO
等の絶縁膜やCu,Al等の金属膜の膜厚を検出する原
理を簡単に説明する。本光学式センサに適用する膜厚測
定の原理は、膜とその隣接媒体によって引き起こされる
光の干渉を利用している。基板上の薄膜に光を入射する
と、まず一部の光は膜の表面で反射され残りは透過して
いく。この透過した光の一部はさらに基板面で反射さ
れ、残りは透過していくが、基板が金属の場合には吸収
されてしまう。干渉はこの膜の表面反射光と基板表面反
射光の位相差によって発生し、位相が一致した場合は互
いに強め合い、逆になった場合は弱め合う。つまり入射
光の波長、膜厚、膜の透過率に応じて反射強度が変化す
る。基板で反射した光を回折格子等で分光し、各波長に
おける反射光の強度をプロットしたプロファイルを解析
して基板上に形成された膜の厚みを測定する。したがっ
て、研磨テーブル34Lが一回転する毎に、3個のトッ
プリング32Lに保持された半導体ウエハ101の被研
磨面に順次投光され、被研磨面からの反射光が光学式セ
ンサ55の受光素子で受光される。そして、受光素子で
受光された光は、コントローラ57により処理され、被
研磨面上の膜厚が測定される。
【0078】即ち、光学式センサ55によって、全ての
トップリング32Lによって保持された半導体ウエハ1
01に形成された絶縁膜や金属膜の膜厚を半導体ウエハ
の外周縁から中心部に至るまでリアルタイムで連続した
測定値として検出可能である。これにより、研磨中の全
ての半導体ウエハ上の絶縁膜や金属膜の膜厚を常時モニ
ターすることができ、所望の膜厚になったことを検出す
ることにより、又は、膜厚が0(ゼロ)になったことを
検出することにより、CMPプロセスの終点を正確に検
出できる。また検出時間の間隔を短くするため、図14
の仮想線で示すように、光学式センサ55を追加してテ
ーブル上に2ヶ以上のセンサを設けてもよい。また、光
学式センサの他に渦電流センサ等を並置することで各々
膜厚測定を行い、それぞれの膜厚測定範囲、測定膜種の
振り分けを行ってもよい。
【0079】このように、各トップリング32Lに保持
された半導体ウエハ毎にCMPプロセスの終点を検知で
きるため、CMPプロセスの終点が検知された半導体ウ
エハのみの研磨作業を終了することができる。したがっ
て、本発明によれば、同時に複数の半導体ウエハを研磨
することができるマルチヘッド型のポリッシング装置に
も拘わらず、研磨中の全ての半導体ウエハのCMPプロ
セスの終点検知が可能であるため、全ての半導体ウエハ
を研磨状態にバラツキがなく、均一に研磨することがで
きる。
【0080】この場合、CMPプロセスの終点検知がさ
れたトップリング32Lのみをそのまま引き上げてしま
うと、研磨テーブル34Lに偏荷重が掛かり、研磨テー
ブル34Lが傾いてしまう。例えば、図13および図1
4において、第2トップリングに保持された半導体ウエ
ハが終点検知された場合に、そのまま第2トップリング
を引き上げてしまうと、第2トップリングから研磨テー
ブル34Lに加わっていた荷重がなくなり、研磨テーブ
ル34Lに偏荷重が掛かり、研磨テーブル34Lが傾い
てしまう。また、各トップリングは1つの構造体である
カルーセル36Lに連結されているため、偏荷重により
カルーセル36L自体も傾いてしまう恐れがある。そこ
で、本発明においては、複数のトップリング32Lが個
別にポリッシングを終了した場合、偏荷重を極力低減す
るため、ポリッシング終了とともに半導体ウエハの押し
付け荷重のみ0として、リテーナ荷重(ヤトイ荷重)は
そのままにする、または、リテーナ荷重をポリッシング
中のウエハ押し付け荷重+リテーナ荷重と同等に切り替
えることができるようになっている。次に、上述のリテ
ーナ荷重を付加することができるトップリングの機構を
説明する。
【0081】図15は本発明のトップリングの構造を示
す断面図である。図15に示すように、トップリング3
2L(又は32R)はトップリング本体80と半導体ウ
エハ101を保持する保持プレート81とを備えてい
る。トップリング本体80と保持プレート81との間に
はチャンバCが形成されており、チャンバCはレギュレ
ータRを介して流体源82に接続されている。また保
持プレート81の下面には弾性マット83が貼着されて
いる。
【0082】またトップリング32Lの外周部には、半
導体ウエハ101を保持プレート81の下端面、すなわ
ちウエハ保持面81aに保持するためのリテーナリング
(ガイドリング)301が配置されている。リテーナリ
ング301とトップリング32Lとの間には、円環状の
チューブからなる流体圧バッグ85が配置されている。
そして、流体圧バッグ85はレギュレータRを介して
流体源82に接続されている。トップリング32Lの下
方には、上面に研磨クロス40を貼った研磨テーブル3
4Lが設置されている。研磨クロス40は半導体ウエハ
に摺接して研磨を行う研磨面を構成している。
【0083】前記トップリング32Lはボール86を介
してトップリング軸46に連結されており、このトップ
リング軸46はエアシリンダ49により上下動されるよ
うになっている。エアシリンダ49はレギュレータR
を介して流体源82に接続されている。
【0084】上述の構成において、流体源82からエア
シリンダ49に圧縮空気等の加圧流体を供給することに
より、トップリング32Lが被研磨基板である半導体ウ
エハ101を研磨テーブル34L上の研磨クロス40に
所定の押圧力Fで押圧し、半導体ウエハ101を研磨
する。この押圧力FはレギュレータRを調節するこ
とにより可変になっている。
【0085】図16は保持プレート81のウエハ保持面
81aの形状を模式的に表す図であり、横軸は保持プレ
ート81の中心(O)からの距離(mm)、縦軸はウエハ
保持面の高さを示す。図16において、一点鎖線dはウ
エハ保持面81aが平坦な場合を示し、加圧流体が供給
されず、非研磨時で研磨圧力がウエハ保持面81aに加
わっていない場合である。研磨中、流体源82から圧縮
空気等の加圧流体をチャンバCに供給すると、加圧流体
の加圧力により保持プレート81のウエハ保持面81a
が図13の曲線aに示すように下側に凸状に湾曲する。
即ち、ウエハ保持面81aが凸球面になる。半導体ウエ
ハ101は、下側に凸状に湾曲した保持プレート81に
よって、中央部側が外周部側より高い圧力で研磨クロス
40に押し付けられる。そのため、半導体ウエハ101
の外周部側が中央部側より研磨される傾向にあるとき
は、上記のように加圧流体による保持プレート81の変
形を利用して、中央部側の研磨不足を補正することがで
きる。
【0086】一方、半導体ウエハ101の中央部側が外
周部側より研磨される傾向にあるときは、レギュレータ
を調節して、流体源82からチャンバCに供給され
る加圧流体の圧力を弱めるか、又は加圧流体の供給を停
止して、保持プレート81のウエハ保持面81aの形状
を図16の曲線b又はcのようにし、これによって、半
導体ウエハ101の中央部側の研磨圧力を弱め、曲線a
の場合と比較して相対的に外周部側の研磨圧力を高め、
外周部側の研磨不足を補正し、半導体ウエハ101の全
面を均一に研磨することができる。
【0087】チャンバCへの加圧流体の供給を停止した
場合に、研磨圧力によってウエハ保持面81aは曲線c
に示すようにわずかに上側に凸状に湾曲する。即ち、ウ
エハ保持面81aは凹球面になる。保持プレート81の
ウエハ保持面81aの形状を曲線cよりも更に上側に凸
形状に湾曲させたい場合には、チャンバC内を真空ポン
プからなる流体源82により排気すればよい。すなわ
ち、チャンバC内を正圧(大気圧以上の圧力)又は負圧
(大気圧以下の圧力)にすることにより、ウエハ保持面
81aの形状を下側に凸状の湾曲(凸球面)又は上側に
凸状の湾曲(凹球面)又は平坦にすることができる。ウ
エハ保持面81aの変形の度合は、保持プレート81の
材料と厚さを適宜選定することにより、所望の状態とす
ることができる。
【0088】保持プレートの材料としては、ポリッシン
グ装置(CMP)の使用環境を考慮して、耐食性のあ
る、比較的弾性を有する材質、たとえば、オーステナイ
ト系ステンレス(SUS304,SUS316など)、
アルミニウム合金、チタン合金、また、樹脂系であれ
ば、ポリフェニレンサルファイド(PPS)、ポリエー
テルエーテルケトン(PEEK)等が好ましい。また保
持プレートの厚さは、チャンバ内の圧力を安全な範囲
(望ましくは、0.1MPa以下)で使用することを考
え、オーステナイト系ステンレスで言えば、3mm〜8
mm、好ましくは5mm程度である。その他の材質で
は、安全性を考慮して、その弾性係数により厚さを選択
するべきである。
【0089】上述のトップリング32Lのウエハ保持面
81aの形状補正と併行して、流体圧バッグ85に流体
源82から圧縮空気等の加圧流体を供給することによ
り、リテーナリング301が研磨クロス40を押圧力F
で押圧する。
【0090】すなわち、本発明においては、トップリン
グ32Lがポリッシング対象物である半導体ウエハ10
1を研磨テーブル34L上の研磨クロス40に押圧する
押圧力Fを可変とし、またリテーナリング301が研
磨クロス40を押圧する押圧力Fを可変としている。
そして、押圧力Fと押圧力Fとは、それぞれ独立し
て押圧力を変更できるようになっている。したがって、
リテーナリング301が研磨クロス40を押圧する押圧
力Fをトップリング32Lが半導体ウエハ101を研
磨クロス40に押圧する押圧力Fに応じて変更するこ
とができる。
【0091】この場合、理論的には、トップリング32
Lが半導体ウエハ101を研磨クロス40に押圧する押
圧力Fとリテーナリング301が研磨クロス40を押
圧する押圧力Fとを等しくすれば、ポリッシング対象
物である半導体ウエハ101の内部から周縁部、さらに
は半導体ウエハ101の外側にあるリテーナリング30
1の外周部までの研磨圧力の分布が連続かつ均一にな
る。そのため、ポリッシング対象物である半導体ウエハ
101の周縁部における研磨量の過不足を防止すること
ができる。
【0092】図17はトップリング32Lが半導体ウエ
ハ101を研磨クロス40に押圧する押圧力Fとリテ
ーナリング301が研磨クロス40を押圧する押圧力F
との関係を変えた場合の模式図であり、図17(a)
はF>Fの場合を示し、図17(b)はF≒F
の場合を示し、図17(c)はF<Fの場合を示
す。図17(a),(b),(c)に示されるように、
リテーナリング301に押圧力Fを加えた場合、研磨
クロス40が圧縮され、半導体ウエハ101の周縁部に
対する研磨クロス40の接触状態が変化していく。この
ため、FとFとの関係を変更することにより半導体
ウエハ101の研磨圧力の分布を内部側と周縁部とで種
々に変えることができる。
【0093】図17から明らかなように、F>F
場合には半導体ウエハ101の周縁部の研磨圧力が内部
より高くなり、半導体ウエハ101の周縁部の研磨量を
内部の研磨量より多くすることができる。F≒F
場合には半導体ウエハ101の内部から周縁部、さらに
は押圧リングの外周部までの研磨圧力の分布が連続かつ
均一になり、半導体ウエハ101において内部から周縁
部まで均一な研磨量が得られる。F<Fの場合には
半導体ウエハ101の周縁部の研磨圧力が内部より低く
なり、半導体ウエハ101の周縁部の研磨量を内部の研
磨量より少なくすることができる。
【0094】以上のように本発明のトップリングによれ
ば、トップリング32Lの保持プレート81のウエハ保
持面81aの上面に流体を供給し、この際に、流体の圧
力を正圧から負圧の範囲で適宜選択して、保持プレート
81のウエハ保持面81aの形状を下側に凸形状又は上
側に凸形状とし、半導体ウエハ101の研磨クロス40
への押圧力を、半導体ウエハ101の中央部側と外周部
側とで変えて研磨する。また、場合によっては、保持プ
レート81のウエハ保持面81aの形状を平坦にして半
導体ウエハ101を研磨する。
【0095】上記工程と並行して、トップリング32L
の外周部にあるリテーナリング301の押圧力Fをト
ップリング32Lの押圧力Fに基づいて決定し、決定
された押圧力Fで研磨クロス40を押圧しながら研磨
する。すなわち、正圧又は負圧を有した流体によるウエ
ハ保持面81aの形状補正作用とリテーナリング301
による研磨クロス40の形状補正作用の協働作用を活用
しながら半導体ウエハ101を研磨する。これによっ
て、半導体ウエハの局部(例えば、中央部、外周部等)
的な研磨不足を補正することができる。
【0096】図15乃至図17に示す構造を有したトッ
プリング32Lにより研磨中の半導体ウエハのCMPプ
ロセスの終点検知がされた場合、トップリング32Lが
半導体ウエハ101を研磨テーブル34L上の研磨クロ
ス40に押圧する押圧力Fを脱力し、リテーナリング
301が研磨クロス40を押圧する押圧力(リテーナ荷
重)Fのみを加える。この場合、リテーナリングの押
圧力(リテーナ荷重)は研磨中の値と同一の押圧力F
のままとするか、または、リテーナリングの押圧力を押
圧力F+押圧力Fと同等に切り替える。これによ
り、研磨テーブル34L(又は34R)およびカルーセ
ル36L(又は36R)に偏荷重が加わることがない。
【0097】次に、第2の研磨テーブルを説明する。図
18はスクロール型の第2の研磨テーブルを示す縦断面
図であり、図19(a)は図18のP−P線断面図であ
り、図19(b)は図19(a)のX−X線断面図であ
る。第2の研磨テーブル35Lと35Rは同一構造であ
るため、以下の説明では研磨テーブル35Lのみを説明
する。スクロール型の第2の研磨テーブル35Lは、中
空シャフトをもつモータ750の上部フランジ751、
内部が中空になったシャフト752が順にボルトによっ
て締結されている。シャフト752の上部にはベアリン
グ753によりセットリング754が支持されている。
このセットリング754の上面にテーブル759が締結
され、その上部に研磨テーブル755がボルト790に
より締結されている。研磨テーブル755は、全体を例
えば砥石で構成してもよいし、研磨テーブル755を例
えばステンレス等の耐食性に優れた金属で構成し、その
上面に研磨パッドを貼り付けて使用してもよい。また、
砥石や研磨パッドを利用する場合、研磨テーブル755
の上面は、平坦でも良いし、凹凸をつけても良い。これ
らは、被研磨物であるウエハ101の種類により選択さ
れる。テーブル755の外形はウエハの直径+2“e”
以上に設定されていて、研磨テーブル755が並進運動
をしてもウエハが研磨テーブル755からはみ出さない
大きさになっている。
【0098】前記セットリング754には、周方向に3
つ以上の支持部758が形成され、テーブル759が支
持されている。つまり、この支持部758の上面とテー
ブル759の下面の対応する位置には、周方向に等間隔
に複数の凹所760,761が形成され、これらの凹所
760,761にはベアリング762,763がそれぞ
れ装着されている。ベアリング762,763には、図
18および図19に示すように、“e”だけずれた2つ
の軸体764,765を持つ支持部材766が各軸体の
端部を挿入して支持され、モータ750を回転すること
により研磨テーブル755が半径“e”の円に沿って並
進運動可能となっている。
【0099】また、フランジ751がモータ750とシ
ャフト752との間で同様に“e”だけ偏心している。
偏心による負荷のバランスを取るためバランサ767が
シャフト752に取り付けられている。研磨テーブル7
55上への研磨砥液の供給は、モータ750とシャフト
752の内部を通り、テーブル759の中央に設けられ
た貫通孔757に継ぎ手791を介して供給される。供
給された研磨砥液は一旦研磨テーブル755とテーブル
759の間で形成される空間756に溜められ、研磨テ
ーブル755に設けられた複数の貫通孔768を経由し
て、直接ウエハ101に接触するように供給される。貫
通孔768はプロセスの種類により数や位置が適宜選択
される。研磨パッドを研磨テーブル755に貼り付けて
使用する場合は、研磨パッドにも貫通孔768の位置に
対応した位置に貫通孔が設けられる。研磨テーブル75
5の全体を砥石で製作する場合は、研磨テーブルの上面
に、格子状、スパイラル状、あるいは放射状等の溝を設
け、この溝に貫通孔768を連通させるようにしても良
い。
【0100】また、供給される研磨砥液は純水や薬液や
スラリー等のうち最適なものが選定され、必要に応じて
一種類以上の研磨砥液が同時に、または交互に、または
順番に供給されるように制御される。研磨中の研磨砥液
から並進運動を行う機構を保護するために、テーブル7
55にフリンガー769が取り付けられていて、樋77
0とラビリンス機構を形成している。
【0101】上述の構成において、モータ750の作動
によって研磨テーブル755が並進円運動(スクロール
運動)し、トップリング32Lに保持されたウエハ10
1は研磨テーブル755の研磨面上に押し付けられる。
貫通孔757、空間756、貫通孔768を介して研磨
面に供給された研磨砥液により研磨が行われる。研磨テ
ーブル755上の研磨面とウエハ101の間には、半
径”e”の微小な相対並進円運動が生じて、ウエハ10
1の被研磨面はその全面において均一な研磨がなされ
る。なお、被研磨面と研磨面の位置関係が同じである
と、研磨面の局部的な差異による影響を受けるので、こ
れを避けるためにトップリング32Lを徐々に自転させ
て、研磨面の同じ場所のみで研磨されるのを防止してい
る。
【0102】次に、研磨テーブル34L,34Rをドレ
ッシングするためのドレッサについて図20を参照して
説明する。ドレッサ38Lと38Rは同一構造であるた
め、以下の説明ではドレッサ38Lのみを説明する。図
20に示すように、ドレッサ38Lはドレッサヘッド6
0から吊下されている。ドレッサヘッド60はドレッサ
昇降用エアシリンダ64により昇降し、ドレッサ38L
が研磨テーブル34Lの上面の研磨面に対し、接触又は
離間するようになっている。ドレッサ38Lの回転軸6
5の上端にはプーリ66が固定されており、このプーリ
66はドレッサ用モータ67の回転軸68に連結された
プーリ69とタイミングベルト70により連結されてい
る。なお、ドレッサ用モータ67の回転軸68とプーリ
69とは一体に回転するが、上下方向には互いに自由に
動くことができるようにキーとキー溝により連結されて
いる。なおプーリ69およびドレッサヘッド60を支持
する支持部78はガイドレール79によって上下動が案
内されるようになっている。
【0103】前記エアシリンダ64およびドレッサ用モ
ータ67はハウジング71に支持されており、このハウ
ジング71は揺動用モータ72により回転されるように
なっている。そして、揺動用モータ72はブラケット7
3に固定されている。ブラケット73はベース74に固
定されたガイドレール75に係合しており、ブラケット
73はガイドレール75上を上下方向に摺動可能になっ
ている。ブラケット73には昇降用エアシリンダ76が
固定され、昇降用エアシリンダ76のロッド76aの先
端はベース74に固定されている。なお、ベース74は
フレーム77に支持されている。
【0104】前述の構成において、昇降用エアシリンダ
76を作動させることによって、ドレッサ38L、ドレ
ッサヘッド60、ドレッサ用モータ67および揺動用モ
ータ72が一体に昇降するようになっている。したがっ
て、本ドレッサ装置においては、ドレッサ38Lを使用
していないときには、ドレッサ38Lを研磨テーブル3
4L(又は34R)より下方に待避できるようになって
いる。そして、揺動用モータ72を駆動することによっ
て、ドレッサヘッド60は揺動し、ドレッサ38Lが待
機位置と研磨テーブル上のドレッシング位置とに位置す
ることができるようになっている。
【0105】また、ドレッサ38Lによりドレッシング
を行う際には、昇降用シリンダ76を作動させることに
より、ドレッサ38Lおよびドレッサヘッド60を上昇
させ、揺動モータ72によりドレッサ38Lが研磨テー
ブル上のドレッシング位置に移動する。その位置でドレ
ッサ昇降用エアシリンダ64を作動させることにより、
ドレッサ38Lおよびドレッサヘッド60を下降させ、
ドレッサ38Lを研磨テーブル上の研磨面に押圧する。
このとき、ドレッサ用モータ67により、ドレッサ38
Lは所定の速度で回転駆動される。また、研磨テーブル
34L(又は34R)も所定の速度で回転駆動される。
なお、第2研磨テーブル35L,35Rをドレッシング
するドレッサはブラシ型の従来のドレッサを使用してい
る。
【0106】次に、搬送ロボット4、搬送ロボット20
および搬送ロボット21がアクセスできる位置に配置さ
れたウエハステーション90について、図21乃至図2
3を参照して説明する。図21は、ウエハステーション
を示す図であり、図21(a)は正面図、図21(b)
は側面図である。また図22(a)は図21(a)のI
矢視図、図22(b)は図21(a)のII矢視図、図2
2(c)は図21(a)のIII矢視図、図22(d)は
図21(a)のIV矢視図、図22(e)は図21(a)
のV矢視図である。さらに、図23(a)乃至図23
(c)はウエハステーションの動作説明図である。
【0107】図21に示すように、ウエハステーション
90は、9段のウエハトレイT1〜T9が上から順番に
重ね合わされて構成されている。9段のウエハトレイT
1〜T9は1つのユニットとして一体化されており、そ
の下部に複数の支柱(ガイドポスト)91が下方に向か
って伸びている。複数の支柱91は、洗浄ベースに固定
されるウエハステーションベース92上に滑り軸受けを
内包したガイド93により上下動可能に支えられてい
る。トレイユニット下部とウエハステーションベース上
面はボールネジ94で連結され、さらに、ボールネジ9
4はサーボモータ95によって回転駆動されるようにな
っている。サーボモータ95を回転することによりウエ
ハトレイユニットが所定の高さに位置決めされる。
【0108】9段のウエハトレイT1〜T9は、上より
順に以下のようになっている。 T1:ダミーウエハR1およびL1(左右に2枚) T2:ダミーウエハR2およびL2(左右に2枚) T3:ダミーウエハR3およびL3(左右に2枚) T4:ポリッシング前ウエハRおよびL(左右に2枚) T5:ポリッシング後洗浄前ウエハR1およびL1(左
右に2枚) T6:ポリッシング後洗浄前ウエハR2およびL2(左
右に2枚) T7:3段洗浄用1次洗浄後ウエハR側→L側(1枚) T8:3段洗浄用1次洗浄後ウエハL側→R側(1枚) T9:予備
【0109】それぞれのウエハトレイの機能は、以下の
通りである。ウエハトレイT1,T2およびT3は、タ
ーンテーブル上のポリッシングパッドの慣らし運転(準
備運転)に使用するためのダミーウエハを収容する。前
述したように、2個の研磨テーブル34L,34Rに
は、それぞれ3つのトップリング32L,32Rが対応
している。各3個のトップリング32L,32R用にウ
エハトレイT1,T2およびT3のダミーウエハR1〜
R3およびL1〜L3を割り当てる。ダミーウエハは、
慣らし運転1回毎に新しいウエハを供給する必要はない
が、ある程度の間隔で、新たなダミーウエハと交換する
必要がある。このときは、4個のウエハカセットのいず
れかのウエハカセットに、交換用のダミーウエハを収納
しておき、ロードアンロードステージ2にセッティング
すると、搬送ロボット4が、ウエハカセットより交換用
ダミーウエハを取り出し、ウエハステーション90の使
用済みダミーウエハと交換する。
【0110】また、ウエハステーション90に収納され
ているダミーウエハは、ロボット4が取り出し(ウエハ
ステーションのダミーウエハトレイを一種のウエハカセ
ットとみなし)て、ウエハトレイT4のポリッシング前
ウエハRおよびLに移載して、搬送ロボット20および
搬送ロボット21が同トレイからロータリートランスポ
ータ27Lおよび27Rに移載する。ダミーポリッシン
グした後は、ダミーウエハは、ロボット20,21によ
り洗浄機22又は23→洗浄機5又は6→ウエハトレイ
T1〜T3の元のポジションに戻ることとなる。ウエハ
トレイT4のポリッシング前ウエハRおよびLは、ロボ
ット4→ロボット20(又は21)、およびロボット2
1(又は20)→ロボット4への一時移載場所である。
ウエハトレイT5のポリッシング後洗浄前ウエハR1お
よびL1(左右に2枚)、ウエハトレイT6のポリッシ
ング後洗浄前ウエハR2およびL2(左右に2枚)、ウ
エハトレイT7の3段洗浄用1次洗浄後ウエハR側→L
側(1枚)、ウエハトレイT8の3段洗浄用1次洗浄後
ウエハL側→R側(1枚)は、後述するウエハ処理ルー
トにより説明する。
【0111】ウエハトレイT1〜T9の位置決めされる
所定の高さは、3位置が設定されている。第1の位置
は、図23(a)に示すように、トレイユニットが一番
下に位置決めされ、ダミーウエハのウエハトレイT1,
T2,T3、およびウエハトレイT4のポリッシング前
ウエハの4段が、ロボット4からアクセス可能であり、
同時にロボット20および21が、ウエハトレイT4の
ポリッシング前ウエハにアクセス可能な高さである。第
2の位置は、図23(b)に示すように、中間高さ位置
であり、ウエハトレイT4のポリッシング前ウエハおよ
びウエハトレイT5,T6のポリッシング後洗浄前ウエ
ハの3段が、ロボット20および21からアクセス可能
な高さである。第3の位置は、図23(c)に示すよう
に、トレイユニットが一番上に位置決めされ、ウエハト
レイT7,T8の3段洗浄用1次洗浄後ウエハおよびウ
エハトレイT9の予備トレイが、ロボット20および2
1からアクセス可能な高さである。第2、第3の位置で
は、ロボット4はウエハステーション90のウエハトレ
イにアクセスすることはできない(アクセスする必要は
ない)。
【0112】ウエハトレイT5からT8(又はT9)ま
でのトレイにおいては、ロボット20および21が、ウ
エハにアクセスする面を除く5面が樹脂板により囲まれ
ている。ロボットがウエハにアクセスする面は、エアシ
リンダ400により上下に開閉可能なシャッタ401が
設置されていて、ロボットがウエハにアクセスするとき
のみ開口できるようになっている。
【0113】ウエハトレイT5からT8(T9)までの
トレイは、ポリッシング後のウエハが次の工程に移動す
るまでの一時載置場所であるため、その間に一時載置さ
れたウエハが乾燥したり、周囲の空気により酸化膜など
が形成されたり、さらには、前工程の研磨砥液、洗浄液
などでウエハ表面がエッチングされないようにするた
め、ウエハ表面、裏面に純水または薬液をスプレーする
ノズル96が設けられている。また全てのウエハトレイ
にはウエハ有無センサ97が設置されている。
【0114】ウエハ表面および裏面にスプレーされた純
水および薬液の排水をするため、ウエハトレイユニット
には、全部で3本の配水管が設けられている。1つの配
水管は、最下部のウエハトレイT9に接続されており、
この配水管はウエハトレイユニット全体のドレンパンを
兼ねている。2つ目の配水管は、ウエハトレイT8のト
レイ下部に取り付けられており、ウエハトレイT7,T
8の排水を行う。3つ目の配水管は、ウエハトレイT6
のトレイ下部に接続されており、ウエハトレイT5,T
6の排水を行う。ウエハトレイT1からウエハトレイT
4は、ドライ環境にて使用されるため排水機能は必要な
い。
【0115】上記のように排水を分けているのは、ウエ
ハトレイT7,T8の3段洗浄用ウエハトレイとウエハ
トレイT5,T6のポリッシング後洗浄前ウエハトレイ
で使用する純水または薬液が異なった場合、2種類の薬
液同士、または、純水と薬液が混ざり合うことを防止す
るためである。3本の配水管は、同一のメイン配水管に
接続することができ、または、薬液の種類によっては、
別々の排水配管に分岐して、異なった工場排水ユーティ
リティに接続することも可能である。また、薬液処理に
ついては、薬液の化学的性質を考慮した薬液再生、薬液
排水配管を接続することも可能である。その際、類似化
学的性質の配管は同一とすることができる。
【0116】次に、洗浄室内に設置された洗浄機を説明
する。本ポリッシング装置に搭載される洗浄機のうち、
洗浄機22と23では、ロール状のスポンジをロールの
軸を中心に回転させてウエハに押付けてウエハの裏面を
洗浄できるようになっており、ウエハの表面はロール状
のスポンジを回転させながらウエハに押付けて洗浄する
ロールタイプと、半球状のスポンジを回転させながら押
付けて洗浄するペンシルタイプのどちらかが選択でき、
更に、洗浄液に超音波を当てて洗浄するメガソニックタ
イプのものを付加することができる。洗浄機22及び2
3は主にウエハ上のパーティクルを落す役割を担ってい
る。また、どの方法を選んだ場合にも、各洗浄機には3
種類以上の洗浄液をウエハの表面(被研磨面)及びウエ
ハの裏面に供給することができる。前記洗浄液は純水を
使用しても良い。
【0117】洗浄機5,6には、ウエハの裏面はリンス
洗浄することができ、ウエハ表面の洗浄は半球状のスポ
ンジを回転させながら押付けて洗浄するペンシルタイプ
と、洗浄液に超音波を当てて洗浄するメガソニックタイ
プが同時にできるようになっている。各洗浄機では3種
類以上の洗浄液をウエハの表面及びウエハの裏面に供給
することができる。前記洗浄液は純水を使用しても良
い。そして、ウエハをチャックするステージは高速で回
転させることが可能で、洗浄後のウエハを乾燥させる機
能がつけられている。
【0118】また、上記の各洗浄機に搭載可能なメガソ
ニックタイプに代わり、キャビテーション効果を利用し
たキャビジェットタイプでも同様な効果が得られるの
で、キャビジェットタイプを搭載しても良い。上記洗浄
機5,6,22,23のウエハ搬入口には、図1に示す
ように、それぞれシャッターが取り付けられており、ウ
エハが搬入される時のみ開口可能となっている。また、
各洗浄液供給ラインにはエアーの圧力で制御できる定流
量弁が配備されており、エアー圧を制御する電空レギュ
レータを組合せることで、コントロールパネルから流量
を自由に設定可能になっている。そして、各洗浄機に供
給される洗浄液、洗浄方法、洗浄時間はコントロールパ
ネルから任意に設定できるようになっている。洗浄室
(領域B)のベース部分には、ガイドが取り付けられ、
このガイド内に洗浄機を入れ込むことで、容易に洗浄機
のタイプを交換できるように構成され、交換後も同じ位
置に搭載できるように位置決め機構が設けられている。
【0119】次に、図1乃至図23に示すポリッシング
装置におけるウエハの処理ルートを図24乃至図58を
参照して説明する。本装置の処理ルートは全てのユニッ
ト(機器)を自由に組合せ、設定できるようにソフトウ
エアが組まれている。例えば、以下の4通りの方法があ
る。 (1)パラレル研磨2段洗浄処理 ウエハカセットから3個のウエハを順次取り出して一方
の研磨テーブル34Lに搬送して研磨を行い、これと併
行してウエハカセットから次の3個のウエハを順次取り
出して他方の研磨テーブル34Rに搬送して研磨を行
い、研磨後の各3個のウエハを順次2つの洗浄機に搬送
して2段洗浄を行う。 (2)パラレル研磨3段洗浄処理 ウエハカセットから3個のウエハを順次取り出して一方
の研磨テーブル34Lに搬送して研磨を行い、これと併
行してウエハカセットから次の3個のウエハを順次取り
出して他方の研磨テーブル34Rに搬送して研磨を行
い、研磨後の各3個のウエハを順次3つの洗浄機に搬送
して3段洗浄を行う。
【0120】(3)シリアル研磨2段洗浄処理 ウエハカセットから3個のウエハを順次取り出して一方
の研磨テーブル34Lに搬送して1段目の研磨を行い、
研磨後の3個のウエハを他方の研磨テーブル34Rに搬
送して2段目の研磨を行い、2段研磨後の3個のウエハ
を順次2つの洗浄機に搬送して2段洗浄を行う。 (4)シリアル研磨3段洗浄処理 ウエハカセットから3個のウエハを順次取り出して一方
の研磨テーブル34Lに搬送して1段目の研磨を行い、
研磨後の3個のウエハを他方の研磨テーブル34Rに搬
送して2段目の研磨を行い、2段研磨後の3個のウエハ
を順次3つの洗浄機に搬送して3段洗浄を行う。
【0121】図24乃至図30は、上記パラレル研磨2
段洗浄処理を行う場合の一例を示す模式的工程図であ
る。図24乃至図30に示すように、3個のウエハW
1,W2,W3は、順次、ウエハカセット1→ウエハス
テーション90(ウエハトレイT4)→ロータリトラン
スポータ27L→研磨テーブル34L→ロータリトラン
スポータ27Lを経た後、ウエハW1は洗浄機22→洗
浄機5→ウエハカセット1に至る経路をとり、ウエハW
2及びW3はウエハステーション90(ウエハトレイT
5,T6)→洗浄機22→洗浄機5→ウエハカセット1
に至る経路をとる。また、3個のウエハW4,W5,W
6は、順次、ウエハカセット1→ウエハステーション9
0(ウエハトレイT4)→ロータリトランスポータ27
R→研磨テーブル34R→ロータリトランスポータ27
Rを経た後、ウエハW4は洗浄機23→洗浄機6→ウエ
ハカセット1に至る経路をとり、ウエハW5及びW6は
ウエハステーション90(ウエハトレイT5,T6)→
洗浄機23→洗浄機6→ウエハカセット1に至る経路を
とる。
【0122】図31乃至図37は、上記パラレル研磨3
段洗浄処理を行う場合の一例を示す模式的工程図であ
る。図31乃至図37に示すように、3個のウエハW
1,W2,W3は、順次、ウエハカセット1→ウエハス
テーション90(ウエハトレイT4)→ロータリトラン
スポータ27L→研磨テーブル34L→ロータリトラン
スポータ27Lを経た後、ウエハW1は洗浄機22→洗
浄機5→ウエハステーション90(ウエハトレイT8)
→洗浄機6→ウエハカセット1に至る経路をとり、ウエ
ハW2及びW3はウエハステーション90(ウエハトレ
イT5,T6)→洗浄機22→洗浄機5→ウエハステー
ション90(ウエハトレイT8)→洗浄機6→ウエハカ
セット1に至る経路をとる。また、3個のウエハW4,
W5,W6は、順次、ウエハカセット1→ウエハステー
ション90(ウエハトレイT4)→ロータリトランスポ
ータ27R→研磨テーブル34R→ロータリトランスポ
ータ27Rを経た後、ウエハW4は洗浄機23→洗浄機
6→ウエハステーション90(ウエハトレイT7)→洗
浄機5→ウエハカセット1に至る経路をとり、ウエハW
5及びW6はウエハステーション90(ウエハトレイT
4)→洗浄機23→洗浄機6→ウエハステーション90
(ウエハトレイT7)→洗浄機5→ウエハカセット1に
至る経路をとる。
【0123】図38乃至図47は、上記シリアル研磨2
段洗浄処理を行う場合の一例を示す模式的工程図であ
る。図38乃至図47に示すように、3個のウエハW
1,W2,W3は、順次、ウエハカセット1→ウエハス
テーション90(ウエハトレイT4)→ロータリトラン
スポータ27L→研磨テーブル34L→ロータリトラン
スポータ27Lを経た後、ウエハW1は洗浄機22→ウ
エハステーション90(ウエハトレイT8)→ロータリ
トランスポータ27R→研磨テーブル34R→ロータリ
トランスポータ27R→洗浄機23→洗浄機6→ウエハ
カセット1に至る経路をとり、ウエハW2はウエハステ
ーション90(ウエハトレイT5)→洗浄機22→ウエ
ハステーション90(ウエハトレイT8)→ロータリト
ランスポータ27R→研磨テーブル34R→ロータリト
ランスポータ27R→ウエハステーション90(ウエハ
トレイT5)→洗浄機23→ウエハステーション90
(ウエハトレイT7)→洗浄機5→ウエハカセット1に
至る経路をとり、ウエハW3はウエハステーション90
(ウエハトレイT6)→洗浄機22→ウエハステーショ
ン90(ウエハトレイT8)→ロータリトランスポータ
27R→研磨テーブル34R→ロータリトランスポータ
27R→ウエハステーション90(ウエハトレイT6)
→洗浄機23→洗浄機6→ウエハカセット1に至る経路
をとる。
【0124】図48乃至図58は、上記シリアル研磨3
段洗浄処理を行う場合の一例を示す模式的工程図であ
る。3個のウエハW1,W2,W3は、順次、ウエハカ
セット1→ウエハステーション90(ウエハトレイT
4)→ロータリトランスポータ27L→研磨テーブル3
4L→ロータリトランスポータ27Lを経た後、ウエハ
W1は洗浄機22→ウエハステーション90(ウエハト
レイT8)→ロータリトランスポータ27R→研磨テー
ブル34R→ロータリトランスポータ27R→洗浄機2
3→洗浄機6→ウエハステーション90(ウエハトレイ
T7)→洗浄機5→ウエハカセット1に至る経路をと
り、ウエハW2及びW3はウエハステーション90(ウ
エハトレイT5,T6)→洗浄機22→ウエハステーシ
ョン90(ウエハトレイT8)→ロータリトランスポー
タ27R→研磨テーブル34R→ロータリトランスポー
タ27R→ウエハステーション90(ウエハトレイT
5,T6)→洗浄機23→洗浄機6→ウエハステーショ
ン90(ウエハトレイT7)→洗浄機5→ウエハカセッ
ト1に至る経路をとる。
【0125】
【発明の効果】以上説明したように、本発明によれば、
半導体ウエハ等のポリッシング対象物をトップリングに
搬送する時間を短縮することが可能となり、単位時間あ
たりのポリッシング対象物の処理枚数(スループット)
を飛躍的に増加させることができる。
【図面の簡単な説明】
【図1】本発明に係るポリッシング装置の各部の配置構
成を示す平面図である。
【図2】左右研磨部とも、3個の各トップリングが各種
異なった動作を行っている状態を示している。
【図3】カルーセルによって支持されたマルチヘッド型
のトップリングと研磨テーブルとの関係を示す概略側面
図である。
【図4】カルーセルによって支持されたマルチヘッド型
のトップリングと研磨テーブルとの関係を示す概略斜視
図である。
【図5】カルーセルによって支持されたマルチヘッド型
のトップリングと研磨テーブルとの関係を示す概略斜視
図である。
【図6】ガイドプレートの平面図である。
【図7】反転機を示す図であり、図7(a)は平面図、
図7(b)は一部断面された側面図である。
【図8】リフタを示す縦断面図である。
【図9】ロータリトランスポータを示す平面図である。
【図10】ロータリトランスポータを示す縦断面図であ
る。
【図11】プッシャーを示すの縦断面図である。
【図12】プッシャーを示すの動作説明図である。
【図13】第1研磨テーブルの構造と光学式センサの設
置状態を示す概略断面図である。
【図14】図13に示すポリッシング装置の平面図であ
る。
【図15】本発明のトップリングの構造を示す断面図で
ある。
【図16】図16は保持プレートのウエハ保持面の形状
を模式的に表す図であり、横軸は保持プレートの中心
(O)からの距離(mm)、縦軸はウエハ保持面の高さを
示す。
【図17】図17はトップリングが半導体ウエハを研磨
クロスに押圧する押圧力Fとリテーナリングが研磨ク
ロスを押圧する押圧力Fとの関係を変えた場合の模式
図であり、図17(a)はF>Fの場合を示し、図
17(b)はF≒Fの場合を示し、図17(c)は
<Fの場合を示す。
【図18】スクロール型研磨テーブルを示す縦断面図で
ある。
【図19】図19(a)は図18のP−P線断面図であ
り、図19(b)は図19(a)のX−X断面図であ
る。
【図20】研磨テーブルをドレッシングするためのドレ
ッサを示す図である。
【図21】図21は、ウエハステーションを示す図であ
り、図21(a)は正面図、図21(b)は側面図であ
る。
【図22】図22(a)は図21(a)のI矢視図、図
22(b)は図21(a)のII矢視図、図22(c)は
図21(a)のIII矢視図、図22(d)は図21
(a)のIV矢視図、図22(e)は図21(a)のV矢
視図である。
【図23】図23(a)乃至図23(c)はウエハステ
ーションの動作説明図である。
【図24】図1乃至図23に示すポリッシング装置にお
けるウエハの処理ルートを示す図である。
【図25】図1乃至図23に示すポリッシング装置にお
けるウエハの処理ルートを示す図である。
【図26】図1乃至図23に示すポリッシング装置にお
けるウエハの処理ルートを示す図である。
【図27】図1乃至図23に示すポリッシング装置にお
けるウエハの処理ルートを示す図である。
【図28】図1乃至図23に示すポリッシング装置にお
けるウエハの処理ルートを示す図である。
【図29】図1乃至図23に示すポリッシング装置にお
けるウエハの処理ルートを示す図である。
【図30】図1乃至図23に示すポリッシング装置にお
けるウエハの処理ルートを示す図である。
【図31】パラレル研磨3段洗浄処理を行う場合の一例
を示す模式的工程図である。
【図32】パラレル研磨3段洗浄処理を行う場合の一例
を示す模式的工程図である。
【図33】パラレル研磨3段洗浄処理を行う場合の一例
を示す模式的工程図である。
【図34】パラレル研磨3段洗浄処理を行う場合の一例
を示す模式的工程図である。
【図35】パラレル研磨3段洗浄処理を行う場合の一例
を示す模式的工程図である。
【図36】パラレル研磨3段洗浄処理を行う場合の一例
を示す模式的工程図である。
【図37】パラレル研磨3段洗浄処理を行う場合の一例
を示す模式的工程図である。
【図38】シリアル研磨2段洗浄処理を行う場合の一例
を示す模式的工程図である。
【図39】シリアル研磨2段洗浄処理を行う場合の一例
を示す模式的工程図である。
【図40】シリアル研磨2段洗浄処理を行う場合の一例
を示す模式的工程図である。
【図41】シリアル研磨2段洗浄処理を行う場合の一例
を示す模式的工程図である。
【図42】シリアル研磨2段洗浄処理を行う場合の一例
を示す模式的工程図である。
【図43】シリアル研磨2段洗浄処理を行う場合の一例
を示す模式的工程図である。
【図44】シリアル研磨2段洗浄処理を行う場合の一例
を示す模式的工程図である。
【図45】シリアル研磨2段洗浄処理を行う場合の一例
を示す模式的工程図である。
【図46】シリアル研磨2段洗浄処理を行う場合の一例
を示す模式的工程図である。
【図47】シリアル研磨2段洗浄処理を行う場合の一例
を示す模式的工程図である。
【図48】シリアル研磨3段洗浄処理を行う場合の一例
を示す模式的工程図である。
【図49】シリアル研磨3段洗浄処理を行う場合の一例
を示す模式的工程図である。
【図50】シリアル研磨3段洗浄処理を行う場合の一例
を示す模式的工程図である。
【図51】シリアル研磨3段洗浄処理を行う場合の一例
を示す模式的工程図である。
【図52】シリアル研磨3段洗浄処理を行う場合の一例
を示す模式的工程図である。
【図53】シリアル研磨3段洗浄処理を行う場合の一例
を示す模式的工程図である。
【図54】シリアル研磨3段洗浄処理を行う場合の一例
を示す模式的工程図である。
【図55】シリアル研磨3段洗浄処理を行う場合の一例
を示す模式的工程図である。
【図56】シリアル研磨3段洗浄処理を行う場合の一例
を示す模式的工程図である。
【図57】シリアル研磨3段洗浄処理を行う場合の一例
を示す模式的工程図である。
【図58】シリアル研磨3段洗浄処理を行う場合の一例
を示す模式的工程図である。
【符号の説明】
1 ウエハカセット 2 ロードアンロードステージ 3 走行機構 4 搬送ロボット 5,6 洗浄機 5a,6a シャッター 11 シャッター 14,15,16,24,25 隔壁 20,21 搬送ロボット 22,23 洗浄機 22a,23a シャッター 26L,26R シャッター 27L,27R ロータリトランスポータ 28L,28R 反転機 29L,29R リフタ 30L,30R プッシャー 32L,32R トップリング 34L,34R,35L,35R 研磨テーブル 36L,36R カルーセル 38L,38R、39L,39R ドレッサ 40 研磨クロス 42 ブリッジ 43 回転主軸 44 モータ 45 トップリング揺動アーム 46 トップリング軸 47 減速機 48 モータ 49 エアシリンダ 50 タイミングベルト 51 モータ 53 ガイドプレート 55 光学式センサ 56 ロータリコネクタ(又はスリップリング) 57 コントローラ 58 表示装置(ディスプレイ) 59 配線 60 ドレッサヘッド 64 エアシリンダ 65 回転軸 66 プーリ 67 ドレッサ用モータ 68 回転軸 69 プーリ 70 タイミングベルト 71 ハウジング 72 揺動用モータ 73 ブラケット 74 ベース 75 ガイドレール 76 昇降用エアシリンダ 76a ロッド 77 フレーム 78 支持部 79 ガイドレール 80 トップリング本体 81 保持プレート 81a ウエハ保持面 82 流体源 83 弾性マット 85 流体圧バッグ 86 ボール 90 ウエハステーション 91 支柱 92 ウエハステーションベース 93 ガイド 94 ボールネジ 95 サーボモータ 100 ハウジング 101 ウエハ 140 中空シャフト 141 ガイドステージ 142 スプラインシャフト 143 プッシュステージ 144 フローティングジョイント 145,146 エアシリンダ 148 トップリングガイド 149 リニアウェイ 150 スライドブッシュ 151 ベアリングケース 152 圧縮バネ 153 ガイドスリーブ 154 センタスリーブ 155 Vリング 156 ショックキラー 159 バネ 160 プッシュロッド 200 ウエハ有無センサ 200a 投光側 200b 受光側 201 ピン 203,204,205,206 センサ 208 テーパ 210 ウエハ搭載ステージ 220 上段部 221 下段部 230 アーム 231 コマ 232 シリンダ 233 圧縮バネ 234 シャフト 235 ストッパ 236 エンドブロック 237 センサ 238,240 プーリ 239 ステッピングモータ 241 ベルト 260 ステージ 261 シリンダ 262 シャフト 263 爪 264 ストッパ 265 ストッパベース 266,267 センサ 301 ガイドリング 501,502,503,504 リンスノズル 510 セパレータ 511 サーボモータ 750 モータ 751 上部フランジ 752 シャフト 753 ベアリング 754 セットリング 755 研磨テーブル 756 空間 757,768 貫通孔 758 支持部 759 テーブル 760,761 凹所 762,763 ベアリング 764,765 軸体 766 支持部材 769 フリンガー 770 樋 790 ボルト 791 継ぎ手
───────────────────────────────────────────────────── フロントページの続き (72)発明者 関本 雅彦 東京都大田区羽田旭町11番1号 株式会社 荏原製作所内 (72)発明者 宮崎 充 東京都大田区羽田旭町11番1号 株式会社 荏原製作所内 (72)発明者 野路 直樹 東京都大田区羽田旭町11番1号 株式会社 荏原製作所内 (72)発明者 千葉 一機 東京都大田区羽田旭町11番1号 株式会社 荏原製作所内 (72)発明者 藤本 憲司 東京都千代田区大手町一丁目5番1号 三 菱マテリアル株式会社内 Fターム(参考) 3C043 BC08 CC04 CC07 DD14 3C058 AA09 AA18 AB03 AB04 AC01 CB03 DA17

Claims (11)

    【特許請求の範囲】
  1. 【請求項1】 研磨面を有する研磨テーブルと、基板を
    保持して前記研磨テーブルに押圧する複数のトップリン
    グと、複数のトップリングを保持するとともに該複数の
    トップリングの回転割出しを行なうカルーセルとを備え
    たマルチヘッド型のポリッシング装置において、 前記各トップリングが所定位置にあるときに、各トップ
    リングが到達可能な位置に設置され、回転中心から所定
    円周上に位置しポリッシング対象物を保持する複数の部
    分を有し、かつこの複数の部分の割り出しを行うインデ
    ックス機能を有するロータリトランスポータと、 前記ロータリトランスポータと各トップリングとの間で
    ポリッシング対象物を受け渡しするプッシャーとを備え
    たことを特徴とするポリッシング装置。
  2. 【請求項2】 前記各トップリングは揺動アームを介し
    てカルーセルに連結され、各トップリングは揺動アーム
    が揺動することにより研磨テーブル上の研磨位置と前記
    ロータリトランスポータ上のロードアンロード位置に位
    置することが可能であることを特徴とする請求項1記載
    のポリッシング装置。
  3. 【請求項3】 前記研磨テーブルに隣接して第2の研磨
    テーブルが設置され、各トップリングが所定位置にある
    ときに、各トップリングは前記第2の研磨テーブルに到
    達可能であることを特徴とする請求項1記載のポリッシ
    ング装置。
  4. 【請求項4】 前記各トップリングは揺動アームを介し
    てカルーセルに連結され、各トップリングは揺動アーム
    が揺動することにより前記第2の研磨テーブルに到達可
    能であることを特徴とする請求項3記載のポリッシング
    装置。
  5. 【請求項5】 前記各トップリングは、揺動アームを介
    してカルーセルに連結され、各トップリングは揺動アー
    ムが揺動することにより研磨後のポリッシング対象物が
    研磨面から一部露出するオーバーハング位置をとること
    を特徴とする請求項1記載のポリッシング装置。
  6. 【請求項6】 前記ロータリトランスポータとの間でポ
    リッシング対象物を受け渡し可能であるとともにポリッ
    シング対象物を反転する機能を有する反転機を備えたこ
    とを特徴とする請求項1乃至5のいずれか1項に記載の
    ポリッシング装置。
  7. 【請求項7】 前記反転機はロータリトランスポータの
    上方又は下方に位置しており、反転機とロータリトラン
    スポータ間におけるポリッシング対象物の受け渡しは、
    ポリッシング対象物を保持して昇降するリフタにより行
    うことを特徴とする請求項6記載のポリッシング装置。
  8. 【請求項8】 研磨面を有する研磨テーブルと、基板を
    保持して前記研磨テーブルに押圧する複数のトップリン
    グと、複数のトップリングを保持するとともに該複数の
    トップリングの回転割出しを行なうカルーセルとを備え
    たマルチヘッド型のポリッシング装置において、 研磨後のポリッシング対象物を洗浄する複数の洗浄装置
    と、 前記複数の洗浄装置間で研磨後のポリッシング対象物を
    搬送する搬送機構と、 前記複数の洗浄装置を経由してポリッシング対象物が複
    数段の洗浄工程を経る間に又は洗浄工程前にポリッシン
    グ対象物を待機させるための置き台を具備したステーシ
    ョンとを備えたことを特徴とするポリッシング装置。
  9. 【請求項9】 前記ステーションは、前記研磨テーブル
    と複数のポリッシング対象物を収容したカセットとの間
    に配置され、前記ステーションは、研磨前のポリッシン
    グ対象物を前記研磨テーブルに搬送する前に待機させる
    ための置き台を備えることを特徴とする請求項8記載の
    ポリッシング装置。
  10. 【請求項10】 前記ステーションは正規のポリッシン
    グ対象物を研磨する前の準備運転用のダミーのポリッシ
    ング対象物を載置する置き台を備えることを特徴とする
    請求項8記載のポリッシング装置。
  11. 【請求項11】 研磨面を有する研磨テーブルと、基板
    を保持して前記研磨テーブルに押圧する複数のトップリ
    ングと、複数のトップリングを保持するとともに該複数
    のトップリングの回転割出しを行なうカルーセルとを備
    えた研磨ユニットを2組並列して配置し、 前記研磨ユニットにおける各トップリングが所定位置に
    あるときに、各トップリングが到達可能な位置に設置さ
    れ、回転中心から所定円周上に位置しポリッシング対象
    物を保持する複数の部分を有し、かつこの複数の部分の
    割り出しを行うインデックス機能を有するロータリトラ
    ンスポータを2組設け、 前記ロータリトランスポータと各トップリングとの間で
    ポリッシング対象物を受け渡しするプッシャーを2組設
    けたことを備えたことを特徴とするポリッシング装置。
JP2000143771A 2000-05-16 2000-05-16 ポリッシング装置 Pending JP2001326201A (ja)

Priority Applications (7)

Application Number Priority Date Filing Date Title
JP2000143771A JP2001326201A (ja) 2000-05-16 2000-05-16 ポリッシング装置
SG200102826A SG96621A1 (en) 2000-05-16 2001-05-11 Polishing apparatus
TW090111279A TW491744B (en) 2000-05-16 2001-05-11 Polishing apparatus
EP01111685A EP1155778B1 (en) 2000-05-16 2001-05-14 Polishing apparatus
DE60125859T DE60125859T2 (de) 2000-05-16 2001-05-14 Poliervorrichtung
KR1020010026850A KR20010106260A (ko) 2000-05-16 2001-05-16 폴리싱 장치
US09/855,677 US6629883B2 (en) 2000-05-16 2001-05-16 Polishing apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000143771A JP2001326201A (ja) 2000-05-16 2000-05-16 ポリッシング装置

Publications (1)

Publication Number Publication Date
JP2001326201A true JP2001326201A (ja) 2001-11-22

Family

ID=18650539

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000143771A Pending JP2001326201A (ja) 2000-05-16 2000-05-16 ポリッシング装置

Country Status (7)

Country Link
US (1) US6629883B2 (ja)
EP (1) EP1155778B1 (ja)
JP (1) JP2001326201A (ja)
KR (1) KR20010106260A (ja)
DE (1) DE60125859T2 (ja)
SG (1) SG96621A1 (ja)
TW (1) TW491744B (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012195498A (ja) * 2011-03-17 2012-10-11 Ulvac Japan Ltd 半導体製造装置
US8795032B2 (en) 2008-06-04 2014-08-05 Ebara Corporation Substrate processing apparatus, substrate processing method, substrate holding mechanism, and substrate holding method
JP2018196923A (ja) * 2017-05-24 2018-12-13 トーヨーエイテック株式会社 加工装置及びそれを用いた加工方法
JP2020518475A (ja) * 2017-04-26 2020-06-25 アクス テクノロジー エルエルシー 向上したスループット及びプロセス柔軟性を備えたcmp機械

Families Citing this family (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7097544B1 (en) * 1995-10-27 2006-08-29 Applied Materials Inc. Chemical mechanical polishing system having multiple polishing stations and providing relative linear polishing motion
US7172497B2 (en) * 2001-01-05 2007-02-06 Asm Nutool, Inc. Fabrication of semiconductor interconnect structures
JP2002343756A (ja) * 2001-05-21 2002-11-29 Tokyo Seimitsu Co Ltd ウェーハ平面加工装置
US7057256B2 (en) 2001-05-25 2006-06-06 President & Fellows Of Harvard College Silicon-based visible and near-infrared optoelectric devices
US7442629B2 (en) 2004-09-24 2008-10-28 President & Fellows Of Harvard College Femtosecond laser-induced formation of submicrometer spikes on a semiconductor substrate
DE10131668B4 (de) * 2001-06-29 2006-05-18 Infineon Technologies Ag Verfahren zur abrasiven Bearbeitung von Oberflächen, auf Halbleiter-Wafern
US6949177B2 (en) * 2001-08-16 2005-09-27 Oriol Inc. System and method for processing semiconductor wafers using different wafer processes
JP3888620B2 (ja) * 2002-01-22 2007-03-07 東京エレクトロン株式会社 基板搬送装置における基板の受け渡し位置検知方法及びその教示装置
US6780083B2 (en) * 2002-04-19 2004-08-24 Peter Wolters Cmp-Systeme Gmbh & Co. Kg Apparatus and method for the chemical mechanical polishing of the surface of circular flat workpieces, in particular semi-conductor wafers
JP2004022940A (ja) * 2002-06-19 2004-01-22 Tokyo Seimitsu Co Ltd 研磨装置、研磨方法、ウェーハ待避プログラム
JP2004106084A (ja) * 2002-09-17 2004-04-08 Ebara Corp ポリッシング装置及び基板処理装置
US7641247B2 (en) * 2002-12-17 2010-01-05 Applied Materials, Inc. End effector assembly for supporting a substrate
US7044832B2 (en) * 2003-11-17 2006-05-16 Applied Materials Load cup for chemical mechanical polishing
KR100621620B1 (ko) * 2003-12-03 2006-09-13 삼성전자주식회사 웨이퍼 이송 기구 및 이를 포함하는 폴리싱 장치
US20050211667A1 (en) * 2004-03-26 2005-09-29 Lam Research Corporation Method and apparatus for measurement of thin films and residues on semiconductor substrates
US7172496B1 (en) * 2005-08-17 2007-02-06 Agere Systems, Inc. Method and apparatus for cleaning slurry depositions from a water carrier
US7273408B2 (en) * 2005-12-16 2007-09-25 Applied Materials, Inc. Paired pivot arm
KR100706814B1 (ko) * 2006-02-28 2007-04-12 삼성전자주식회사 프로브카드의 팁 세정기구를 구비한 반도체 검사설비 및프로브카드 팁의 세정방법
US7166016B1 (en) 2006-05-18 2007-01-23 Applied Materials, Inc. Six headed carousel
US20080038993A1 (en) * 2006-08-08 2008-02-14 Jeong In-Kwon Apparatus and method for polishing semiconductor wafers
WO2009003193A1 (en) 2007-06-27 2008-12-31 Brooks Automation, Inc. Position feedback for self bearing motor
US8283813B2 (en) 2007-06-27 2012-10-09 Brooks Automation, Inc. Robot drive with magnetic spindle bearings
US8659205B2 (en) 2007-06-27 2014-02-25 Brooks Automation, Inc. Motor stator with lift capability and reduced cogging characteristics
US9752615B2 (en) * 2007-06-27 2017-09-05 Brooks Automation, Inc. Reduced-complexity self-bearing brushless DC motor
KR101660894B1 (ko) 2007-06-27 2016-10-10 브룩스 오토메이션 인코퍼레이티드 다차원 위치 센서
US8008884B2 (en) 2007-07-17 2011-08-30 Brooks Automation, Inc. Substrate processing apparatus with motors integral to chamber walls
JP5248127B2 (ja) * 2008-01-30 2013-07-31 株式会社荏原製作所 研磨方法及び研磨装置
US9911781B2 (en) 2009-09-17 2018-03-06 Sionyx, Llc Photosensitive imaging devices and associated methods
US9673243B2 (en) 2009-09-17 2017-06-06 Sionyx, Llc Photosensitive imaging devices and associated methods
JP5123329B2 (ja) * 2010-01-07 2013-01-23 株式会社岡本工作機械製作所 半導体基板の平坦化加工装置および平坦化加工方法
US8692198B2 (en) 2010-04-21 2014-04-08 Sionyx, Inc. Photosensitive imaging devices and associated methods
WO2011160130A2 (en) 2010-06-18 2011-12-22 Sionyx, Inc High speed photosensitive devices and associated methods
JP5619559B2 (ja) * 2010-10-12 2014-11-05 株式会社ディスコ 加工装置
CN102049730B (zh) * 2010-12-29 2012-02-15 清华大学 一种用于化学机械抛光设备的晶圆交换装置
US9496308B2 (en) 2011-06-09 2016-11-15 Sionyx, Llc Process module for increasing the response of backside illuminated photosensitive imagers and associated methods
CN103946867A (zh) 2011-07-13 2014-07-23 西奥尼克斯公司 生物计量成像装置和相关方法
US9064764B2 (en) 2012-03-22 2015-06-23 Sionyx, Inc. Pixel isolation elements, devices, and associated methods
US20140154891A1 (en) * 2012-08-22 2014-06-05 Sionyx, Inc. Beam Delivery Systems for Laser Processing Materials and Associated Methods
US9653332B1 (en) * 2013-01-02 2017-05-16 Christos Tsironis Wafer probe holder for planarity and orientation adjustment
WO2014127376A2 (en) 2013-02-15 2014-08-21 Sionyx, Inc. High dynamic range cmos image sensor having anti-blooming properties and associated methods
US9056383B2 (en) * 2013-02-26 2015-06-16 Applied Materials, Inc. Path for probe of spectrographic metrology system
US8702473B1 (en) 2013-03-04 2014-04-22 Gordon Lyons Rock polishing systems and methods
WO2014151093A1 (en) 2013-03-15 2014-09-25 Sionyx, Inc. Three dimensional imaging utilizing stacked imager devices and associated methods
US9209345B2 (en) 2013-06-29 2015-12-08 Sionyx, Inc. Shallow trench textured regions and associated methods
TWI672191B (zh) * 2013-10-16 2019-09-21 美商應用材料股份有限公司 帶有裝設樞紐手臂之化學機械拋光機的系統及方法
JP6335587B2 (ja) * 2014-03-31 2018-05-30 株式会社荏原製作所 基板保持機構、基板搬送装置、半導体製造装置
CN109500708B (zh) * 2017-09-12 2023-12-29 蓝思科技(长沙)有限公司 一种板材减薄装置
KR102385573B1 (ko) * 2017-12-13 2022-04-12 삼성전자주식회사 로드 컵 및 이를 포함하는 화학기계적 연마 장치
US10109517B1 (en) * 2018-01-10 2018-10-23 Lam Research Corporation Rotational indexer with additional rotational axes
JP2019160973A (ja) * 2018-03-12 2019-09-19 オムロン株式会社 部品挿入装置、部品挿入方法、およびプログラム
US11114329B2 (en) * 2019-04-08 2021-09-07 Semiconductor Components Industries, Llc Methods for loading or unloading substrate with evaporator planet
JP6752346B1 (ja) * 2019-10-08 2020-09-09 株式会社スギノマシン 洗浄機
US12009241B2 (en) * 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
JP7192761B2 (ja) * 2019-12-25 2022-12-20 株式会社Sumco 研磨装置への半導体ウェーハの受け渡し方法および半導体ウェーハの製造方法
US11705354B2 (en) 2020-07-10 2023-07-18 Applied Materials, Inc. Substrate handling systems
CN111865251A (zh) * 2020-07-27 2020-10-30 马鞍山恒明电子科技有限公司 一种石英晶体谐振器晶片贴装装置
US20220111485A1 (en) * 2020-10-08 2022-04-14 Kctech Co., Ltd. Substrate processing system
US20220111486A1 (en) * 2020-10-08 2022-04-14 Kctech Co., Ltd. Substrate processing system
KR20220122360A (ko) * 2021-02-26 2022-09-02 주식회사 케이씨텍 기판 연마 시스템
CN115673948A (zh) * 2022-10-11 2023-02-03 南通东方科技有限公司 一种破碎机耐磨配件的打磨抛光设备

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07508685A (ja) * 1992-06-15 1995-09-28 スピードファム・コーポレーション ウェーハの研磨装置
JPH11162893A (ja) * 1997-11-21 1999-06-18 Ebara Corp ポリッシング装置
JP2000061830A (ja) * 1998-08-19 2000-02-29 Speedfam-Ipec Co Ltd ポリッシング装置
JP2000071166A (ja) * 1998-06-19 2000-03-07 Ebara Corp ポリッシング装置及び方法

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3659386A (en) * 1968-03-22 1972-05-02 Ibm A method for providing a finished surface on workpieces
US3906678A (en) * 1972-09-14 1975-09-23 Buehler Ltd Automatic specimen polishing machine and method
US3913271A (en) * 1974-02-04 1975-10-21 Speedfam Corp Apparatus for machining work pieces
US4141180A (en) * 1977-09-21 1979-02-27 Kayex Corporation Polishing apparatus
US4680893A (en) * 1985-09-23 1987-07-21 Motorola, Inc. Apparatus for polishing semiconductor wafers
JP2655975B2 (ja) * 1992-09-18 1997-09-24 三菱マテリアル株式会社 ウェーハ研磨装置
US5232875A (en) * 1992-10-15 1993-08-03 Micron Technology, Inc. Method and apparatus for improving planarity of chemical-mechanical planarization operations
US5554064A (en) * 1993-08-06 1996-09-10 Intel Corporation Orbital motion chemical-mechanical polishing apparatus and method of fabrication
US5827110A (en) * 1994-12-28 1998-10-27 Kabushiki Kaisha Toshiba Polishing facility
US5885138A (en) * 1993-09-21 1999-03-23 Ebara Corporation Method and apparatus for dry-in, dry-out polishing and washing of a semiconductor device
KR100390293B1 (ko) * 1993-09-21 2003-09-02 가부시끼가이샤 도시바 폴리싱장치
US5649854A (en) * 1994-05-04 1997-07-22 Gill, Jr.; Gerald L. Polishing apparatus with indexing wafer processing stations
US5562524A (en) * 1994-05-04 1996-10-08 Gill, Jr.; Gerald L. Polishing apparatus
DE19544328B4 (de) * 1994-11-29 2014-03-20 Ebara Corp. Poliervorrichtung
US5655954A (en) * 1994-11-29 1997-08-12 Toshiba Kikai Kabushiki Kaisha Polishing apparatus
US5551986A (en) * 1995-02-15 1996-09-03 Taxas Instruments Incorporated Mechanical scrubbing for particle removal
US5830045A (en) * 1995-08-21 1998-11-03 Ebara Corporation Polishing apparatus
US5804507A (en) * 1995-10-27 1998-09-08 Applied Materials, Inc. Radially oscillating carousel processing system for chemical mechanical polishing
US5951373A (en) * 1995-10-27 1999-09-14 Applied Materials, Inc. Circumferentially oscillating carousel apparatus for sequentially processing substrates for polishing and cleaning
US5718618A (en) * 1996-02-09 1998-02-17 Wisconsin Alumni Research Foundation Lapping and polishing method and apparatus for planarizing photoresist and metal microstructure layers
US6332826B1 (en) * 1997-11-21 2001-12-25 Ebara Corporation Polishing apparatus
US5897426A (en) * 1998-04-24 1999-04-27 Applied Materials, Inc. Chemical mechanical polishing with multiple polishing pads
JP3979750B2 (ja) * 1998-11-06 2007-09-19 株式会社荏原製作所 基板の研磨装置
SG97860A1 (en) * 1999-03-05 2003-08-20 Ebara Corp Polishing apparatus
US6354922B1 (en) * 1999-08-20 2002-03-12 Ebara Corporation Polishing apparatus
US6358128B1 (en) * 1999-03-05 2002-03-19 Ebara Corporation Polishing apparatus
US6241585B1 (en) * 1999-06-25 2001-06-05 Applied Materials, Inc. Apparatus and method for chemical mechanical polishing
US6358126B1 (en) * 2000-05-23 2002-03-19 Ebara Corporation Polishing apparatus

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07508685A (ja) * 1992-06-15 1995-09-28 スピードファム・コーポレーション ウェーハの研磨装置
JPH11162893A (ja) * 1997-11-21 1999-06-18 Ebara Corp ポリッシング装置
JP2000071166A (ja) * 1998-06-19 2000-03-07 Ebara Corp ポリッシング装置及び方法
JP2000061830A (ja) * 1998-08-19 2000-02-29 Speedfam-Ipec Co Ltd ポリッシング装置

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8795032B2 (en) 2008-06-04 2014-08-05 Ebara Corporation Substrate processing apparatus, substrate processing method, substrate holding mechanism, and substrate holding method
US9358662B2 (en) 2008-06-04 2016-06-07 Ebara Corporation Substrate processing apparatus, substrate processing method, substrate holding mechanism, and substrate holding method
US9687957B2 (en) 2008-06-04 2017-06-27 Ebara Corporation Substrate processing apparatus, substrate processing method, substrate holding mechanism, and substrate holding method
US10486285B2 (en) 2008-06-04 2019-11-26 Ebara Corporation Substrate processing apparatus, substrate processing method, substrate holding mechanism, and substrate holding method
US11426834B2 (en) 2008-06-04 2022-08-30 Ebara Corporation Substrate processing apparatus, substrate processing method, substrate holding mechanism, and substrate holding method
JP2012195498A (ja) * 2011-03-17 2012-10-11 Ulvac Japan Ltd 半導体製造装置
JP2020518475A (ja) * 2017-04-26 2020-06-25 アクス テクノロジー エルエルシー 向上したスループット及びプロセス柔軟性を備えたcmp機械
JP7094983B2 (ja) 2017-04-26 2022-07-04 アクス テクノロジー エルエルシー 向上したスループット及びプロセス柔軟性を備えたcmp機械
JP2022141653A (ja) * 2017-04-26 2022-09-29 アクス テクノロジー エルエルシー 向上したスループット及びプロセス柔軟性を備えたcmp機械
JP7408726B2 (ja) 2017-04-26 2024-01-05 アクス テクノロジー エルエルシー 向上したスループット及びプロセス柔軟性を備えたcmp機械
JP2018196923A (ja) * 2017-05-24 2018-12-13 トーヨーエイテック株式会社 加工装置及びそれを用いた加工方法

Also Published As

Publication number Publication date
EP1155778A3 (en) 2004-01-02
DE60125859T2 (de) 2007-11-08
DE60125859D1 (de) 2007-02-22
US6629883B2 (en) 2003-10-07
EP1155778B1 (en) 2007-01-10
TW491744B (en) 2002-06-21
US20010044266A1 (en) 2001-11-22
EP1155778A2 (en) 2001-11-21
SG96621A1 (en) 2003-06-16
KR20010106260A (ko) 2001-11-29

Similar Documents

Publication Publication Date Title
JP2001326201A (ja) ポリッシング装置
US6354922B1 (en) Polishing apparatus
KR100472959B1 (ko) 언로딩구조가 개선된 반도체 웨이퍼의 표면평탄화설비
TWI678750B (zh) 基板處理裝置及處理方法
US6682408B2 (en) Polishing apparatus
JP4790695B2 (ja) ポリッシング装置
JP4127346B2 (ja) ポリッシング装置及び方法
JP2003309089A (ja) ポリッシング装置及び基板処理装置
JPH11219930A (ja) 洗浄装置
JP4183398B2 (ja) ポリッシング装置
EP1738871B1 (en) Polishing apparatus
JP5037974B2 (ja) 研磨加工ステージにおける半導体基板の監視機器および監視方法
JP2015217501A (ja) 搬送ロボット
US6607427B2 (en) Dressing apparatus and polishing apparatus
TW201922413A (zh) 基板處理裝置及基板處理方法
JPH10229062A (ja) 基板処理装置
KR100470230B1 (ko) 화학기계적 연마장치
JP2000216124A (ja) 研削装置およびウエハの研削方法
KR20190054965A (ko) 기판 처리 장치 및 기판 처리 방법
JP2002016028A (ja) 基板処理装置
JPH11156712A (ja) 研磨装置
CN118106868A (zh) 一种抛光设备
JP2003080454A (ja) ポリッシング装置

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070511

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20070511

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070514

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20070511

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20091015

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100914

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20110125