CN1692480A - 形成含硅绝缘膜的cvd方法和装置 - Google Patents

形成含硅绝缘膜的cvd方法和装置 Download PDF

Info

Publication number
CN1692480A
CN1692480A CNA038022826A CN03802282A CN1692480A CN 1692480 A CN1692480 A CN 1692480A CN A038022826 A CNA038022826 A CN A038022826A CN 03802282 A CN03802282 A CN 03802282A CN 1692480 A CN1692480 A CN 1692480A
Authority
CN
China
Prior art keywords
gas
mentioned
film
process chamber
fact
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA038022826A
Other languages
English (en)
Other versions
CN100373559C (zh
Inventor
熊谷武司
加藤寿
李镇秀
真久真吾
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN1692480A publication Critical patent/CN1692480A/zh
Application granted granted Critical
Publication of CN100373559C publication Critical patent/CN100373559C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

CVD装置(2)形成由从氧化硅膜、氮化硅膜及氧氮化硅膜所组成的组中选择出来的膜构成的绝缘膜。该CVD装置包括:用于容纳被处理基板(W)的处理室(8)、在处理室内用于支撑被处理基板的支撑构件(20)、加热支撑构件支撑的被处理基板的加热器(12)、对处理室内进行真空排气的排气部(39)和向处理室内供给气体的供给部(40)。供给部包括:供给实质上由硅烷系列气体形成的第1气体的第1供给***(42)、供给实质上由从氧化气体、氮化气体及氧氮化气体组成的组中选择出来的气体形成的第2气体的第2供给***(44)和供给实质上由碳氢化合物气体形成的第3气体的第3供给***(46),可同时供给第1、第2和第3气体。

Description

形成含硅绝缘膜的CVD方法和装置
技术领域
本发明涉及一种用于在被处理基板上形成含硅绝缘膜的CVD(Chemical Vapor Deposition,即化学气相淀积)方法和装置。
背景技术
作为半导体器件中的绝缘膜,采用SiO2、PSG(phospho Silicate Glass,即,磷硅玻璃)、P-SiO(用等离子体CVD法形成的SiO)、P-SiN(用等离子体CVD法形成的SiN)、SOG(Spin On Glass,即,旋涂玻璃)、Si3N4(氮化硅膜)等。作为在半导体晶片的表面上形成如上所述的氧化硅膜和氮化硅膜的方法,众所周知的有采用甲硅烷(SiH4)、二氯硅烷(DCS:SiH2Cl2)、六氯乙硅烷(HCD:Si2Cl6)、二叔丁基氨基硅烷(BTBAS:SiH2(NH(C4H9))2)等硅烷系列气体作为硅源气体、通过热CVD(化学气相淀积)成膜的方法。
具体地,例如在淀积氧化硅膜时,通过SiH4+N2O、SiH2Cl2+N2O、或TEOS(正硅酸四乙酯)+O2等的气体混合,利用热CVD形成氧化硅膜。此外,在淀积氮化硅膜时,通过SiH2Cl2+NH3、或Si2Cl6+NH3等的气体混合,利用热CVD形成氮化膜。
随着半导体器件的进一步高度微细化和高集成化,就需要使上述这样的绝缘膜进一步薄型化。由于需要维持在绝缘膜下侧已经形成的各种膜的电气特性,因此即使是所涉及的热CVD成膜处理的温度也正不断向低温化推进。关于这一点,例如通过热CVD淀积氮化硅膜时,过去是在760℃左右的高温下进行此氮化硅膜的淀积。但是,目前也存在将温度下降到600℃左右,通过热CVD进行淀积的情况。
在形成半导体器件时,将导电膜和上述绝缘膜相互层叠,进行图形蚀刻以形成多层结构。在形成绝缘膜之后,在其上形成其他薄膜时,上述绝缘膜表面有可能附着有机物和粒子等污染物。为此,按照要求,以去除此污染物为目的,进行清洗处理。此时,将半导体晶片浸泡在稀氢氟酸等清洗液中,对绝缘膜的表面进行蚀刻。由此,将绝缘膜的表面切削得非常薄,以去除污染物。
例如在760℃左右的高温下对上述绝缘膜进行CVD成膜时,明显地降低了绝缘膜清洗时的蚀刻速度。为此,清洗时不会过度地切削此绝缘膜,能在膜厚的控制性良好的状态下进行清洗处理。与此相反,例如在600℃左右的低温下对上述绝缘膜进行CVD成膜时,就明显地加快了绝缘膜清洗时的蚀刻速度。为此,清洗时就会产生过度地切削此绝缘膜的情况,清洗处理时的膜厚控制性劣化。
发明内容
本发明的目的在于提供一种形成含硅绝缘膜的方法和装置,用于即使在比较低的温度下成膜,也能够使清洗时的蚀刻速度变得比较低,能够提高清洗时膜厚的控制性。
本发明的第1观点为形成含硅绝缘膜的CVD方法,包括:对容纳了被处理基板的处理室进行排气的同时向上述处理室内供给成膜气体,在上述被处理基板上通过淀积形成上述绝缘膜的工序,在此,同时供给上述成膜气体和碳氢化合物气体。
本发明的第2观点为形成一种绝缘膜的CVD方法,该绝缘膜实质上由从氧化硅膜、氮化硅膜及氧氮化硅膜所组成的组中选择出来的膜形成,包括:对容纳了被处理基板的处理室同时进行加热和排气,同时向上述处理室内供给实质上由硅烷系列气体形成的第1气体、实质上由从氧化气体、氮化气体及氧化氮气体所组成的组中选择出来的气体形成的第2气体、以及实质上由碳氢化合物气体形成的第3气体,在上述被处理基板上通过淀积形成上述绝缘膜的工序,上述第3气体相对于上述第1气体的流量比为10~100。
本发明的第3观点为形成一种绝缘膜的CVD装置,该绝缘膜实质上由从氧化硅膜、氮化硅膜及氧氮化硅膜所组成的组中选择出来的膜形成,
包括:用于容纳被处理基板(W)的处理室;在处理室内用于支撑上述被处理基板的支撑构件;对被上述支撑构件支撑的上述被处理基板进行加热的加热器;对上述处理室内进行真空排气的排气部;向上述处理室内供给气体的供给部,
上述供给部包括:供给实质上由硅烷系列气体形成的第1气体的第1供给***,供给实质上由从氧化气体、氮化气体及氧化氮气体组成的组中选择出来的气体形成的第2气体的第2供给***,以及,供给实质上由碳氢化合物气体形成的第3气体的第3供给***,该CVD装置可同时供给第1、第2和第3气体。
附图说明
图1是本发明第1实施方式的CVD装置的剖面图。
图2是通过实验1得出的C2H6气体的流量与氮化硅膜中碳成分浓度关系的图。
图3是通过实验2得出的C2H6气体的预加热温度和氮化硅膜中碳成分浓度关系的图。
图4是通过实验3得出的氮化硅膜中碳成分浓度和对应于稀氢氟酸(Diluted Hydrofluoric Acid)(49%HF∶H2O=1∶100)常规的蚀刻速度的关系图。
图5是表示通过实验4得出的C2H6气体的预加热温度和对应于氮化硅膜的稀氢氟酸(49%HF∶H2O=1∶100)常规的蚀刻速度的关系图。
图6是通过实验5得出的C2H6气体的流量(有/无预加热)和对应于氮化硅膜的稀氢氟酸(49%HF∶H2O=1∶100)常规的蚀刻速度的关系图。
图7是本发明第2实施方式的CVD装置的剖面图。
图8是通过实验6得出的碳氢化合物气体的流量和对应于氮化硅膜的稀氢氟酸(49%HF∶H2O=1∶100)常规的蚀刻速度的关系图。
图9是通过实验7得出的乙烯气体的流量和对应于氮化硅膜的稀氢氟酸(49%HF∶H2O=1∶100)常规的蚀刻速度的关系图。
具体实施方式
本发明者等人在本发明的开发过程中,研究了清洗氧化硅膜、氮化硅膜及氧氮化硅膜等含硅绝缘膜时的蚀刻速度。结果,获得了所谓的通过使绝缘膜中活性地含有碳成分,就能够抑制使清洗时的蚀刻速度并使其减小的知识。
下面,参照附图说明有关本发明的实施方式。再有,在下面的说明中,对于具有基本上相同功能和结构的组成部分赋予相同的符号,只在需要的情况下进行重复说明。
<第1实施方式>
图1表示本发明第1实施方式的CVD装置的剖面图。此CVD装置2同时供给实质上由硅烷系列气体(硅源气体)形成的第1气体、由从氧化气体、氮化气体及氧氮化气体所组成的组中选择出来的气体形成的第2气体、以及由碳氢化合物气体组成的第3气体,构成形成绝缘膜的结构,该绝缘膜从由氧化硅膜、氮化硅膜及氧氮化硅膜所组成的组中选择出来的膜。作为一个实例,例如使用Si2Cl6和NH3气体淀积氮化硅膜时,供给碳氢化合物气体,使膜中含有碳成分。
如图1所示,CVD装置2具有双重管结构的处理室8,该双重管结构由筒体状的石英制的内筒4、和在其外侧通过规定的间隙10按同心圆状配置的石英制的外筒6构成。处理室8的外侧由具有加热器等加热装置12和隔热材14的加热炉16所覆盖。在隔热材14的整个内表面上配设加热装置12。再有,在本实施方式中,处理室8的内筒4的内径为240mm左右,高度为1300mm左右,处理室8的容积为大约为110升。
处理室8的下端由例如不锈钢制的筒体状的主管18支撑。内筒4的下端由从主管18的内壁向外侧突出形成的环状支撑板18A支撑。从主管18的下方起,相对于处理室8承载/不承载石英制的晶片舟(wafer boat)20,该晶片舟20多段搭载作为被处理基板的半导体晶片W。在本实施方式的情况中,晶片舟20中按大致相等间距,可多段支撑例如大约150片左右的直径200mm的产品晶片和13片或20片伪晶片(dummy wafer)。即,在晶片舟20中整体可容纳170片晶片。
晶片舟20通过石英制的保温筒22被装载在旋转台24上。旋转台24被支撑在旋转轴28上,该旋转轴28贯通对主管18下端开口部进行开关的盖部26。在旋转轴28的贯通部中,***设置例如磁性流体密封装置30,在气密地密封状态下,可旋转地支撑旋转轴28。此外,在盖部26的四周部分和主管18的下端部分,***设置例如由O环等形成的密封构件32,保持处理室8内的密封性。
旋转轴28安装在例如被支撑在舟升降等升降结构34上的臂36的前端。利用升降结构34,使晶片轴20和盖部26等整体地升降。在主管18的侧部形成排气口38,用于将处理室8内的气体介质从内筒4和外筒6的间隙10的底部排出。在排气口38上,连接了***设置有真空泵等的真空排气部39。
在主管18的侧部配置气体供给部40,用于向内筒4内供给规定的处理气体。具体地,气体供给部40包括:硅烷系列气体供给***42、氧化和/或氮化气体供给***44、碳氢化合物气体供给***46。各供给***42、44、46分别具有通过贯通主管18的侧壁而设置的直线状的气体喷嘴48、50、52。
各气体喷嘴48、50、52上,分别通过如质量流量控制器那样的流量控制器54、56、58,分别连接各个气体通路60、62、64。气体通路60、62、64构成可分别进行流量控制并供给硅烷系列气体、氧化及/或氮化气体、碳氢化合物气体的结构。在此,例如采用六氯乙硅烷(Si2Cl6)作为硅烷系列气体(硅源气体),采用NH3作为氮化气体,并且采用乙烷作为碳氢化合物气体。再有,也可以采用N2O气体和O2作为氧化气体。
碳氢化合物气体用的气体通路64中***设置预加热部66。预加热部66在石英容器内填充石英粒而构成,在该石英容器外部缠绕加热器等。预加热部66将在此流过的乙烷气体等碳氢化合物气体预加热到规定的温度。由此,使在预加热部66内流过的乙烷气体活化。
下面,说明有关采用上述结构的装置进行本发明的实施方式的CVD方法的内容。
首先,当CVD装置处于没有装载晶片的待机状态时,将处理室8维持在处理温度,例如维持在500℃左右。另一方面,将多片例如将150片产品晶片W和20片伪晶片装载到晶片舟20上。装载晶片之后,使常温的晶片舟20在处理室内从其下方升起,并装载在处理室8内。并且,用盖部26将主管18的下端开口部封闭,从而将处理室8内部密封起来。
接着,对处理室8内进行抽真空,并维持在规定的处理压力,例如维持在27Pa左右。此外,将晶片温度上升到成膜用的处理温度,例如上升到600℃左右。温度稳定后,在对规定的硅烷系列气体Si2Cl6气体、氮化气体NH3气体、碳氢化合物气体C2H6气体分别进行流量控制,同时从气体供给40的各喷嘴48、50、52进行供给。
通过在喷嘴52紧前的碳氢化合物气体通路64上***设置的预加热部66,按供给前规定的温度,例如在500~1000℃范围内加热C2H6气体,将其活化。但,也可以不对C2H6气体进行预加热。将此未预加热或经预加热活化了的C2H6气体与从处理室8的下部供给的Si2Cl6气体、NH3气体加以混合。混合气体在处理空间S一边上升一边反应,在晶片W的表面淀积氮化硅膜。在处理空间S上升的处理气体,在处理室8内的天井部折返,并在内筒4和外筒6之间的间隙10流下来,从排气口38向外排出。
关于预加热部66中的C2H6气体的加热温度,下限值为大约500℃。没有特别地限定预加热的上限值,优选为后述氮化硅膜的蚀刻速度的饱和温度,例如优选为1000℃左右。没有特别地限定C2H6气体的流量的上限值,优选为后述氮化硅膜的蚀刻速度的饱和流量,例如优选为大约200sccm左右。此外,在本实施方式中,Si2Cl6气体的流量为大约30sccm左右,NH3气体的流量为大约900sccm左右。
如此,通过向处理室8内供给C2H6气体,使在晶片表面形成的氮化硅膜中含有碳成分。由此,即使以现有的成膜温度,例如以比760℃左右更低的温度进行成膜也没关系,对于清洗处理时使用的稀氢氟酸,也能使氮化硅膜表面的蚀刻速度降低。其结果,能防止清洗处理时过度地切削氮化硅膜,从而提高该膜厚的控制性。
特别地,一旦预加热C2H6气体,就使该气体活化,在氮化硅膜只含有相应量的碳成分。由此,能使氮化硅膜的蚀刻速度进一步降低。此时,如后所述,通过控制氮化硅膜中的碳成分的浓度,就能获得所希望的蚀刻速度。
其次,对使用图1所示的CVD装置进行的实验加以说明。在这些实验中,对应于在晶片舟20上装载150片产品晶片和20片伪晶片的状态进行处理。也如图1所示,有关晶片的位置,将处理室8(晶片舟20)内按上下方向分割成3个区域,分别为TOP(顶部)、CTR(中部)、BTM(底部)。在此,从晶片舟20的上部起,顶部区域属于第1号~第60号的晶片,中部区域属于第61号~第111号的晶片,底部区域属于第112号~第170号的晶片。
此外,关于蚀刻速度,将通过实验得出的数值相对于基准值“1”变换为比较值,将此当作常规的蚀刻速度使用。在此,不使用碳氢化合物气体,使用二氯硅烷(SiH2Cl2)气体和NH3气体,将处理温度设定为760℃(现有的成膜温度),将成膜的氮化硅膜的蚀刻速度作为基准值“1”。
(实验1)
进行评价C2H6气体和氮化硅膜中含有的碳成分的浓度关系的实验。作为此实验的条件,分别固定处理温度为600℃,处理压力为27Pa,Si2Cl6气体流量为30sccm,NH3气体流量为900sccm,C2H6气体的预加热温度为1000℃。另一方面,使C2H6气体流量在0~200sccm范围内变化。
图2表示通过实验1得出的C2H6气体的流量和氮化硅膜中碳成分浓度的关系图。
如图2中所示,与从顶部到底部的晶片位置无关,若使C2H6气体的流量在0~200sccm范围内增加,根据此增加,氮化硅膜中的碳成分浓度就按近似直线状增加。因此,判明氮化硅膜中碳成分浓度随着增加C2H6气体的流量而增加。
(实验2)
进行评价C2H6气体预加热温度和氮化硅膜中含有的碳成分的浓度关系的实验。作为此实验的条件,分别固定处理温度为600℃,处理压力为27Pa,Si2Cl6气体流量为30sccm,NH3气体流量为900sccm,C2H6气体流量为200sccm。另一方面,使C2H6气体预加热温度在500~1000℃范围内变化。
图3表示通过实验2得出的C2H6气体的预加热温度和氮化硅膜中碳成分浓度的关系图。
如图3中所示,可以看出C2H6气体的预加热温度在500~700℃范围内,氮化硅膜中含碳浓度,认为在误差范围内一部分有减小的趋势,但基本上是稍有增加。在预加热温度为700~900℃范围内,含碳浓度随温度升高急剧增加。在预加热温度为900~1000℃范围内,含碳浓度随温度升高而一点点地增加,但大致成为饱和状态。因此,判明进行C2H6气体的预加热并越提高其温度,就能使含碳浓度更高。
此时,为了将氮化硅膜中的碳成分浓度增加到某一程度以上,优选预加热C2H6气体,并将其温度设定在大约500℃以上。此外,由于在大约1000℃碳成分浓度基本上饱和,因此,优选将其上限值设定在大约1000℃左右。
(实验3)
参照实验1、2的结果,进行评价氮化硅膜中的碳成分浓度和相对于稀氢氟酸的蚀刻速度的关系的实验。作为此实验的条件,分别固定处理温度为600℃,处理压力为27Pa,Si2Cl6气体流量为30sccm,NH3气体流量为900sccm,C2H6气体流量为200sccm。另一方面,通过改变预加热温度,使氮化硅膜中的含碳浓度在1×1018~1×1022atms/cm3范围内变化。
图4表示通过实验3得出的氮化硅膜中碳成分浓度和对应于稀氢氟酸(49%HF∶H2O=1∶100)常规的蚀刻速度的关系图。
如图4中所示,与从顶部到底部的晶片位置无关,若使氮化硅膜中含碳浓度由1×1018增加到1×1022atms/cm3,蚀刻速度就直线下降。即可以判明,如果控制含碳浓度,就可以控制其常规的蚀刻速度。特别地,在含碳浓度为1×1022atms/cm3时,常规的蚀刻速度大概变为“1”。即,可以判明即使在所谓处理温度为600℃的低温下成膜也没关系,也能与在760℃下成膜的现有的氮化硅膜具有大致相同的蚀刻速度。
(实验4)
以补充完善实验3的结果为目的,进行评价C2H6气体预加热温度和相对于氮化硅膜的稀氢氟酸的蚀刻速度的关系的实验。作为此实验的条件,分别固定处理温度为600℃,处理压力为27Pa,Si2Cl6气体流量为30sccm,NH3气体流量为900sccm,C2H6气体流量为200sccm。另一方面,使C2H6气体的预加热在500~1000℃范围内变化。
图5表示通过实验4得出的C2H6气体的预加热温度和相对于氮化硅膜的稀氢氟酸(49%HF∶H2O=1∶100)常规的蚀刻速度的关系图。
如图5中所示,与从顶部到底部的晶片位置无关,预加热温度在500~700℃范围内,温度越升高,常规的蚀刻速度一点点地下降。在预加热温度为700~900℃范围内,随温度的升高,常规的蚀刻速度急剧下降。在预加热温度为900~1000℃范围内,常规的蚀刻速度仍稍有下降,预加热温度在1000℃左右,常规的蚀刻速度基本上变为“1”并饱和。因此,其结论是通过在900~1000℃范围内控制C2H6气体的预加热温度,就能在1~8范围内任意选择常规的蚀刻速度。
(实验5)
以补充完善实验3的结果为目的,进行评价C2H6气体流量(有/无预加热)和对稀氢氟酸的蚀刻速度的关系的实验。作为此实验的条件,分别固定处理温度为600℃,处理压力为27Pa,Si2Cl6气体流量为30sccm,NH3气体流量为900sccm。另一方面,使C2H6气体流量在0~200sccm范围内变化,在各选择流量中,按不对C2H6气体进行预加热的情况(常温)和在1000℃进行预加热情况的两种条件加以设定。
图6表示通过实验5得出的C2H6气体的流量(有/无预加热)和相对于氮化硅膜的稀氢氟酸(49%HF∶H2O=1∶100)常规的蚀刻速度的关系图。
如图6中所示,在未进行预加热常温下供给C2H6气体情况时,与从顶部到底部的晶片位置无关,即使在0~200sccm范围内增加C2H6气体流量,其常规的蚀刻速度也仅从(6~7.5)降低到(5.5~7.0)。即,判明在无预加热情况下,伴随C2H6气体流量的增加,表现出蚀刻速度降低的效果,但其降低的程度非常小。
与此相反,对C2H6气体在1000℃进行预加热时,与从顶部到底部的晶片位置无关,在0~200sccm范围内一旦增加C2H6气体流量,其常规的蚀刻速度就发生很大的变化。即,C2H6气体流量在0~100sccm范围内,常规的蚀刻速度就从“6~8”急剧下降到“2”左右。流量在100~200sccm范围内,流量越增加,常规的蚀刻速度一点点地下降。流量为200sccm时,蚀刻速度大概变为“1”、其下降饱和。即,判明通过将C2H6气体的预加热温度维持在1000℃不变,将其流量控制在0~200sccm范围内,就能在1~8范围内任意选择常规的蚀刻速度。<第2实施方式>
第二实施方式
在上述第1实施方式中,采用链烷烃的乙烷(C2H6)作为碳氢化合物气体。但,也可使用甲烷、丙烷、丁烷等其他的链烷烃系列作为碳氢化合物气体,而且,并没有进一步限定链烷烃系列,也可使用乙炔、乙烯等的乙炔系列的碳氢化合物等。
在第2实施方式中,采用乙炔(C2H4)气体作为碳氢化合物气体。使用乙炔气体作为碳氢化合物气体的优点是即使未进行预加热就向处理室8内供给,也能得到和上述效果相同的效果。即所谓能以非常小的蚀刻速度形成含硅膜的优点。再有,也可以预加热乙炔气体。
图7表示本发明的第2实施方式的CVD装置的剖面图。图7图示的CVD装置2X与图1图示的CVD装置2相比较,在将气体供给部40的碳氢化合物气体供给***46连接到乙炔(C2H4)气体源,并且不包含预加热部66这一点上不同。图7图示的CVD装置2X的其他部分的结构与图1图示的CVD装置2基本相同。
即,在第2实施方式的CVD装置2X中,采用六氯乙硅烷(Si2Cl6)作为硅烷系列气体(硅源气体)、采用NH3作为氮化气体,并且采用乙炔(C2H4)气体作为碳氢化合物气体。不预加热作为碳氢化合物气体使用的乙炔气体,在大致室温的状态下导入处理室8内。
即使在第2实施方式的CVD装置2X中形成氮化硅膜的情况下,即使不预加热乙炔气体也没关系,也能使氮化硅膜中充分地含有碳成分。由此,即使在比较低的温度下进行成膜,也能使清洗时的蚀刻速度变得比较低,能够提高清洗时的膜厚控制性。如此,在将乙炔气体作为碳氢化合物气体使用的情况下,可不使用预加热的理由是由于考虑到乙炔的C=C(二重结合)的结合分解能量(约63kcal/mol)比乙烷的C-C的结合分解能量(约83kcal/mol)要小、这方面乙炔的反应性高(相差约20kcal/mol)。
其次,说明使用图7图示的CVD装置2X进行的实验。在这些实验中,对应于在晶片舟20上装载150片产品晶片和20片伪晶片的状态进行处理。也如图7所示,关于晶片的位置,将处理室8(晶片舟20)内按上下方向分割成3个区域,分别为TOP(顶部)、CTR(中部)、BTM(底部)。在此,从晶片舟20的上部起,顶部区域属于第1号~第60号的晶片,中部区域属于第61号~第111号的晶片,底部区域属于第112号~第170号的晶片。
此外,关于蚀刻速度,将通过实验得出的数值变换为相对于基准值“1”的比较值,将此当作常规的蚀刻速度使用。在此,不使用碳氢化合物气体,使用二氯硅烷(SiH2Cl2)气体和NH3气体,将处理温度设定为760℃(现有的成膜温度),将成膜的氮化硅膜的蚀刻速度作为基准值“1”。
(实验6)
进行评价使用乙炔(C2H4)气体代替C2H6气体作为碳氢化合物气体时的效果的实验。作为此实验的条件,分别固定处理温度为600℃,处理压力为27Pa,Si2Cl6气体流量为30sccm,NH3气体流量为900sccm。另一方面,使C2H4气体流量在0~150sccm范围内变化。
图8表示通过实验6得出的碳氢化合物气体的流量和对应于氮化硅膜的稀氢氟酸(49%HF∶H2O=1∶100)常规的蚀刻速度的关系图。在此图表中,为了比较同时记录下在无预加热状态下使用乙烷时的结果。
如图8中所示,在无预加热使用C2H6气体的情况下,从顶部到底部的晶片位置间存在若干差异,即使在0~150sccm范围内使气体流量增加,常规的蚀刻速度也不会低于大约6~8的范围。即,此时,即使增加气体流量,常规的蚀刻速度也基本上固定,或只稍有下降。
与此相反,在无预加热使用乙炔气体作为碳氢化合物气体的情况下,在从顶部到底部的各晶片位置中,若使气体流量在0~150sccm范围内增加,根据此增加,常规的蚀刻速度大约从“5~6”下降到“3.2~4”。
(实验7)
进一步进行评价使用乙炔(C2H4)气体流量和相对于氮化硅膜的稀氢氟酸的蚀刻速度的关系的实验。作为此实验的条件,分别固定处理温度为600℃,处理压力为27Pa,Si2Cl6气体流量为30sccm,NH3气体流量为900sccm。另一方面,使C2H4气体流量在0~900sccm范围内变化。
图9表示通过实验7得出的乙烯气体的流量和对应于氮化硅膜的稀氢氟酸(49%HF∶H2O=1∶100)常规的蚀刻速度的关系图。在此图表中,用从顶部到底部的晶片位置的平均值表示蚀刻速度。
如图9所示,若使乙炔气体流量在0~900sccm范围内增加,根据此增加,常规的蚀刻速度依次从大约6.45下降到大约1.80。此外,常规的蚀刻速度的降低在乙炔气体流量接近900sccm时基本上饱和。
根据实验6、7的结果,判断出将乙炔气体作为碳氢化合物气体使用时,即使不预加热,也能充分地降低氮化硅膜的蚀刻速度(即氮化硅膜中充分的含有碳成分)。
(实验8)
以补充完善实验6、7的结果为目的,除设处理温度为450℃、乙炔气体流量为300sccm以外,按与实验6相同的条件(即无预加热)进行实验。其结果得出,即使在此情况下,常规的蚀刻速度与无乙炔气体导入的情况下相比,能够大约下降到一半。
再有,在第1和第2实施方式中,将成膜气体(由硅烷系列气体(硅源气体)形成的第1气体和由从氧化气体、氮化气体及氧氮化气体所组成的组中选择出来的气体形成的第2气体的组合)和碳氢化合物气体在各自***独立地供给到处理室8内。但是,也可以将碳氢化合物气体混合到一种成膜气体(Si2Cl6气体或NH3气体)中的状态进行供给。
无论哪一种情况,碳氢化合物气体相对于成膜气体的流量比为0.3~3.2,优选为0.4~2.8。此外,碳氢化合物气体相对于硅烷系列气体的流量比为10~100,优选为15~85。若碳氢化合物气体的流量比低于上述范围时,含硅绝缘膜的蚀刻速度就变大。此时,清洗时此绝缘膜被过度切削,膜厚的控制性变差。另一方面,若碳氢化合物气体的流量比高于上述范围时,由于含硅绝缘膜的生长速度降低,不实用。
此外,在第1、第2实施方式中,使用六氯乙硅烷(HCD∶Si2Cl6)和NH3气体形成氮化硅膜时,与此同时供给碳氢化合物气体。但是,即使在使用其他处理气体形成氮化硅膜时,由于同时供给碳氢化合物气体,也能得到与上述效果同样的效果。例如,作为形成氮化硅膜的其他处理气体的例子,能列举出作为硅烷系列气体(硅源气体)的二氯硅烷(DCS:SiH2Cl2)、四氯硅烷(SiCl4)、二叔丁基氨基硅烷(BTBAS:SiH2(NH(C4H9))2)、及六乙基氨基乙硅烷(HEAD)当中的一个,与作为氮化气体的NH3气体的组合。
此外,不仅是氮化硅膜,即使通过热CVD形成氧化硅膜时,由于同时供给碳氢化合物气体,也能得到与上述效果同样的效果。作为用于通过热CVD形成氧化硅膜的处理气体的例子,可以列举出甲硅烷(SiH4)与N2O的组合、二氯硅烷(DCS:SiH2Cl2)与N2O的组合、TEOS(正硅酸四乙酯)与O2的组合,或六氯乙硅烷(HCD:Si2Cl6)和N2O的组合。此时,将上述N2O气体和O2气体作为氧化气体使用。
而且,即使在形成氧氮化硅膜的情况下,由于同时供给成膜气体和碳氢化合物气体,也能得到与上述效果同样的效果。作为用于通过热CVD形成氧氮化硅膜的处理气体的例子,可以列举出二氯硅烷(DCS:SiH2Cl2)与N2O和NH3气体的组合。再有,此时,如图1中虚线所示,作为CVD装置的氧氮化气体供给***,增加NH3气体供给***44,设置N2O气体供给***45(图1中,符号57、63表示流量控制器及气体通路),优选将N2O和NH3气分别供给处理室8。
此外,在上述实施方式中,作为CVD装置例示出纵型的分批式装置。但是,本发明也适用于横型的分批式CVD装置或只处理1片被处理基板的片叶式CVD装置。此外,关于被处理基板,本发明也能适用于半导体晶片以外的玻璃基板和LCD基板。
根据形成上述实施方式的含硅绝缘膜的CVD方法和装置,能够得到如下的效果。即,由于在含硅绝缘膜成膜时同时供给碳氢化合物气体,就能够在含硅绝缘膜中含有碳成分。由此,即使在比较低的温度下进行成膜,也能使含硅绝缘膜的清洗时的蚀刻速度变得比较小,能够提高清洗时的膜厚控制性。进一步地,由于通过对供给的碳氢化合物气体预加热,使其活化,就能够使含硅绝缘膜中含有更多的碳成分。

Claims (12)

1、一种CVD方法,形成含有硅的绝缘膜,其特征在于,包括:对容纳了被处理基板的处理室进行排气的同时向上述处理室内供给成膜气体,在上述被处理基板上通过淀积形成上述绝缘膜的工序,
在此,同时供给上述成膜气体和碳氢化合物气体。
2、根据权利要求1中记载的方法,其特征在于,碳氢化合物气体为从乙炔、乙烯、甲烷、乙烷、丙烷、丁烷所组成的组中选择出来的一种或一种以上的气体。
3、根据权利要求2中记载的方法,其特征在于,上述碳氢化合物气体实质上由乙烯形成,未进行预加热就供给到上述处理室内。
4、根据权利要求1中记载的方法,其特征在于,还包括在将上述碳氢化合物气体供给到上述处理室内之前,将其预加热到规定温度的工序。
5、根据权利要求4中记载的方法,其特征在于,上述预加热温度在500~1000℃范围内。
6、根据权利要求1中记载的方法,其特征在于,上述碳氢化合物气体相对于上述成膜气体的流量比为0.3~3.2。
7、根据权利要求1中记载的方法,其特征在于,上述绝缘膜实质上由从氧化硅膜、氮化硅膜及氧氮化硅膜所组成的组中选择出来的膜形成。
8、根据权利要求7中记载的方法,其特征在于,上述成膜气体包括:实质上由硅烷系列气体形成的第1气体,实质上由从氧化气体、氮化气体和氧氮化气体所组成的组中选择出来的气体形成的第2气体。
9、根据权利要求8中记载的方法,其特征在于,上述第1气体实质上由从六氯乙硅烷、六乙基氨基乙硅烷、二叔丁基氨基硅烷和二氯硅烷所组成的组中选择出来的气体形成,上述第2气体实质上由氮化气体形成,通过淀积形成上述绝缘膜的工序中的处理温度在450~600℃范围内。
10、一种CVD方法,形成一种绝缘膜,该绝缘膜实质上由从氧化硅膜、氮化硅膜及氧氮化硅膜所组成的组中选择出来的膜形成,其特征在于,包括:
对容纳了被处理基板的处理室内同时进行加热和排气,同时向上述处理室内供给实质上由硅烷系列气体形成的第1气体、实质上由从氧化气体、氮化气体及氧氮化气体所组成的组中选择出来的气体形成的第2气体、以及实质上由碳氢化合物气体形成的第3气体,在上述被处理基板上通过淀积形成上述绝缘膜的工序,上述第3气体相对于上述第1气体的流量比为10~100。
11、一种CVD装置,形成一种绝缘膜,该绝缘膜实质上由从氧化硅膜、氮化硅膜及氧氮化硅膜所组成的组中选择出来的膜形成,其特征在于,包括:
用于容纳被处理基板的处理室;
在处理室内用于支撑上述被处理基板的支撑构件;
对被上述支撑构件支撑的上述被处理基板进行加热的加热器;
对上述处理室内进行真空排气的排气部;
向上述处理室内供给气体的供给部,
上述供给部包括:供给实质上由硅烷系列气体形成的第1气体的第1供给***,供给实质上由从氧化气体、氮化气体及氧氮化气体组成的组中选择出来的气体形成的第2气体的第2供给***,以及,供给实质上由碳氢化合物气体形成的第3气体的第3供给***,该CVD装置可同时供给第1、第2、第3气体。
12、根据权利要求11中记载的方法,其特征在于,上述供给部包括:在将上述第3气体供给到上述处理室内之前,将其预加热到规定温度的预加热部。
CNB038022826A 2002-01-15 2003-01-14 形成含硅绝缘膜的cvd方法和装置 Expired - Lifetime CN100373559C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP005827/2002 2002-01-15
JP2002005827 2002-01-15

Publications (2)

Publication Number Publication Date
CN1692480A true CN1692480A (zh) 2005-11-02
CN100373559C CN100373559C (zh) 2008-03-05

Family

ID=19191151

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB038022826A Expired - Lifetime CN100373559C (zh) 2002-01-15 2003-01-14 形成含硅绝缘膜的cvd方法和装置

Country Status (6)

Country Link
US (1) US7125812B2 (zh)
EP (1) EP1475828A4 (zh)
KR (1) KR100903484B1 (zh)
CN (1) CN100373559C (zh)
TW (1) TWI262959B (zh)
WO (1) WO2003060978A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101562133B (zh) * 2008-04-18 2012-06-27 东京毅力科创株式会社 形成掺杂有金属的含硅绝缘膜的成膜方法和装置
CN103915346A (zh) * 2012-12-28 2014-07-09 业鑫科技顾问股份有限公司 薄膜晶体管及其制作方法与液晶显示面板

Families Citing this family (356)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100771800B1 (ko) * 2003-01-24 2007-10-30 도쿄 엘렉트론 가부시키가이샤 피처리 기판 상에 실리콘 질화막을 형성하는 cvd 방법
US20060172556A1 (en) * 2005-02-01 2006-08-03 Texas Instruments Incorporated Semiconductor device having a high carbon content strain inducing film and a method of manufacture therefor
JP4506677B2 (ja) * 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4434149B2 (ja) * 2006-01-16 2010-03-17 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4929932B2 (ja) * 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP2008078448A (ja) * 2006-09-22 2008-04-03 Hitachi Kokusai Electric Inc 基板処理装置
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
KR100924653B1 (ko) * 2007-09-13 2009-11-03 피에스케이 주식회사 기판 처리 장치 및 방법
DE102008034330A1 (de) * 2008-07-23 2010-01-28 Ionbond Ag Olten CVD-Reaktor zur Abscheidung von Schichten aus einem Reaktionsgasgemisch auf Werkstücken
US8490584B2 (en) * 2008-09-25 2013-07-23 Rez Mustafa Air hybrid engine with dual chamber cylinder
US8622032B2 (en) 2008-09-25 2014-01-07 Mustafa Rez Internal combustion engine with dual-chamber cylinder
US8191517B2 (en) 2008-09-25 2012-06-05 Rez Mustafa Internal combustion engine with dual-chamber cylinder
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110223770A1 (en) * 2010-03-15 2011-09-15 Lam Research Corporation Nitride plasma etch with highly tunable selectivity to oxide
JP5654862B2 (ja) * 2010-04-12 2015-01-14 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
KR101209003B1 (ko) * 2010-10-14 2012-12-06 주식회사 유진테크 3차원 구조의 메모리 소자를 제조하는 방법 및 장치
JP5847566B2 (ja) * 2011-01-14 2016-01-27 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US8465811B2 (en) * 2011-01-28 2013-06-18 Asm Japan K.K. Method of depositing film by atomic layer deposition with pulse-time-modulated plasma
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR101514231B1 (ko) 2011-08-25 2015-04-22 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
JP6030378B2 (ja) 2012-08-14 2016-11-24 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
JP6022274B2 (ja) * 2012-09-18 2016-11-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
JP2015056632A (ja) * 2013-09-13 2015-03-23 東京エレクトロン株式会社 シリコン酸化膜の製造方法
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
CN113136565A (zh) 2020-01-20 2021-07-20 Asm Ip私人控股有限公司 形成薄膜的方法和改性薄膜的表面的方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1507996A (en) * 1975-06-11 1978-04-19 Pilkington Brothers Ltd Coating glass
GB8630918D0 (en) * 1986-12-24 1987-02-04 Pilkington Brothers Plc Coatings on glass
JPH0499313A (ja) * 1990-08-17 1992-03-31 Shikoku Sogo Kenkyusho:Kk アモルファスシリコン系薄膜及びその製造方法
JPH08227888A (ja) * 1995-02-21 1996-09-03 Sony Corp 誘電体膜の形成方法
US6593247B1 (en) * 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6245690B1 (en) * 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
JP3132489B2 (ja) * 1998-11-05 2001-02-05 日本電気株式会社 化学的気相成長装置及び薄膜成膜方法
JP2001168092A (ja) * 1999-01-08 2001-06-22 Toshiba Corp 半導体装置およびその製造方法
US6436824B1 (en) * 1999-07-02 2002-08-20 Chartered Semiconductor Manufacturing Ltd. Low dielectric constant materials for copper damascene
US6583069B1 (en) * 1999-12-13 2003-06-24 Chartered Semiconductor Manufacturing Co., Ltd. Method of silicon oxide and silicon glass films deposition
US6541367B1 (en) * 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
EP1123991A3 (en) * 2000-02-08 2002-11-13 Asm Japan K.K. Low dielectric constant materials and processes
JP2002009069A (ja) * 2000-06-22 2002-01-11 Canon Sales Co Inc 成膜方法
US6580170B2 (en) * 2000-06-22 2003-06-17 Texas Instruments Incorporated Semiconductor device protective overcoat with enhanced adhesion to polymeric materials
JP3934343B2 (ja) * 2000-07-12 2007-06-20 キヤノンマーケティングジャパン株式会社 半導体装置及びその製造方法
US6764958B1 (en) * 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US6537733B2 (en) * 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US6656371B2 (en) * 2001-09-27 2003-12-02 Micron Technology, Inc. Methods of forming magnetoresisitive devices

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101562133B (zh) * 2008-04-18 2012-06-27 东京毅力科创株式会社 形成掺杂有金属的含硅绝缘膜的成膜方法和装置
CN103915346A (zh) * 2012-12-28 2014-07-09 业鑫科技顾问股份有限公司 薄膜晶体管及其制作方法与液晶显示面板
CN103915346B (zh) * 2012-12-28 2017-02-15 鸿富锦精密工业(深圳)有限公司 薄膜晶体管及其制作方法与液晶显示面板

Also Published As

Publication number Publication date
EP1475828A1 (en) 2004-11-10
US20050095770A1 (en) 2005-05-05
US7125812B2 (en) 2006-10-24
KR100903484B1 (ko) 2009-06-18
TWI262959B (en) 2006-10-01
WO2003060978A1 (en) 2003-07-24
EP1475828A4 (en) 2012-02-22
KR20040081424A (ko) 2004-09-21
CN100373559C (zh) 2008-03-05
TW200302294A (en) 2003-08-01

Similar Documents

Publication Publication Date Title
CN1692480A (zh) 形成含硅绝缘膜的cvd方法和装置
US9583338B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
CN100350574C (zh) 在被处理基板上形成硅氮化膜的cvd方法
US9520282B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
US8187951B1 (en) CVD flowable gap fill
CN1234909C (zh) 利用原子层沉积法形成薄膜的方法
US7462376B2 (en) CVD method for forming silicon nitride film
CN1831191A (zh) 半导体处理用的成膜方法及装置
KR101440449B1 (ko) 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체
CN102915910B (zh) 半导体装置的制造方法及衬底处理装置
CN1311097C (zh) 制备低介电薄膜的方法、原料混合物及该薄膜
JP6496510B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP6035166B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
CN1831192A (zh) 半导体处理用成膜方法、成膜装置和存储介质
CN1712560A (zh) 垂直cvd装置和使用它的cvd方法
US9478413B2 (en) Method of manufacturing semiconductor device, substrate processing method, substrate processing apparatus, and recording medium
US10156012B2 (en) Cleaning method, method of manufacturing semiconductor device, substrate processing apparatus, and a non-transitory computer-readable recording medium
US20170170004A1 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
CN1908228A (zh) 形成含硅的绝缘膜的方法和装置
CN101252087A (zh) SiCN膜形成方法及形成装置
CN101051606A (zh) 立式等离子体处理装置和半导体处理方法
CN1446374A (zh) 低介电氮化硅膜及其制造方法和半导体器件及其制造工艺
CN1732288A (zh) 形成高质量的低温氮化硅层的方法和设备
CN105296963A (zh) 半导体器件的制造方法及衬底处理装置
US10074535B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term

Granted publication date: 20080305

CX01 Expiry of patent term