CN1446374A - 低介电氮化硅膜及其制造方法和半导体器件及其制造工艺 - Google Patents

低介电氮化硅膜及其制造方法和半导体器件及其制造工艺 Download PDF

Info

Publication number
CN1446374A
CN1446374A CN01814050A CN01814050A CN1446374A CN 1446374 A CN1446374 A CN 1446374A CN 01814050 A CN01814050 A CN 01814050A CN 01814050 A CN01814050 A CN 01814050A CN 1446374 A CN1446374 A CN 1446374A
Authority
CN
China
Prior art keywords
film
organic
sinch
interlayer dielectric
compound
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN01814050A
Other languages
English (en)
Other versions
CN100431110C (zh
Inventor
郑基市
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN1446374A publication Critical patent/CN1446374A/zh
Application granted granted Critical
Publication of CN100431110C publication Critical patent/CN100431110C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3125Layers comprising organo-silicon compounds layers comprising silazane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

一种形成氮化硅膜的方法包括用具有有机硅氮烷键的有机Si化合物作为气体源的CVD工艺。该CVD工艺是在有机Si源中的有机硅氮烷键保持在氮化硅膜中的条件下进行的。

Description

低介电氮化硅膜及其制造方法和半导体器件及其制造工艺
技术领域
本发明一般涉及半导体器件,特别涉及具有低介电性能绝缘膜的半导体器件及其制造工艺。
随着器件微型化技术的发展,近年来的前沿半导体集成电路中包括巨大数量的半导体器件元件。在这种大规模半导体集成电路中,没有充分使用单个互连层,用于互连其中的半导体器件元件,因此通常提供多层互连结构,其中多个互连层互相堆叠,并且层间绝缘膜置于其间,用于互连半导体器件元件。
特别是,采用双镶嵌(dual damascene)工艺对形成多层互连结构进行广泛的研究。在双镶嵌工艺中,预先在层间绝缘膜中形成互连凹槽和接触孔,并用导电材料填充如此形成的互连凹槽和接触孔。在这种双镶嵌工艺中,设置在多层互连结构中作为刻蚀停止膜同时作为金属扩散阻挡层的绝缘膜的作用非常重要。
背景技术
在双镶嵌工艺技术中有各种修改,图11A-11F表示采用双镶嵌工艺形成多层互连结构的典型常规方法。
参见图11A,Si衬底10被CVD-SiO2膜等的层间绝缘膜11覆盖,并在层间绝缘膜11上形成互连图形12A。Si衬底10上承载各种半导体器件元件,如MOS晶体管(图中未示出)。
互连图形12A被埋置在形成在层间绝缘膜11上的相邻层间绝缘膜12B中以形成互连层12,其中互连层12被刻蚀停止膜13如SiN膜覆盖。刻蚀停止膜13还被相邻层间绝缘膜14覆盖。
在图11A的步骤中,利用光刻工艺在层间绝缘膜14上形成光刻胶图形18,以使光刻胶图形18具有对应要形成的接触孔的开口18A,利用干刻蚀工艺同时采用光刻胶图形18作掩模,去掉层间绝缘膜14。作为干刻蚀工艺的结果,在层间绝缘膜14中形成对应要形成的接触孔的开口14A。
接着,在图11B的步骤中,去掉光刻胶图形18并在图11C的步骤中在图11B的结构上形成光刻胶膜19,以便填充接触孔14A。通过利用光刻工艺构图如此形成的光刻胶膜19,在光刻胶膜19中形成对应要形成的互连图形的光刻胶开口19A。
然后,在图11D的步骤中,利用干刻蚀同时采用光刻胶膜19作掩模,构图在光刻胶开口19A上露出的层间绝缘膜14的露出部分。随后,去掉光刻胶膜19。作为这种构图工艺的结果,在层间绝缘膜14中形成除了接触孔14A之外对应所希望的互连凹槽的开口14B。
接下来,在图11E的步骤中,通过利用RIE工艺的干刻蚀工艺去掉刻蚀停止膜13,并露出互连图形12A。
接着,在图11F的步骤中,用Al或Cu的导电膜填充互连凹槽14B和开口14A。通过对如此获得的结构施加化学机械抛光(CMP)工艺,获得通过接触孔14A与互连图形12A电连接的互连图形20。
通过重复前述工艺步骤,可以形成第三和第四互连图形。
在用在半导体器件中的这种多层互连结构中,采用低介电性能绝缘膜用于层间绝缘膜12和14以便减少多层互连结构的杂散电容是非常重要的。通过减少杂散电容,提高了半导体器件的工作速度。因此已经做了很多尝试,采用低介电性能材料用于层间绝缘膜12或14,如掺杂F的SiO2膜(SiOF膜)、有机Si绝缘膜(SiOCH膜)等。特别是,通过采用有机Si绝缘膜,可以实现3.0或更小的比介电常数。
在利用双镶嵌工艺形成多层互连结构的这种工艺中,刻蚀停止膜13的作用非常重要,如前面注意到的。通常,呈现相对于层间绝缘膜14的大刻蚀选择性的SiN膜广泛地用于该目的。在双镶嵌工艺的技术中,刻蚀停止膜不仅必须具有大刻蚀选择性而且还用做阻挡构成互连图形的金属如Cu的有效阻挡层。此外,刻蚀停止膜必须具有相对于互连图形以及层间绝缘膜的优异粘接性。另外,刻蚀停止膜必须具有抵抗等离子体灰化工艺或湿刻蚀工艺的优异性能。都知道SiN膜用做有效的扩散阻挡层。
通常,很容易利用等离子体CVD工艺形成SiN膜。另一方面,如此形成的SiN膜具有7-8的大介电常数,因此采用SiN刻蚀停止膜13可基本上取消在多层互连结构中采用低介电性能绝缘膜作为层间绝缘膜12和14而实现的杂散电容减少的效果。
发明内容
相应地,本发明的一般目的是提供一种新的可使用的半导体器件及其制造方法,其中消除了前述问题。
本发明的另一和更特殊的目的是提供一种低介电性能氮化物膜及其制造方法。
本发明的又一目的是提供采用低介电性能氮化硅膜形成多层互连结构的方法。
本发明的再一目的是提供具有低介电性能氮化硅膜的半导体器件。
本发明的另一目的是提供形成氮化硅膜的方法,包括以下步骤:
在反应室中引入衬底;
向所述处理室中引入其中具有有机硅氮烷键的有机Si化合物,作为气体源;
利用CVD工艺,从所述气体源在所述衬底的表面上淀积含有Si、N、C和H作为基本构成元素的SiNCH膜。
根据本发明,通过采用其中含有有机硅氮烷键的有机Si化合物作为源料的CVD工艺,可以形成低密度SiNCH膜(含有Si、N、C和H作为基本或主要构成元素的膜)。如此形成的低密度SiNCH膜的特征在于低介电常数并具有相对于下层的优异粘接性。低密度SiNCH膜还用做阻挡金属原子如Cu的有效扩散阻挡层。低密度SiNCH膜呈现抵抗等离子体灰化工艺、干刻蚀或湿开蚀工艺的优异性能。
在本发明中,优选有机Si化合物具有(SiR1)nNR2、(SiR1NR2)n或(SiR1(NR2)1.5)n的结构式,其中n是1或更大的整数,R1和R2每个都可以是氢或选自烷基如甲基、环烃基如苯酚基或乙烯基的基。优选如此进行CVD工艺,以便Si化合物中的硅氮烷键基本上保持在SiNCH膜中。通过采用具有(SiR1)nNR2、(SiR1NR2)n或(SiR1(NR2)1.5)n结构式的化合物(R1和R2每个都可以是氢或选自烷基如甲基、环烃基如苯酚基或乙烯基的基,n是1或更大的整数),在CVD工艺期间源化合物中的有机硅氮烷键被保持在SiNCH膜中,并且SiNCH膜呈现减少的密度。
优选,用于淀积SiNCH膜的CVD工艺包括有机Si化合物的等离子体聚合反应工艺。还优选等离子体聚合反应工艺是在有机Si化合物中的硅氮烷键基本上被保持在SiNCH膜中的等离子体功率下进行的。为此,有效地减小了SiNCH膜的比介电常数(specific dielectricconstant)。在通过有机Si化合物的热聚合反应工艺进行淀积SiNCH膜的步骤的情况下,必须设定温度,以使有机Si化合物中的有机硅氮烷键基本上被保持在淀积的SiNCH膜中。
在本发明中,可以通过替换工艺淀积SiNCH膜,在该工艺中,给前述有机Si化合物添加含有N的附加气体源如N2或NH3,形成附加气体源的等离子体,并给反应室输送等离子体。根据这种工艺,只给有机源化合物提供小等离子体功率,并有机Si化合物中的有机硅氮烷键保持在氮化硅膜中。
在本发明中,还可以形成含有氧的SiONCH体系的硅氮氧化物膜,其中氧可以从源材料或附加源材料中释放出来。当膜中的氧含量为40%或更低时,硅氮氧化物膜呈现与前述氮化硅膜相似的性能。
本发明的另一目的是提供制造半导体器件的方法,包括以下步骤:
在衬底上形成刻蚀停止膜;
在所述刻蚀停止膜上淀积层间绝缘膜;
构图所述层间绝缘膜以形成开口;
刻蚀所述层间绝缘膜以在所述层间绝缘膜中形成对应所述开口的凹部;和
通过刻蚀工艺,选择地从所述开口刻蚀所述刻蚀停止膜,
淀积所述刻蚀停止膜的所述步骤包括以下步骤:
向处理装置的反应室中引入所述衬底;
向所述反应室中输送其中含有有机硅氮烷键的有机Si化合物,作为气体源;和
利用CVD工艺,在所述处理室中在所述衬底表面上由所述有机Si化合物淀积SiNCH膜,作为所述刻蚀停止膜。
根据本发明,在利用双镶嵌工艺形成多层互连结构时,利用CVD工艺由其中含有有机硅氮烷键的有机Si化合物的源材料形成的SiNCH膜用做氮化硅刻蚀停止膜。如此形成氮化硅膜中保持源材料中的有机硅氮烷键,其中有机硅氮烷键中包括烃基。因此,如此形成的氮化硅膜的特征在于低密度并具有低介电常数特性。通过采用这种低介电性能氮化硅膜作为刻蚀停止膜,基本上减少了多层互连结构的杂散电容,并相应地提高了半导体器件的工作速度。如此形成的低介电性能氮化硅膜具有优异耐刻蚀性的附加优点,因此低介电性能氮化硅膜可用做在双镶嵌工艺期间在干刻蚀工艺中的有效刻蚀停止膜或硬掩模。
在本发明中,还优选有机Si化合物具有(SiR1)nNR2、(SiR1NR2)n或(SiR1(NR2)1.5)n的结构式,其中n是1或更大的整数,R1和R2每个都可以是氢或选自烷基如甲基、环烃基如苯酚基、或乙烯基的基。还优选进行CVD工艺,以便有机Si化合物中的硅氮烷键基本上保持在SiNCH膜中。通过采用具有(SiR1)nNR2、(SiR1NR2)n或(SiR1(NR2)1.5)n结构式的化合物,(R1和R2是氢或选自烷基如甲基、环烃基如苯酚基、或乙烯基的基,n是1或更大的整数),在CVD工艺期间源化合物中的有机硅氮烷键可以保持在SiNCH膜中,并且SiNCH膜呈现减小的密度。
优选,用于淀积SiNCH膜的CVD工艺包括有机Si化合物的等离子体聚合反应工艺。还优选在其中有机Si化合物中的有机硅氮烷键基本上保持在SiNCH膜中的等离子体功率下进行等离子体聚合反应工艺。因此,有效地减小了SiNCH膜的密度和比介电常数。在通过有机Si化合物的热聚合反应工艺进行淀积SiNCH膜的步骤的情况下,需要设定温度,以使有机Si化合物中的有机硅氮烷键基本上保持在被淀积的SiNCH膜中。
在本发明中,还可以通过以下替换工艺淀积SiNCH膜:给前述有机Si化合物添加含有N的附加气体源如N2或NH3,形成附加气体源的等离子体,并且该将等离子体输送给反应室。根据这个工艺,只需要给有机源化合物提供小等离子体功率,并且有机Si化合物中的有机硅氮烷结构保持在氮化硅膜中。
在本发明中,还可以施加在层间绝缘膜上淀积导体层的工艺,以便经过开口填充凹部,并利用化学机械抛光工艺去掉位于层间绝缘膜上面的部分导体层。因此,优选导体层由Cu形成。由于氮化硅膜用做抵抗Cu的有效扩散阻挡层,因此这种结构可以有效地抑制Cu从Cu层扩散到相邻层间绝缘膜。此外,如此形成的氮化硅刻蚀停止膜具有优异的泄漏特性。
通过采用有机绝缘膜或掺杂F的SiO2膜作为层间绝缘膜,减小了层间绝缘膜的电容并减少了多层互连结构的总杂散电容。通过形成前述凹部以便包括互连凹槽或接触孔,可以形成各种复杂的互连图形。
本发明的另一目的是提供SiNCH体系的氮化硅膜,所述氮化硅膜中含有表示为CnHm的任意原子集团,所述原子集团与Si原子键合。
根据本发明,氮化硅膜中含有有机硅氮烷键,而有机硅氮烷键中又含有烃基。本发明的氮化硅膜具有低膜密度特性和低介电常数的相关特性。该原子集团可以是烷基、环烃基或乙烯基的任何一个。本发明的氮化硅膜具有优异粘接性和抵抗各种工艺如等离子体灰化工艺、干刻蚀工艺或湿刻蚀工艺的特性的有利特征。该氮化硅膜还用做有效扩散阻挡层并且其特征在于小漏电流。
本发明的再一目的是提供半导体器件,包括:
衬底;和
形成在所述衬底上的多层互连结构,
所述多层互连结构包括刻蚀停止膜、形成在所述刻蚀停止膜上的层间绝缘膜、形成在所述层间绝缘膜中的互连凹槽、形成在所述层间绝缘膜中并对应所述互连凹槽的接触孔、和填充所述互连凹槽和所述接触孔的导体层,
其中所述刻蚀停止膜包括SiNCH膜并且其中含有表示为CnHm的任意原子集团,所述原子集团与Si原子键合。
根据本发明,氮化硅膜中含有有机硅氮烷键,而有机硅氮烷键中又含有烃基。结果是,减小了氮化硅膜的密度并相应减小了介电常数。因此,减小了多层互连结构的杂散电容并提高了半导体器件的工作速度。对于原子集团,可采用氢、烷基、环烃基、或乙烯基的任何一种。本发明的氮化硅膜呈现优异的粘接性和抵抗等离子体灰化工艺、干刻蚀工艺或湿刻蚀工艺的特性。此外,本发明的氮化硅膜用做阻止金属元素扩散的有效扩散阻挡层。另外,本发明的氮化硅膜具有减小的漏电流的有利特征。
在结合附图阅读了下面的详细说明之后将使本发明的其它目的和附加特点更显然。
附图说明
图1是表示用在本发明第一实施例中的等离子体CVD装置的结构的示意图;
图2A和2B是表示用在本发明第一实施例中的有机硅氮烷键的例子的示意图;
图3A和3B是表示在本发明第一实施例中获得的氮化硅膜结构的例子的示意图;
图4A-4F是表示根据本发明第二实施例的半导体器件的制造工艺的示意图;
图5A-5E是表示根据本发明第三实施例的半导体器件的制造工艺的示意图;
图6A-6E是表示根据本发明第四实施例的半导体器件的制造工艺的示意图;
图7A-7E是表示根据本发明第五实施例的半导体器件的制造工艺的示意图;
图8A-8E是表示根据本发明第六实施例的半导体器件的制造工艺的示意图;
图9是表示根据本发明第七实施例的半导体器件的结构的示意图;
图10是表示第七实施例的半导体器件的制造工艺的示意图;
图11A-11F是表示常规半导体器件的制造工艺的示意图。
具体实施方式
(第一实施例)
图1表示用在本发明第一实施例中的等离子体CVD装置30的结构。
参见图1,等离子体CVD装置30包括由泵31C经过排气口31A和收集器(trap)31B抽真空的反应室31,其中反应室31中安装用于固定待处理衬底32A的工作台32。
在处理室31中,提供面对工作台32的簇射头(showerhead)33,其中从容器43给簇射头33输送液态有机Si源。更具体地说,容器34被He气体加压,并且其中的液态有机Si源作为第一气体源经过液体质量流控制器34A和喷雾器34B并与从管道34C输送的Ar载体气体一起输送给簇射头33。
此外,经过管道35给簇射头33输送作为第二气体源的NH3气体或N2气体,并且通过从射频电源36给其输送450KHz-60MHz的射频功率,在第一和第二气体源中进行等离子体激发。
通过形成等离子体,随着从簇射头33释放源材料,在反应室31中进行等离子体聚合反应,并且如此输送的源材料进行等离子体激发。作为等离子体激发的结果,在衬底32A的表面上淀积氮化硅膜。
在图1的结构中,应该注意到泵31C连接到洗涤器单元31D,泵31C的废气被洗涤器单元31D处理之后释放到环境中。
图2A和2B表示保持在源容器34中的示意有机Si源材料的结构式,其中图2A表示其中1,1,3,3,5,5,7,7-辛甲基环四硅氮烷(octamethylcyclotetrasilazane)用做有机Si源的情况。在图2A中,R1是甲基,R2是氢。因此,有机Si源具有Si4C8H28N4的结构式。另一方面,在图2B的例子中,六甲基二硅氮烷(Si2C6H19N)用做有机Si源。应该注意这些只是含有有机硅氮烷键的有机Si源的示意例子,硅氮烷键是用于其中含有Si-N-Si键的化合物一般术语。硅氮烷键是通过给Si-N-Si键添加烷基如甲基或乙基、或环烃基如苯基、或乙烯基获得的。
有机硅氮烷化合物的例子概括示于下列表I中。
表I
三甲基硅氮烷(Triethylsilazane) SiC6H17N
三丙基硅氮烷(Tripropylsilazane) SiC9H23N
三苯基硅氮烷(Triphenylsilazane) SiC18H17
二硅氮烷(Disilazane) Si2H7N
四甲基二硅氮烷(Tetramethyldisilazane) Si2C4H15N
六甲基二硅氮烷(Hexamethyldisilazane) Si2C6H19N
六乙基二硅氮烷(Hexaethvldisilazane) Si2C12H31N
六苯基二硅氮烷(Hexaphenyldisilazane) Si2C36H31N
 Heotamethyldisilazane Si2C7H21N
二丙基-四甲基二硅氮烷(Dipropyl-tetramethyldisilzne) Si2C10H27N
二(正)丁基-四甲基二硅氮烷(Di-n-butyl-tetranethyldisilazane) Si2C12H31N
二(正)辛基-四甲基二硅氮烷(Di-n-octyl-tetramethyldisilazane) Si2C20H47N
三乙基-三甲基环三硅氮烷(Triethyl-trimethylcyclotrlsilazane) Si3C9H27N3
六甲基环三硅氮烷(Hexamethylcyclotrisilazane) Si3C6H21N3
六乙基环三硅氮烷(Hexaethylcyclotrisilazane) Si3C12H33N3
六苯基环三硅氮烷(Hexaphenylcyclotrisilazane) Si3C36H33N3
辛甲基环四硅氮烷(Octamethylcyclotetrasilazane) Si4C8H28N4
辛乙基环四硅氮烷(Octaethylcyclotetrasilazane) Si4C16H44N4
四乙基-四甲基环四硅氮烷(Tetraethyl-tetramethylcyclotetrasilazane) Si4C12H36N4
氰基丙基甲基环硅氮烷(Cyanopropylmethylcyclosilazane) SiC5H10N2
四苯基二甲基二硅氮烷(Tetraphenyldimethyldisilazane) Si2C26H27N
二苯基-四甲基二硅氮烷(Diphenyl-tetramethyldisilazane) Si2C16H23N
三乙烯基-三甲基环三硅氮烷(Trivinyl-trimethylcyclotrisilazane) Si3C9H21N3
四乙烯基-四甲基环四硅氮烷(Tetravinyl-tetramethylcyclotetrasilazane) Si4C12H28N4
二乙烯基-四甲基二硅氮烷(Divinyl-tetramethyldisilazane) Si2C8H19N
参见图2A和2B,前述有机Si源含有具有甲基Me的有机硅氮烷键并具有由通式(SiR1)nR2或(SiR1NR2)n表示的成分,其中n是1或更大的整数,而R1和R2具有CmH2m+1(m是大于零的整数)的通式,并且可以是氢原子、烷基、环烃基如苯基或乙烯基的任何一种。
采用上述有机Si源作为源,在图1的CVD设备30中同时采用Si晶片做衬底32A在8英寸Si晶片上淀积氮化硅膜。氮化硅膜的淀积是在200-400℃的衬底温度下同时以27MHz的频率输送100-1000W的等离子体功率进行的。详细的条件概括列于表II中。
表II
    衬底温度     200-400℃
    等离子体功率     100-1000W/27MHz
    处理室压力     13.3Pa(100毫乇)
    有机Si源流速     0.1cc/min
    NH3流速     50SCCM
    Ar流速     200SCCM
    喷雾器温度     80-120℃
如此获得的氮化硅膜实际上是SiNCH膜并具有3.5-5.5的比介电常数。
应该注意到,鉴于普通等离子体SiN膜具有约7-8的比介电常数,该氮化硅膜的比介电常数的值已经下降到一半。通过在前述淀积工艺中利用100-300W的小等离子体功率,从簇射头33输送的汽化的有机Si化合物在反应室31中不完全分解,并且有机源中的有机硅氮烷键基本上保持在如此淀积在Si晶片上的氮化硅膜中。由于有机硅氮烷键的存在导致淀积的SiN膜的密度下降,还引起淀积的SiN膜的比介电常数减小。
图3A示出了利用FT-IR法观察到的如此形成的氮化硅膜的结构。
参见图3A,可以看到如此形成的氮化硅膜中保持从图2A和2B的有机硅氮烷键得到的Si-CH3或CH3的烃结构,而氮化硅膜中的烃结构减小了密度,由此减小了氮化硅膜的比介电常数。
图3A还示出了在与采用1000W的等离子体功率相比采用100W的等离子体功率时,对应Si-CH3键的峰值的相对高度相对于对应SiN键的峰值而增加。这个结果清楚地显示了与利用1000W等离子体功率形成的氮化硅膜相比利用100W的等离子体功率形成的氮化硅膜含有增加浓度的Si-CH3键。因此,推断出比介电常数的所希望的减小是由于淀积的氮化硅膜中的膜密度的减小造成的。
图3B显示了如此形成的氮化硅膜的示意结构。
参见图3B,该氮化硅膜除了常用的Si-N结构之外还包括一起形成网络结构的Si-CH3键、N-H键、Si-H键等。具有这种网络结构的氮化硅膜可以由有机硅氮烷键源同时适当选择例如等离子体功率等条件而形成。
应该注意到如此获得的SiNCH膜呈现相对于下层膜的优异粘接性。此外,SiNCH膜呈现抵抗等离子体灰化、干刻蚀和湿刻蚀的优异特性。因此,本发明的SiNCH膜可以成功地用在多层互连结构中。
应该注意到表II中只是示出了典型例子,还可以通过在50-2000W范围内调整等离子体功率、在从室温到500℃的范围内调整衬底温度、在1.33-1.33kPa(10毫乇-10乇)范围内调整处理压力、或在0.001-10cc/min范围内调整液态有机Si源的输送速度来形成本发明的SiNCH膜。
此外,还可以通过热解CVD工艺形成SiNCH膜。例如,这种热解CVD工艺可以在图2的等离子体CVD设备30中进行而不用激发射频电源36。
在这种情况下,衬底温度设定得比在等离子体CVD工艺中采用的衬底温度高。然而,衬底温度应该不超过600℃。否则,包含在有机Si源中的有机硅氮烷键不会保持在SiNCH膜中。
[第二实施例]
图4A-4F表示根据本发明第二实施例的具有多层互连结构的半导体器件的制造工艺,其中对应前述部件的那些部件利用相同的参考标记表示并省略其说明。
图4A对应前述图11A的步骤,并且形成相同的叠层结构,除了在表II条件下利用图2的等离子体CVD设备由图2A的有机Si源形成的SiNCH膜代替刻蚀停止膜13用于刻蚀停止膜之外。
在图4B的步骤中,采用光刻胶图形18作掩模,对层间绝缘膜14进行干刻蚀,并在层间绝缘膜14中形成对应光刻胶开口18A的开口。形成开口之后,去掉光刻胶图形18。
接着,在图4C的步骤中,重新在图4B的结构上形成光刻胶膜19,其中然后利用光刻构图工艺对光刻胶膜19进行构图以形成光刻胶开口19A,其对应要在多层互连结构中形成的互连图形。
接着,在图4D的步骤中,采用光刻胶膜19做掩模的同时,在由光刻胶开口19A露出的部分中利用干刻蚀工艺刻蚀掉层间绝缘膜14,之后去掉光刻胶膜19本身。作为前述干刻蚀工艺和光刻胶去除工艺的结果,在开口14A的底部露出SiNCH膜23。
随后,在图4E的步骤中,对如此获得的结构进行干刻蚀工艺,并在SiNCH膜23中对应开口14A形成开口14B。
此外,在图4F的步骤中,利用Ta、TaN、Ta/TaN、TiN、WN等的阻挡金属层(未示出)覆盖由开口14B形成的互连凹槽和由开口14A形成接触孔,然后用导体层如Cu层填充。通过利用CMP工艺去除覆盖层间绝缘膜14的导体层,获得在接触孔14A与下层互连图形14B接触的导体图形20,如图6F所示。
对于层间绝缘膜14,可以采用无机低介电性能绝缘膜,如掺杂F的SiO2膜、HSQ膜如SiOH膜或多孔绝缘膜。或者,可以采用低介电性能有机绝缘膜如有机SOG膜或芳香族低介电性能有机绝缘膜用于层间绝缘膜14。当然,可以采用常规CVD-SiO2膜或SOG膜用于层间绝缘膜14。通过采用低介电性能无机或有机绝缘膜用于多层互连结构中的层间绝缘膜14,可以减小多层互连结构的总介电常数并提高半导体器件的工作速度。
应该注意到本例的SiNCH膜23具有各种特性,如优异的粘接性、优异的抗干刻蚀性、作为阻挡Cu的扩散阻挡层的优异性能、低漏电流等,适合用在高速半导体器件的多层互连结构中。
[第三实施例]
图5A-5E是表示根据本发明第三实施例的半导体器件的制造工艺的示意图,其中对应前述部件的那些部件用相同的参考标记表示,并省略其说明。
参见图5A,该步骤基本上与前述图4A的步骤相同,除了还提供层间绝缘膜16和SiNCH膜25和27之外。
更具体地说,图5A的叠层结构除了形成在Si衬底10层上的间绝缘膜11和形成在层间绝缘膜11上的互连层12之外还包括SiNCH膜23、层间绝缘膜14、SiNCH膜25、层间绝缘膜16和SiNCH膜27,以便膜23-27连续堆叠在一起,并且在如此形成的叠层结构上提供具有光刻胶开口18A的光刻胶图形。与前述实施例相同,光刻胶开口18A要形成在多层互连结构中的对应接触孔。
接着,在图5B的步骤中,采用光刻胶图形18作掩模,对SiNCH膜27进行干刻蚀工艺,并在其中对应光刻胶开口18A形成开口(未示出)。
如此形成的开口露出下层层间绝缘膜16的一部分,并且对层间绝缘膜16的露出部分进行干刻蚀工艺。结果是,在层间绝缘膜16中对应光刻胶开口18A形成开口,以便露出一部分下层SiNCH膜25。通过对如此形成的SiNCH膜25进行干刻蚀工艺,对应光刻胶开口18A形成露出下层层间绝缘膜14的开口。
此外,通过对如此露出的层间绝缘膜14进行干刻蚀工艺,在层间绝缘膜14中对应光刻胶开口18A形成开口14A。如此形成的开口14A连续通过SiNCH膜27、层间绝缘膜16、SiNCH膜25和层间绝缘膜14延伸,并在其底部露出SiNCH膜23。
接下来,在图5C的步骤中,除去光刻胶膜18,并利用旋涂工艺在图5B的结构上形成另一光刻胶膜19,以便光刻胶膜19填充开口14A,并且在图5D的步骤中对光刻胶膜19进行光刻构图工艺。结果是,在光刻胶膜19中形成光刻胶开口19A,其对应要形成在多层互连结构中的互连凹槽。
接着,在图5E的步骤中,采用光刻胶膜19作掩模,在由光刻胶开口19A露出的部分中对SiNCH膜27进行干刻蚀工艺,在SiNCH膜27中对应光刻胶开口19A形成开口,以便该开口露出下层层间绝缘膜16中。然后对如此露出的层间绝缘膜16进行干刻蚀工艺,直到露出下层SiNCH膜25为止。结果是,在层间绝缘膜16中形成开口16A,其对应要在多层互连结构中对应光刻胶开口19A形成的互连凹槽。之后,除去光刻胶开口19A。
应该注意到用于形成开口18A的干刻蚀工艺由于露出SiNCH膜25而停止。然后通过除去露出的SiNCH膜27、25和23并用导体层如Cu层填充开口16A和14A,获得前面参照图4F说明的多层互连结构。
在本例中,还可以采用任何低介电性能无机绝缘膜如掺杂F的SiO2膜、HSQ膜如SiOH膜或多孔膜,或者低介电性能有机绝缘膜,如有机SOG膜或芳香族有机绝缘膜用于层间绝缘膜14和16。在本例的多层互连结构中,总介电常数减少了,并提高了半导体器件的工作速度。
在本例中,SiNCH膜23、25和27的特征在于低的比介电常数、优异粘接性、优异抗干刻蚀特性、作为Cu的扩散阻挡层的优异性能、和低漏电流。因此,本发明的SiNCH膜用在高速半导体器件的多层互连结构中是很理想的。
[第四实施例]
图6A-6E表示根据本发明第四实施例的半导体器件的制造工艺,其中对应前述部件的那些部件用相同的参考标记表示并省略其说明。
参见图6A,该步骤基本上与图5A的步骤相同,并在Si衬底10上形成层叠体,其中利用其上承载互连图形12的层间绝缘膜11覆盖Si衬底10。此外,SiNCH膜23、层间绝缘膜14、SiNCH膜25、层间绝缘膜16和SiNCH膜27连续堆叠在互连层12上。在本例中,在多层互连结构上提供光刻胶图形28,其中光刻胶图形28包括光刻胶开口28A,其对应要形成在多层互连结构中的互连图形。
在图6B的步骤中,采用光刻胶图形28作掩模,对SiNCH膜27进行干刻蚀工艺,在SiNCH膜27中对应光刻胶开口28A形成开口,以便如此形成的开口暴露出在SiNCH膜27下面形成的层间绝缘膜16。对如此露出的层间绝缘膜16进行干刻蚀工艺,并在对应光刻胶开口28A的层间绝缘膜16中形成对应要形成的互连凹槽的开口16A,以便露出下层SiNCH膜25。
接着,在图6C的步骤中除去光刻胶膜28,并在图6B的结构上重新形成光刻胶膜29,以便光刻胶膜29填充开口16A。此外,在图6D的步骤中利用光刻工艺对光刻胶膜29构图,以便在光刻胶膜29中形成光刻胶开口29A,其对应要形成在多层互连结构中的接触孔。
接下来,在图6E的步骤中,采用光刻胶图形29作掩模,对由光刻胶开口29A露出的一部分SiNCH膜25进行干刻蚀工艺,并在SiNCH膜25中对应光刻胶开口29A形成开口,以便露出下层层间绝缘膜14。之后,除去光刻胶图形29,并采用SiNCH膜27和25作硬掩模对层间绝缘膜14进行干刻蚀工艺。结果是,在层间绝缘膜14中对应光刻胶开口29A形成开口14A,并对应要形成在多层互连结构中的接触孔。
用于形成开口14A的干刻蚀工艺由于露出SiNCH膜23而停止。之后,除去露出的SiNCH膜27、25和23,并用导体层如Cu层填充开口16A和14A。结果是,获得参照图6F说明的多层互连结构。
在本例中,还可以采用任何无机低介电性能绝缘膜如掺杂F的SiO2膜、HSQ膜如SiOH膜或多孔膜,或者有机低介电性能绝缘膜,如有机SOG膜或芳香族有机绝缘膜。结果是,本例的多层互连结构具有总介电常数减少了和半导体器件的工作速度基本上提高了的优点。
在本例中,SiNCH膜23、25和27的特征在于低的比介电常数、优异粘接性、优异抗干刻蚀特性、作为Cu的扩散阻挡层的优异性能和低漏电流。因此,本发明的SiNCH膜用在高速半导体器件的多层互连结构中是很理想的。
[第五实施例]
图7A-7E表示根据本发明第五实施例的半导体器件的制造工艺,其中对应前述部件的那些部件用相同的参考标记表示并省略其说明。
参见图7A,与前述实施例相同,在Si衬底10上形成叠层结构,其中Si衬底10上承载层间绝缘膜11,层间绝缘膜12上承载互连层12。在互连层12上,连续堆叠SiNCH膜23、层间绝缘膜14和SiNCH膜25,其中SiNCH膜25上承载光刻胶图形,该光刻胶图形具有对应要形成在多层互连结构中的接触孔的光刻胶开口41A。
光刻胶开口41A露出SiNCH膜25,因此对SiNCH膜25进行干刻蚀工艺。结果是,在SiNCH膜25中对应光刻胶开口41A形成开口25A。
接着,在图7B的步骤中,在SiNCH膜25上淀积层间绝缘膜16,以便填充开口25A,接着在层间绝缘膜16上淀积SiNCH膜27。
然后,在图7C的步骤中,在SiNCH膜27上施加光刻胶膜42,其中利用光刻工艺在图7D的步骤中对光刻胶膜42进行构图,以便在光刻胶膜42中对应要形成在多层互连结构中的互连图形形成开口42A。
接下来,在图7E的步骤中,采用光刻胶膜42作掩模,对在开口42A露出的SiNCH膜27的露出部分进行干刻蚀工艺,直到露出下层层间绝缘膜16为止。
接着,对层间绝缘膜16进行干刻蚀工艺,并且在层间绝缘膜16中对应前述光刻胶开口42A形成开口16A,其还对应要形成的互连凹槽。应该注意层间绝缘膜16的干刻蚀工艺由于在其中形成SiNCH膜25的部分中露出SiNCH膜25而停止,而在其中在膜25中形成开口25A的部分中,干刻蚀工艺通过开口25A进行到下层层间绝缘膜14内部,并在层间绝缘膜14中对应前述开口25A并因此对应要形成在多层互连结构中的接触孔形成开口14A。
用于形成开口14A的干刻蚀工艺由于露出SiNCH膜23而停止。随后,除去露出的SiNCH膜27、25和23并用Cu等的导体层填充开口16A和14A。结果是,获得参照图6F说明的多层互连结构。
在本例中,还可以采用任何无机低介电性能绝缘膜如掺杂F的SiO2膜、HSQ膜如SiOH膜或多孔膜,或者有机低介电性能绝缘膜,如有机SOG膜或芳香族有机绝缘膜用于层间绝缘膜14和16。由此,减小了该多层互连结构的总介电常数并提高了半导体器件的工作速度。
在本例中,SiNCH膜23、25和27具有各种优选的特性,如低的比介电常数、优异粘接性、优异抗干刻蚀特性、作为Cu的扩散阻挡层的优异性能和低漏电流,因此,本发明的SiNCH膜用在高速半导体器件的多层互连结构中是很理想的。
[第六实施例]
图8A-8E是表示根据本发明第六实施例的具有多层互连结构的半导体器件的制造工艺的示意图,该制造工艺采用了所谓的成组硬掩模,其中对应前述部件的那些部件用相同的参考标记表示并省略其说明。
在本例中,依次堆叠SiNCH膜23、层间绝缘膜14、SiNCH膜25、层间绝缘膜16和SiNCH膜27,与前述实施例一样。此外,利用等离子体CVD工艺或旋涂工艺在SiNCH膜27上形成SiO2膜43,用包括对应要形成在多层互连结构中的接触孔的光刻胶开口18A的光刻胶膜18覆盖如此形成的SiO2膜43。SiNCH膜27和SiO2膜43一起形成成组掩模。
在图8A的步骤中,采用光刻胶膜18作掩模,对SiO2膜进行干刻蚀工艺,并在SiO2膜43中对应光刻胶开口18A形成开口,以便露出位于SiO2膜43下面的SiNCH膜27。此外,对如此露出的SiNCH膜27进行干刻蚀工艺,并在SiNCH膜27中对应光刻胶开口18A形成开口27A,以便露出层间绝缘膜16,如图8B所示。
在图8B的步骤中,在SiO2膜43上形成具有对应要形成在多层互连结构中的互连凹槽的光刻胶开口19A的光刻胶膜19,以便露出SiO2膜43,其中在图8C中用光刻胶膜19作掩模并利用干刻蚀工艺去掉如此露出的SiO2膜43。因此,应该注意到SiNCH膜27用做开蚀停止层,结果是,在SiO2膜43中对应光刻胶开口19A形成开口43A,以便露出SiNCH膜27。
在图8C的步骤中,层间绝缘膜16的干刻蚀和在开口27A中的SiO2膜43的干刻蚀工艺同时进行,结果是,在多层互连结构16中形成对应开口17A的开口16A。在该步骤中,SiNCH膜27用做硬掩模。在开口16A中,露出SiNCH膜25。
接着,在图8D的步骤中,利用干刻蚀工艺去掉在开口43A露出的SiNCH膜27和在开口16A露出的SiNCH膜25,在开口43A露出层间绝缘膜16。同样,在开口16A露出层间绝缘膜14。
接下来,在图8E的步骤中,用干刻蚀工艺去掉在开口43A露出的层间绝缘膜16的露出部分和在开口16A露出的层间绝缘膜14的露出部分,并在层间绝缘膜16中对应光刻胶开口19A并由此对应要形成的互连凹槽形成开口16B。同样,在层间绝缘膜14中对应光刻胶开口18A并由此对应要形成的接触孔形成开口14A。
此外,在图8E的步骤中去掉露出的SiNCH膜27、25和23,并用Cu导电层填充开口16A和14A。由此,获得参照图6F说明的多层互连结构。
在本例中,SiNCH膜23、25和27还具有低比介电常数、优异粘接性、优异抗干刻蚀特性、作为Cu的扩散阻挡层的优异特性、和低漏电流的有利特征。因此,本发明的SiNCH膜用在高速半导体器件的多层互连结构中是很理想的。
[第七实施例]
图9表示根据本发明第七实施例的半导体器件50的结构。
参见图9,该半导体器件包括承载有源器件(未示出)的Si衬底51,其中Si衬底51承载覆盖有源器件的绝缘膜52。在绝缘膜52上,形成第一层互连图形53A,在绝缘膜52上形成层间绝缘膜53以覆盖互连图形53A。此外,层间绝缘膜53上承载第二层互连图形54A,并在层间绝缘膜53上形成互连图形54以覆盖第二层互连图形54A。用氮化硅钝化膜55覆盖层间绝缘膜54的表面。
图10表示形成氮化硅钝化膜55的工艺。
参见图10,在形成层间绝缘膜54时,在步骤1中将半导体器件50引入到旋涂器单元中。由此,在层间绝缘膜54的表面上对应钝化膜55形成有机硅氮烷化合物的旋涂膜,例如成分为(SiH2NH)n(其中n是1或更大的整数)的化合物膜。在步骤1中,在100℃或以下的温度下对如此形成的旋涂膜进行烘焙工艺,用于去掉溶剂,结果是获得稳定的氮化硅膜。
另一方面,在图10的步骤1中获得的氮化硅膜必然含有氧,因此本发明的工艺进行到步骤2,其中将半导体器件50安装到等离子体处理装置如图2的等离子体CVD装置中。在那里,利用含有NH3、N2、H2等的等离子气体处理氮化硅膜的表面,并且该膜中的氧部分地被氮代替。由此,在聚合反应在旋涂膜55中完成之前,本例进行了步骤2的等离子体处理。
作为这种等离子体处理的结果,氮化硅膜55被转换成具有表示为SiNCH或SiONCH的化学式的膜。如此获得的膜具有优异的抗温度特性和抗化学物质的特性。
通常,通过在步骤1之后在N2气氛中进行热处理,可以获得氮氧化物膜。然而,用于转换该膜的工艺需要400℃或更高的高温。此外,尽管使用这种高温,膜质量的转换也不充分。
在本发明中,应该注意到步骤2的等离子体处理是在完成旋涂膜55中的聚合反应之前进行的。因此,可以在低温实现有效表面改性反应。应该注意到,可以采用NH3和SiH4作为等离子体气体在350℃或以下的衬底温度下同时采用100-1000W的等离子体功率进行这种等离子体处理。优选,设定等离子体处理的进行,以便减少膜55中的OH基,并增加N键的比例。
在本例中,应该注意到步骤1的烘焙工艺是在100℃或以下的温度下进行的,因此在聚合反应在旋涂膜55中完成之前进行步骤2的工艺。此外,优选采用单个晶片处理装置,以便连续进行步骤1和步骤2。
应该注意到步骤2的工艺不限于等离子体处理,还可以是在含有N或H的气氛中进行的热处理。例如,可以在含有NH3或N2和H2的气氛中在400℃或更高的温度下进行步骤2的热处理。
此外,本发明不限于上述实施例,在不脱离本发明的范围的情况下还可以做出各种改变和修改。
工业实用性
根据本发明,通过在CVD源中的有机硅氮烷键保持在膜中的条件下进行其中含有有机硅氮烷键的有机Si化合物的CVD工艺,可以获得SiNCH体系的氮化硅膜。如此形成的氮化硅膜的特征在于低密度和低比介电常数。此外,如此获得氮化硅膜具有优异的粘接性和抗刻蚀性的有利特征并作为抵抗金属元素如Cu等的有效扩散阻挡层的特性。通过采用本发明的氮化硅膜可以形成具有小杂散电容的多层互连结构。

Claims (27)

1、一种形成氮化硅膜的方法,包括以下步骤:
将衬底引入反应室中;
将其中具有有机硅氮烷键的有机Si化合物输送到所述处理室中,作为气体源;
利用CVD工艺,在所述衬底的表面上利用所述气体源淀积含有Si、N、C和H作为基本构成元素的SiNCH膜。
2、根据权利要求1的方法,其中所述有机Si化合物具有(SiR1)nNR2、(SiR1NR2)n或(SiR1(NR2)1.5)n的任何的结构式,其中n是1或更大的整数,R1和R2各是氢或选自烷基如甲基、环烃基如苯酚基或乙烯基的基。
3、根据权利要求1的方法,其中进行所述CVD工艺,以便所述有机Si化合物中的所述硅氮烷键基本上保持在所述SiNCH膜中。
4、根据权利要求1的方法,其中淀积所述SiNCH膜的所述步骤包括所述有机Si化合物的等离子体聚合工艺。
5、根据权利要求1的方法,其中所述等离子体聚合工艺是在其中所述有机Si化合物中的所述硅氮烷键基本上保持在所述SiNCH膜中的等离子体功率下进行的。
6、根据权利要求1的方法,其中淀积SiNCH膜的所述步骤是通过所述有机Si化合物的热聚合工艺进行的。
7、根据权利要求1的方法,其中所述热聚合工艺是在如此设定的温度下进行的,即所述有机Si化合物中的所述有机硅氮烷键基本上保持在所述淀积的SiNCH膜中。
8、根据权利要求1的方法,还包括给所述有机Si化合物输送含有N的附加气体源的步骤,其中淀积所述SiNCH膜的所述步骤包括形成所述附加气体源的等离子体的步骤,和将所述等离子体输送到所述反应室中的步骤。
9、一种制造半导体器件的方法,包括以下步骤:
在衬底上形成刻蚀停止膜;
在所述刻蚀停止膜上淀积层间绝缘膜;
构图所述层间绝缘膜以形成开口;
刻蚀所述层间绝缘膜,以便在所述层间绝缘膜中对应所述开口形成凹部;和
利用刻蚀工艺从所述开口选择刻蚀所述刻蚀停止膜,
淀积所述刻蚀停止膜的所述步骤包括:
将衬底引入到处理装置的反应室内;
给所述反应室内输送其中含有有机硅氮烷键的有机Si化合物;和
在所述处理室中,利用CVD工艺在所述衬底的表面上由所述有机Si化合物淀积SiNCH膜,作为所述刻蚀停止膜。
10、根据权利要求9的方法,其中所述有机Si化合物具有(SiR1)nNR2、(SiR1NR2)n或(SiR1(NR2)1.5)n的结构式,其中n是1或更大的整数,R1和R2各是氢或选自烷基如甲基、环烃基如苯酚基、或乙烯基的基。
11、根据权利要求9的方法,其中淀积所述SiNCH膜的所述步骤是如此进行的,使得所述有机Si化合物中的所有硅氮烷键基本上保持在所述SiNCH膜中。
12、根据权利要求9的方法,其中淀积所述SiNCH膜的所述步骤包括所述有机Si化合物的等离子体聚合工艺。
13、根据权利要求12的方法,其中所述等离子体聚合工艺是在其中所述有机Si化合物中的所述硅氮烷键基本上保持在所述SiNCH膜中的等离子体功率下进行的。
14、根据权利要求9的方法,其中淀积SiNCH膜的所述步骤包括所述有机Si化合物的热聚合工艺。
15、根据权利要求14的方法,其中所述热聚合工艺是在这样的温度下进行的,使得所述有机Si化合物中的所述有机硅氮烷键基本上保持在所述淀积的SiNCH膜中。
16、根据权利要求9的方法,还包括除了所述有机Si化合物之外还给所述反应室输送含有N的附加气体源的步骤,其中形成所述SiNCH膜的所述步骤包括形成所述附加气体源的等离子体的步骤,和将所述等离子体输送到所述反应室中的步骤。
17、根据权利要求9的方法,还包括在所述层间绝缘膜上淀积导体层的步骤,以便经过所述开口填充所述凹部,并利用化学机械抛光工艺去掉位于所述层间绝缘膜上面的一部分所述导体层的步骤。
18、根据权利要求17的方法,其中所述导体层包括Cu层。
19、根据权利要求9的方法,其中所述层间绝缘膜包括有机绝缘膜或无机绝缘膜。
20、根据权利要求9的方法,其中所述层间绝缘膜包括有机硅氧化物膜或掺杂F的SiO2膜。
21、根据权利要求9的方法,其中所述凹部包括互连凹槽和接触孔。
22、一种氮化硅膜,包括Si、N、C和H作为基本构成元素,
所述氮化硅膜具有由CnHm表示的任意原子集团,所述原子集团键合到Si原子上。
23、根据权利要求22的氮化硅膜,其中所述原子集团选自烷基、环烃基和乙烯基。
24、一种半导体器件,包括:
衬底;和
形成在所述衬底上的多层互连结构,
所述多层互连结构包括刻蚀停止膜、形成在所述刻蚀停止膜上的层间绝缘膜、形成在所述层间绝缘膜中的互连凹槽、形成在所述层间绝缘膜中并对应所述互连凹槽的接触孔、和填充所述互连凹槽和所述接触孔的导体图形,
其中所述刻蚀停止膜包括SiNCH膜并且其中含有由CnHm表示的任意原子集团,所述任意原子集团键合到Si原子上。
25、根据权利要求24所述的半导体器件,其中所述原子集团选自氢、烷基、环烃基如苯基或乙烯基。
26、根据权利要求24的半导体器件,其中所述SiNCH膜中含有有机硅氮烷键。
27、根据权利要求26的半导体器件,其中所述SiNCH膜中含有环硅氮烷键。
CNB018140505A 2000-08-18 2001-08-16 低介电氮化硅膜的形成方法和半导体器件及其制造工艺 Expired - Fee Related CN100431110C (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2000248922 2000-08-18
JP2000-248922 2000-08-18
JP2000248922 2000-08-18

Publications (2)

Publication Number Publication Date
CN1446374A true CN1446374A (zh) 2003-10-01
CN100431110C CN100431110C (zh) 2008-11-05

Family

ID=18738734

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB018140505A Expired - Fee Related CN100431110C (zh) 2000-08-18 2001-08-16 低介电氮化硅膜的形成方法和半导体器件及其制造工艺

Country Status (9)

Country Link
US (1) US6890869B2 (zh)
EP (1) EP1316108B9 (zh)
JP (1) JP4048112B2 (zh)
KR (1) KR100533198B1 (zh)
CN (1) CN100431110C (zh)
AU (1) AU2001278749A1 (zh)
DE (1) DE60127973T2 (zh)
TW (1) TW554442B (zh)
WO (1) WO2002017374A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7728065B2 (en) 2006-02-14 2010-06-01 Fujitsu Limited Material for forming exposure light-blocking film, multilayer interconnection structure and manufacturing method thereof, and semiconductor device
CN115537781A (zh) * 2022-10-27 2022-12-30 上海埃延半导体有限公司 一种弥漫层流反应腔体及控制方法

Families Citing this family (314)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020086547A1 (en) * 2000-02-17 2002-07-04 Applied Materials, Inc. Etch pattern definition using a CVD organic layer as an anti-reflection coating and hardmask
JP2004111538A (ja) * 2002-09-17 2004-04-08 Fujitsu Ltd 半導体装置、半導体装置の製造方法と評価方法、及びプロセス条件評価方法
JP3898133B2 (ja) 2003-01-14 2007-03-28 Necエレクトロニクス株式会社 SiCHN膜の成膜方法。
JP4068072B2 (ja) * 2003-01-29 2008-03-26 Necエレクトロニクス株式会社 半導体装置及びその製造方法
JP4746829B2 (ja) * 2003-01-31 2011-08-10 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US7001844B2 (en) * 2004-04-30 2006-02-21 International Business Machines Corporation Material for contact etch layer to enhance device performance
US7129187B2 (en) * 2004-07-14 2006-10-31 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
TWI263103B (en) * 2004-12-29 2006-10-01 Au Optronics Corp Copper gate electrode of liquid crystal display device and method of fabricating the same
TWI273329B (en) * 2004-12-29 2007-02-11 Au Optronics Corp Copper gate electrode of liquid crystal display device and method of fabricating the same
US7718553B2 (en) * 2006-09-21 2010-05-18 Asm Japan K.K. Method for forming insulation film having high density
US7749802B2 (en) * 2007-01-09 2010-07-06 International Business Machines Corporation Process for chemical vapor deposition of materials with via filling capability and structure formed thereby
US7781352B2 (en) * 2007-06-06 2010-08-24 Asm Japan K.K. Method for forming inorganic silazane-based dielectric film
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
DE102008016425B4 (de) * 2008-03-31 2015-11-19 Advanced Micro Devices, Inc. Verfahren zur Strukturierung einer Metallisierungsschicht durch Verringerung der durch Lackentfernung hervorgerufenen Schäden des dielektrischen Materials
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8765233B2 (en) * 2008-12-09 2014-07-01 Asm Japan K.K. Method for forming low-carbon CVD film for filling trenches
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
KR100953736B1 (ko) * 2009-07-27 2010-04-19 주식회사 아토 증착 장치 및 반도체 소자의 제조 방법
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101732187B1 (ko) * 2009-09-03 2017-05-02 에이에스엠 저펜 가부시기가이샤 플라즈마 강화된 화학기상 증착법에 의해 규소-질소 결합을 갖는 등각성 유전체 막을 형성하는 방법
US8912353B2 (en) 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
JP5874230B2 (ja) * 2010-08-27 2016-03-02 東ソー株式会社 封止膜材料、封止膜及び用途
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6318433B2 (ja) * 2013-11-28 2018-05-09 大陽日酸株式会社 シリコン窒化膜の形成方法及びシリコン窒化膜
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
JP6236709B2 (ja) * 2014-10-14 2017-11-29 大陽日酸株式会社 シリコン窒化膜の製造方法及びシリコン窒化膜
KR102332415B1 (ko) 2014-10-24 2021-12-01 버슘머트리얼즈 유에스, 엘엘씨 실리콘-함유 막을 증착시키기 위한 조성물 및 이를 사용하는 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9859156B2 (en) * 2015-12-30 2018-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnection structure with sidewall dielectric protection layer
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10559459B2 (en) 2016-03-11 2020-02-11 Taiyo Nippon Sanso Corporation Method for producing silicon nitride film and silicon nitride film
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US11679412B2 (en) 2016-06-13 2023-06-20 Gvd Corporation Methods for plasma depositing polymers comprising cyclic siloxanes and related compositions and articles
WO2017218561A1 (en) 2016-06-13 2017-12-21 Gvd Coproraton Methods for plasma depositing polymers comprising cyclic siloxanes and related compositions and articles
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE4212501C1 (en) * 1992-04-14 1993-08-05 Fraunhofer-Gesellschaft Zur Foerderung Der Angewandten Forschung Ev, 8000 Muenchen, De Deposition of silicon nitride polymer layer on substrate - using linear or cyclic silazane in gas, giving good quality and high coating ratio
JPH06244172A (ja) * 1993-02-18 1994-09-02 Toray Ind Inc 多層配線構成体
JP2641385B2 (ja) * 1993-09-24 1997-08-13 アプライド マテリアルズ インコーポレイテッド 膜形成方法
US5413813A (en) * 1993-11-23 1995-05-09 Enichem S.P.A. CVD of silicon-based ceramic materials on internal surface of a reactor
FR2759362B1 (fr) * 1997-02-10 1999-03-12 Saint Gobain Vitrage Substrat transparent muni d'au moins une couche mince a base de nitrure ou d'oxynitrure de silicium et son procede d'obtention
JPH1116904A (ja) * 1997-06-26 1999-01-22 Mitsubishi Electric Corp 半導体装置及びその製造方法
US6045877A (en) * 1997-07-28 2000-04-04 Massachusetts Institute Of Technology Pyrolytic chemical vapor deposition of silicone films
US6383955B1 (en) * 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
TW437017B (en) * 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
TW410455B (en) * 1998-02-16 2000-11-01 United Microelectronics Corp Forming method for dual damascene structure
US6635583B2 (en) * 1998-10-01 2003-10-21 Applied Materials, Inc. Silicon carbide deposition for use as a low-dielectric constant anti-reflective coating
GB0001179D0 (en) * 2000-01-19 2000-03-08 Trikon Holdings Ltd Methods & apparatus for forming a film on a substrate
JP3819660B2 (ja) * 2000-02-15 2006-09-13 株式会社日立国際電気 半導体装置の製造方法および半導体製造装置
EP1130633A1 (en) * 2000-02-29 2001-09-05 STMicroelectronics S.r.l. A method of depositing silicon oxynitride polimer layers
US6958123B2 (en) * 2001-06-15 2005-10-25 Reflectivity, Inc Method for removing a sacrificial material with a compressed fluid
US6391803B1 (en) * 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US6605540B2 (en) * 2001-07-09 2003-08-12 Texas Instruments Incorporated Process for forming a dual damascene structure
US6617690B1 (en) * 2002-08-14 2003-09-09 Ibm Corporation Interconnect structures containing stress adjustment cap layer

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7728065B2 (en) 2006-02-14 2010-06-01 Fujitsu Limited Material for forming exposure light-blocking film, multilayer interconnection structure and manufacturing method thereof, and semiconductor device
CN101021680B (zh) * 2006-02-14 2010-09-29 富士通株式会社 形成曝光光线阻挡膜的材料、多层互连结构及其制造方法以及半导体器件
US7830012B2 (en) 2006-02-14 2010-11-09 Fujitsu Limited Material for forming exposure light-blocking film, multilayer interconnection structure and manufacturing method thereof, and semiconductor device
CN115537781A (zh) * 2022-10-27 2022-12-30 上海埃延半导体有限公司 一种弥漫层流反应腔体及控制方法

Also Published As

Publication number Publication date
US6890869B2 (en) 2005-05-10
DE60127973T2 (de) 2008-01-17
EP1316108B9 (en) 2007-10-03
JP4048112B2 (ja) 2008-02-13
AU2001278749A1 (en) 2002-03-04
KR20030064743A (ko) 2003-08-02
KR100533198B1 (ko) 2005-12-05
JP2004507108A (ja) 2004-03-04
US20030162412A1 (en) 2003-08-28
EP1316108A4 (en) 2005-10-26
EP1316108B1 (en) 2007-04-18
CN100431110C (zh) 2008-11-05
DE60127973D1 (de) 2007-05-31
EP1316108A1 (en) 2003-06-04
WO2002017374A1 (en) 2002-02-28
TW554442B (en) 2003-09-21

Similar Documents

Publication Publication Date Title
CN1446374A (zh) 低介电氮化硅膜及其制造方法和半导体器件及其制造工艺
KR102446511B1 (ko) 리모트 플라즈마 처리를 사용한 실리콘 카바이드 막의 치밀화
KR102406467B1 (ko) 등급화된 실리콘 카바이드 막 또는 다층 실리콘 카바이드 막의 리모트 플라즈마 기반 증착
US8536073B2 (en) Hardmask materials
KR100751990B1 (ko) 극저 유전 상수를 갖는 박막을 캡핑하는 방법 및 이로부터 제조된 기판
US8178443B2 (en) Hardmask materials
KR101798235B1 (ko) 하드마스크 물질
US7964442B2 (en) Methods to obtain low k dielectric barrier with superior etch resistivity
CN1160766C (zh) 半导体器件及其制造方法
CN1518075A (zh) 有机绝缘膜、其制造方法、使用该有机绝缘膜的半导体器件及其制造方法
CN1222030C (zh) 制造具有碳化硅膜的半导体器件的方法
CN1950932A (zh) 用于制造在制成的半导体器件和电子器件内用作层内或层间电介质的超低介电常数材料的改进方法
CN1754251A (zh) 改善层间附着的方法
JP2012504867A (ja) 窒化ケイ素系膜又は炭化ケイ素系膜を形成する方法
CN1930669A (zh) 改善低k电介质粘附性的等离子体处理方法
CN1961418A (zh) 改善低k电介质对导电材料粘附性的方法
JP2009135450A (ja) トレンチ内に誘電層を形成する方法
CN1644753A (zh) 低介电常数层间介质薄膜及其形成方法
US20110275507A1 (en) Method for forming a dielectric film and novel precursors for implementing said method
CN1507015A (zh) 低介电常数材料以及化学气相沉积(cvd)制备方法
CN1871696A (zh) 绝缘膜的形成方法及其形成***、半导体装置的制造方法
CN1617310A (zh) 旋涂玻璃组合物和在半导体制造工序中使用该旋涂玻璃形成氧化硅层的方法
US20060115980A1 (en) Method for decreasing a dielectric constant of a low-k film
CN1739191A (zh) 无氮介电防反射涂层和硬掩模

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20081105

Termination date: 20130816