CN1908228A - 形成含硅的绝缘膜的方法和装置 - Google Patents

形成含硅的绝缘膜的方法和装置 Download PDF

Info

Publication number
CN1908228A
CN1908228A CNA2006101083702A CN200610108370A CN1908228A CN 1908228 A CN1908228 A CN 1908228A CN A2006101083702 A CNA2006101083702 A CN A2006101083702A CN 200610108370 A CN200610108370 A CN 200610108370A CN 1908228 A CN1908228 A CN 1908228A
Authority
CN
China
Prior art keywords
gas
treatment zone
supply
film
valve
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2006101083702A
Other languages
English (en)
Other versions
CN1908228B (zh
Inventor
长谷部一秀
冈田充弘
周保华
小川淳
金採虎
福岛讲平
高桥俊树
佐藤润
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN1908228A publication Critical patent/CN1908228A/zh
Application granted granted Critical
Publication of CN1908228B publication Critical patent/CN1908228B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

这本发明提供一种成膜方法,在能够有选择地供给含有硅烷类气体的第一处理气体;含有选自氮化气体、氧氮化气体和氧化气体中的气体的第二处理气体;和吹扫气体的处理区域内,利用CVD,在被处理基板上形成含有硅的绝缘膜,其交互地具有第一~第四工序。在第一、第二、第三和第四工序中,分别供给第一处理气体、吹扫气体、第二处理气体以及吹扫气体,停止剩下的两种气体的供给。在第一工序至第四工序,通过配置开度调整用阀的排气通路继续给处理区域内真空排气。将第一工序的阀开度设定为第二和第四工序的阀开度的5~95%。

Description

形成含硅的绝缘膜的方法和装置
技术领域
本发明涉及例如在半导体处理用***中,在半导体晶片等被处理基板上形成含有硅的绝缘膜的成膜方法和装置。其中,所谓半导体处理,是指通过在晶片或者LCD(液晶显示器)或者FPD(平板显示器)用的玻璃基板等被处理基板上,以规定图案形成半导体层、绝缘层、导电层等,而在该被处理基板上制造半导体器件或者含有与半导体器件连接的线路、电极等构造物所进行的各种处理。
背景技术
在构成半导体集成电路的半导体器件的制造中,在被处理基板(例如半导体晶片)上进行成膜、蚀刻、氧化、扩散、改质、退火、除去自然氧化膜等各种处理。在日本特开2002-60947号公报(专利文献1)中揭示有立式(所谓批量式)热处理装置的这种半导体处理方法。利用这种方法,首先,将半导体晶片从晶片盒移放至立式晶舟上,分多层支承。在晶片盒中例如可收纳有25块晶片,在晶舟上可放置30~150块晶片。其次,将晶舟从处理容器的下方装入其内部,并同时气密地封闭处理容器。接着,在处理气体的流量、处理压力、处理温度等各种处理条件得到控制的状态下,进行规定的热处理。
在现有技术中,作为半导体器件的绝缘膜,主要使用氧化硅膜(SiO2膜)。但是,近年来,随着半导体集成电路的高集成化和高微细化要求的进一步提高,根据用途不同,可以使用氮化硅膜(Si3N4膜)来代替氧化硅膜。例如,可以作为耐氧化膜、杂质扩散防止膜、门极电极结构的侧壁膜来配置氮化硅膜。对于氮化硅膜来说,由于杂质的扩散系数低,且氧化的屏障性高,因此非常适合于作为上述的绝缘膜。
近年来,随着半导体集成电路的高集成化和高微细化要求的进一步提高,而希望减少半导体器件制造工序的热履历,以提高器件的特性。即使在立式处理装置中,也希望根据这些要求对半导体的处理方法进行改良。例如,在CVD处理中,采用间歇地供给原料气体等,反复形成一层或者多层其每层均为原子或者分子级别厚度的层的成膜方法(例如日本特开2004-281853号公报(专利文献2))。通常,这种成膜方法称为ALD(原子层沉积:Atomic Layer Deposition),这样,能够进行以将晶片暴露于这种高温下为目的的处理。此外,由于利用ALD进行的成膜,其等级覆盖(step coverage)良好,因此适合埋设于随着器件的微细化而变得狭窄的半导体器件内的凹部中,例如埋设于门极之间的间隙内。
发明内容
本发明的目的在于提供一种不降低处理生产率,能够抑制颗粒的产生的、形成含有硅的绝缘膜的成膜方法和装置。
本发明的第一方面为一种成膜方法,其特征在于:
其是在能够有选择地供给含有硅烷类气体的第一处理气体;含有选自氮化气体、氧氮化气体和氧化气体中的气体的第二处理气体;和吹扫气体的处理区域内,利用CVD,在被处理基板上形成含有硅的绝缘膜的成膜方法,其交替地包括:
向所述处理区域供给所述第一处理气体,另一方面,停止向所述处理区域供给所述第二处理气体和吹扫气体的第一工序;
向所述处理区域供给所述吹扫气体,另一方面,停止向所述处理区域供给所述第一和第二处理气体的第二工序;
向所述处理区域供给所述第二处理气体,另一方面,停止向所述处理区域供给所述第一处理气体和吹扫气体的第三工序;
向所述处理区域供给所述吹扫气体,另一方面,停止向所述处理区域供给所述第一和第二处理气体的第四工序,其中,
在从所述第一工序至所述第四工序中,通过配置有开度调整用的阀的排气通路,连续对所述处理区域内进行真空排气,将所述第一工序的所述阀的开度设定为所述第二和第四工序的所述阀的开度的5~95%。
本发明的第二方面为一种含有硅的绝缘膜的成膜装置,其特征在于,包括:
具有收纳被处理基板的处理区域的处理容器;
在所述处理区域内,支承所述被处理基板的支承部件;
加热所述处理区域内的所述被处理基板的加热器;
通过配置有开度调整用阀的排气通路,对所述处理区域内进行排气的排气***;
将含有硅烷类气体的第一处理气体供给至所述处理区域的第一处理气体供给***;
将含有选自氮化气体、氧氮化气体和氧化气体中的气体的第二处理气体供给至所述处理区域的第二处理气体供给***;
将吹扫气体供给至所述处理区域的吹扫气体供给***;和
控制所述装置的动作的控制部,其中,
为了利用CVD在所述被处理基板上形成含有硅的绝缘膜,所述控制部交替地运行:
向所述处理区域供给所述第一处理气体,另一方面,停止向所述处理区域供给所述第二处理气体和吹扫气体的第一工序;
向所述处理区域供给所述吹扫气体,另一方面,停止向所述处理区域供给所述第一和第二处理气体的第二工序;
向所述处理区域供给所述第二处理气体,另一方面,停止向所述处理区域供给所述第一处理气体和吹扫气体的第三工序;
向所述处理区域供给所述吹扫气体,另一方面,停止向所述处理区域供给所述第一和第二处理气体的第四工序,其中,
在从所述第一工序至所述第四工序中,通过所述排气通路,连续对所述处理区域内进行真空排气,将所述第一工序的所述阀的开度设定为所述第二和第四工序的所述阀的开度的5~95%。
本发明的第三方面为一种含有用于在处理器上运行的程序指令的计算机可读取的介质,其特征在于:
其在由处理器实行所述程序指令时,在能够有选择地供给含有硅烷类气体的第一处理气体;含有选自氮化气体、氧氮化气体和氧化气体中的气体的第二处理气体;和吹扫气体的处理区域内,利用CVD,在被处理基板上形成含有硅的绝缘膜的成膜装置中交替地具有:
向所述处理区域供给所述第一处理气体,另一方面,停止向所述处理区域供给所述第二处理气体和吹扫气体的第一工序;
向所述处理区域供给所述吹扫气体,另一方面,停止向所述处理区域供给所述第一和第二处理气体的第二工序;
向所述处理区域供给所述第二处理气体,另一方面,停止向所述处理区域供给所述第一处理气体和吹扫气体的第三工序;
向所述处理区域供给所述吹扫气体,另一方面,停止向所述处理区域供给所述第一和第二处理气体的第四工序,其中,
在从所述第一工序至所述第四工序中,通过配置有开度调整用的阀的排气通路,连续对所述处理区域内进行真空排气,将所述第一工序的所述阀的开度设定为所述第二和第四工序的所述阀的开度的5~95%。
下面将具体说明本发明的具体实施方式及其优点,其中部分内容明显可由文字说明得知,其它则可由本发明实施方式得知。本发明的具体实施方式及其优点可通过此后的实施例及其结合而得到说明。
附图说明
附带的合并于说明书并属于说明书一部分的附图用于说明本发明的具体实施方式,与上述简要说明以及下述具体实施方式的说明一起用于解释本发明的原理。
图1是表示本发明实施方式的成膜装置(立式CVD装置)的截面图。
图2是表示图1所示装置的部分横截平面图。
图3是表示在图1所示装置的排气***中使用的阀单元(开度调整用阀)的纵截面图。
图4是表示图3所示阀单元的横截面图。
图5是表示本发明第一实施方式的成膜方法的气体供给和排气通路的开度等的时序图。
图6是表示在实验1中,利用实施例1(PE1)和比较例1(CE1)的成膜处理得到处理容器内的颗粒发生的图形。
图7是表示本发明第二实施方式的成膜方法的气体供给和排气通路的开度等的时序图。
图8是表示本发明第三实施方式的成膜方法的气体供给和排气通路的开度等的时序图。
图9是表示在实验2中,由实施例2的成膜处理得到的膜的湿蚀刻速度与DCS压力依存性的图形。
图10是表示本发明第四实施方式的成膜装置(立式CVD装置)的真空排气***的示意图。
图11是表示第四实施方式的成膜方法的排气通路开度的时序图。
图12是表示本发明第五实施方式的成膜装置(立式CVD装置)的第一、第三和第四处理气体供给***的图。
图13是表示主控制部结构的简要方框图。
图14是表示在专利文献2中所示的现有技术的立式成膜装置的简要结构图。
图15是表示在专利文献2中所示的成膜方法的气体供给以及排气通路的开度等的时序图。
具体实施方式
本发明者在本发明的开发过程中,对在专利文献2中所述的现有技术的半导体处理用的成膜处理中所发生的问题进行了研究。其结果,本发明者得到下述认识。
图14是表示在专利文献2中所示的现有技术的立式成膜装置的简要结构图。图15是表示在专利文献2中所述的成膜方法的气体供给以及排气通路的开度等的时序图。
如图14所示,在该装置的处理容器302内,收容有多层支承于晶舟304上的多块半导体晶片W。作为硅烷类气体的二氯硅烷(DCS:SiH2Cl2)气体和作为氮化气体的氨(NH3)气体的供给***与处理容器302相连接。此外,依次设置有排气阀308和真空泵310的排气***306,与处理容器302连接。如图15所示,在处理时,以在中间夹着吹扫期间的方式将DCS气体和氨气交互地间歇供给至处理容器302内。此时,由于DCS气体的蒸气压力低,所以,在供给DCS气体时,排气阀308完全关闭。这样,引起处理容器302内的压力的升高,有助于DCS气体吸附在晶片的表面上(增加吸附量)。
然而,在上述方法中,当排气阀308完全关闭时,容器302内的压力瞬时成为平衡状态。因此,附着在排气***内壁等上的例如氯化铵等反应副生成物的微粒子剥离脱落并倒流。这种微粒有可能附着在晶片表面等上而成为形成颗粒的核。
此外,作为排气阀308,可以使用合并具有开闭阀和压力调整阀这两种功能的所谓的综合阀。在这种情况下,当排气阀308完全关闭时,反应副生成物有可能堆积在配置于综合阀上的O形环等密封部件上。其结果,堆积物会妨碍密封部件的密封性,从而有可能产生内部泄漏。作为解决这个问题的方法,可以将密封部件加热至反应副生成物的升华温度以上来防止反应副生成物的附着。但是,由于若要利用这种方法就必需对排气阀308进行耐热处理,因此导致阀的结构复杂,并不实际。
下面,参照附图,对基于这种理解所构成的本发明的实施方式进行说明。其中,在以下说明中,对具有大致相同功能以及结构的构成元件标注相同的标号,并只在必要时进行重复说明。
图1是表示本发明实施方式的成膜装置(立式CVD装置)的截面图。图2是表示图1所示装置的部分横截面的平面图。该成膜装置2具有可选择性地供给含有作为硅烷类气体的二氯硅烷(DCS)气体的第一处理气体、含有作为氮化气体的氨(NH3)气体的第二处理气体、和由N2气体等惰性气体构成的吹扫气体的处理区域。成膜装置2构成为在这种处理区域内,利用CVD在被处理基板上形成氮化硅膜。其中,如后所述,可选择性地将含有作为含硼气体的BCl3气体的第三处理气体和/或含有作为碳化氢气体的C2H4气体(乙烯气体)的第四处理气体供给至处理区域。
成膜装置2具有下端开口的有天井的圆筒体形处理容器4,在其内部规定有收纳并处理以隔开一定间隔的方式而层积的多个半导体晶片(被处理基板)的处理区域5。处理容器4的全体例如由石英制成。在处理容器4内的天井上配置有石英制的天井板6而将其密封。形成为圆筒体形状的总管8通过O形环等密封部件10,而与处理容器4的下端开口连接。其中,也可以不单独设置总管8,而是由圆筒体形状的石英制的处理容器构成全体。
总管8例如由不锈钢制成,支承处理容器4的下端。石英制的晶舟12可通过总管8的下端开口进行升降,这样,可相对于处理容器4对晶舟12进行装载/卸载。多块半导体晶片W作为被处理基板而分多层放置在晶舟12上。例如,在本实施方式的情况下,可在晶舟12的支柱12A上以大致相等的间距,分多层支承例如50~100块直径为300nm的晶片W。
晶舟12通过石英制的保温筒14而被放置在台面16上。台面16支承在回转轴20上,该回转轴20贯通用于开闭总管8的下端开口的例如由不锈钢制成的盖体18。
在回转轴20的贯通部上例如介设(中间设置)有磁性流体密封22,可以气密地密封回转轴20且可转动地对其进行支承。在盖体18的周边部和总管8的下端部上介设有例如由O形环等构成的密封部件24,以保持容器内的密封性。
回转轴20被安装在例如由螺杆升降机等升降机构25所支承的臂26的前端。可以利用升降机构25使晶舟12和盖体18等一体地升降。其中,也可以将台面16设置成固定在盖体18上,以不使晶舟12回转的方式进行晶片W的处理。
在总管8的侧部连接有将规定处理气体供给至处理容器4内的处理区域5用的气体供给部。气体供给部包括第二处理气体供给***28、第一处理气体供给***30和吹扫气体供给***32。第一处理气体供给***30供给含有DCS(二氯硅烷)气体的第一处理气体作为硅烷类气体。第二处理气体供给***28供给含有氨(NH3)气体的第二处理气体作为氮化气体。吹扫气体供给***32供给惰性气体(例如N2气体)作为吹扫气体。根据需要,也可以将适当量的载体气体混入第一处理气体和第二处理气体,但是,以下为了容易说明,不涉及该载体气体。
具体地说,第二处理气体供给***28、第一处理气体供给***30和吹扫气体供给***32分别具有向内侧贯通总管8的侧壁并向上方弯曲延伸的由石英管构成的气体分散喷嘴34、36、38(参照图2)。在各气体分散喷嘴34、36、38上,沿着其长度方向(上下方向)并以横跨晶舟12上的全体晶片W的方式,以规定间隔而形成有多个气体喷射孔34A、36A、38A。气体喷射孔34A、36A、38A大致均匀地在水平方向上分别供给第二处理气体(含有NH3气体)、第一处理气体(含有DCS)和吹扫气体(N2),以形成与晶舟12上的多个晶片W平行的气体流。
喷嘴34、36、38通过气体供给管路(气体通路)42、44、46而分别与NH3气体、DCS气体和N2气体的气体源28S、30S、32S连接。将开闭阀42A、44A、46A以及如质量流量控制器那样的流量控制器42B、44B、46B配置在气体供给管路42,44,46上。这样,可以分别对NH3气体、DCS气体和N2气体进行流量控制并进行供给。
在处理容器4的侧壁的一部分上,沿着其高度方向配置有气体激励部50。为了对该内部气氛进行真空排气,而在与气体激励部50相对的处理容器4的相反一侧上,配置有例如通过向着上下方向切削掉处理容器4的侧壁而形成的细长排气口52。
具体地说,气体激励部50具有通过沿着上下方向、以规定宽度削去处理容器4的侧壁而形成的上下细长的开口54。开口54由气密地与处理容器4的外壁焊接接合的石英制成的盖56所覆盖。盖56形成为截面凹部状,使得可以向处理容器4的外侧突出,并且具有上下细长的形状。
利用这个结构,形成从处理容器4的侧壁突出、并且一侧向处理容器4内开口的气体激励部50。即,气体激励部50的内部空间与处理容器4内的处理区域5连通。开口54在上下方向作得充分长,以便能够在高度方向覆盖由晶舟12保持的全部晶片W。
在盖56的两个侧壁的外侧面上,配置有细长的一对电极58,使得电极能够沿着其长度方向(上下方向)互相相对。等离子体发生用高频电源60通过给电线路62而与电极58连接。例如,通过将13.56MHz的高频电压施加在电极58上,而可以在一对电极58之间形成激励等离子体的高频电场。其中,高频电压的频率不是仅限于13.56MHz,也可以使用其他频率(例如400KHz)。
第二处理气体的气体分散喷嘴34,在晶舟12上的最下层的晶片W下面的位置处,向着处理容器4的半径方向外方弯曲。然后,气体分散喷嘴34,在气体激励部50内的最里面(最偏离处理容器4的中心的部分)的位置处垂直立起。如图2所示,气体分散喷嘴34设置在从由一对相对电极58夹住的区域(高频电场最强的位置),即实际主要产生等离子体的等离子体发生区域PS向外侧偏离的位置上。从气体分散喷嘴34的气体喷射孔34A喷出的含有NH3气体的第二处理气体,向着等离子体发生区域PS喷射并被激励(分解或激活),在该状态下,将其供给至晶舟12上的晶片W。
在盖56的外侧,安装有覆盖其的由石英制成的绝缘保护盖64。在绝缘保护盖64的内侧,在与电极58相对的部分上,配置有由冷却介质通路构成的冷却机构(图中没有示出),在冷却介质通路中,通过使作为冷却介质的例如被冷却的氮气流动,来冷却电极58。其中,在绝缘保护盖64的外侧,配置有用于覆盖其以防止高频泄漏的屏蔽板(图中没有示出)。
在气体激励部50的开口54的外侧附近,即开口54的外侧(处理容器4内)的两侧上,以互相相对的方式垂直立起配置有第一处理气体以及吹扫气体的气体分散喷嘴36、38。含有DCS气体的第一处理气体和由N2气体构成的吹扫气体分别从形成于气体分散喷嘴36、38上的气体喷射孔36A、38A而向着处理容器4的中心方向喷射。
另一方面,在与气体激励部50相对而设置的排气口52上,利用焊接而安装有覆盖其的并由石英制成的截面形成为日文假名“コ”字形的排气口部件66。排气口部件66沿着处理容器4的侧壁向上延伸,在处理容器4的上方形成有气体出口68。配置有真空泵等的真空排气***GE与气体出口68连接。
以包围处理容器4的方式来配置用于加热处理容器4内的气氛和晶片W的加热器70。在处理容器4内的排气口52附近,配置有用于控制加热器70的热电偶(图中没有示出)。
真空排气***GE具有与气体出口68连接的排气通路84,从其上游侧依次配置有阀单元(开度调整用的阀)86、真空泵88、除去不要物质的除害单元89。阀单元86由所谓的综合阀构成,同时具有开闭阀和压力调整阀这两种阀的功能。即,阀单元86具有包括全开和全闭而能够任意设定阀开度的功能。图3和图4式表示阀单元86的纵截面图和横截面图。
如图3和图4所示,阀单元86具有设置在排气通路84中间的圆筒状的阀框体90。在阀框体90的内部配置有具有阀口92的阀座94。在阀座94的上游侧配置有阀驱动部98,而杆102从致动器100向下游侧延伸。在杆102的前端,安装有坐落于阀座94上用于关闭阀口92的阀体104。在阀体104和阀驱动部98之间配置有包围杆102,可伸缩的波纹管103,以保护致动器100。在阀体104上配置有由O形环等构成的密封部件106,可以完全密闭阀口92。在阀驱动部98的周围以环状而配置有用于使排气流动的多个连通路96。
对于阀单元86来说,通过往复移动阀体104,可以设定包括全闭状态和全开状态的任意的阀开度。为了防止反应副生成物的附着,而可以将阀体104加热至规定温度(例如150℃)。其中,阀单元86的结构只是简单地表示一个例子,对该结构并没有特别限制。
而且,成膜装置2具有由控制装置全体动作的计算机等构成的主控制部48。主控制部48根据预先存储于其随付的存储部中的用于成膜处理的处理方案(例如形成的膜的膜厚或者其组成),来进行后述的成膜处理。在该存储部中,还作为预先控制数据而存储有处理气体流量和膜的膜厚或者其组成关系。因此,主控制部48可根据存储的处理方案或者控制数据来控制升降机构25、气体供给***28、30、32、排气***GE(含有阀单元86)、气体激励部50、以及加热器70等。
其次,对利用图1所示的装置进行的成膜方法(所谓ALD(原子层沉积)成膜)进行说明。简要地说,在该成膜方法中,选择性地将含有作为硅烷类气体的二氯硅烷(DCS)气体的第一处理气体、和含有作为氮化气体的氨(NH3)气体的第二处理气体供给至收纳有晶片W的处理区域5内,利用CVD在晶片W上形成氮化硅膜。
首先,将保持有多块(例如50~100块)尺寸为300mm的晶片W的常温下的晶舟12装载在设定有规定温度的处理容器4内。接着,对处理容器4内进行真空排气,并维持在规定的处理压力,同时,使晶片温度上升并稳定在成膜用处理温度而待机。其次,分别对含有DCS气体的第一处理气体、含有NH3气体的第二处理气体和由N2气体构成的吹扫气体进行流量控制,并间歇性地从气体分散喷嘴36、34、38进行供给。
具体地说,以相对于晶舟12上的多个晶片W形成为平行气体流的方式,从气体分散喷嘴36的气体喷嘴孔36A对含有DCS气体的第一处理气体进行供给。在此期间,DCS气体的分子或者由分解产生的分解生成物的分子或者原子吸附在晶片上。
另一方面,以相对于晶舟12上的多个晶片W形成为平行气体流的方式,从气体分散喷嘴34的气体喷射孔34A对含有NH3气体的第二处理气体进行供给。对于第二处理气体来说,当通过一对电极58之间的等离子体发生区域PS时,选择性地被激励,使一部分成为等离子体。这时,例如生成N*、NH*、NH2 *、NH3 *等原子团(活性种)(记号“*”表示原子团)。这些原子团从气体激励部50的开口54向着处理容器4的中心流出,在层流状态下被供给至晶片W的相互之间。
上述原子团,与附着在晶片W表面上的DCS气体的分子等反应,这样,在晶片W上形成氮化硅膜。其中,与此相反,在DCS气体流入到在晶片W表面上附着有原子团的部位时,也产生同样的反应,在晶片W上形成氮化硅膜。
此外,在供给含有DCS气体的第一处理气体的工序之后以及在供给含有NH3气体的第二处理气体的工序之后,将由N2气体构成的吹扫气体供给至处理区域5内。吹扫气体以相对于晶舟12上的多个晶片W形成为平行气体流的方式而从气体分散喷嘴38的气体喷射孔38A被供给。利用该吹扫气体流除去残留在处理区域5内的DCS气体或者其分解成分、以及NH3气体或者其分解成分。
在上述成膜处理的进行中,通过排气通路84并利用真空排气***GE,持续对处理区域5内进行真空排气。这时,控制排气通路84的阀单元86的开度,将供给第一处理气体工序过程中的开度设定为供给吹扫气体工序过程中的开度的5~95%。
(第一实施方式)
图5是表示本发明的第一实施方式的成膜方法中的气体供给以及排气通路的开度的时序图。如图5所示,在该实施方式的成膜方法中,交互地重复第一~第四工序T1~T4。即,多次重复由第一~第四工序T1~T4构成的循环,通过层积在每一个循环中形成的氮化硅膜的薄膜,可得到最终厚度的氮化硅膜。
具体地说,在第一工序T1中,向处理区域5供给第一处理气体(图5中表示为DCS),另一方面,停止向处理区域5供给第二处理气体(图5中用NH3表示)和吹扫气体(图5中用N2表示)。在第二工序T2中,向处理区域5供给吹扫气体,另一方面,停止向处理区域5供给第一和第二处理气体。在第三工序T3中,向处理区域5供给第二处理气体,另一方面,停止向处理区域5供给第一处理气体。此外,在第三工序T3中,通过从中途使RF电源60接通(ON),由气体激励部50使第二处理气体成为等离子体,只在副工序T3b期间,在激励的状态下向处理区域5进行供给。在第四工序T4中,向处理区域5供给吹扫气体,另一方面,停止向处理区域5供给第一和第二处理气体。
从第一工序至第四工序,处理区域5通过排气通路84而由真空排气***GE被继续真空排气。在第二和第四工序T2、T4中,将排气通路84的阀单元86的开度设定为100%(全开)。这样,可利用吹扫气体快速地排除残留于处理区域5内的反应性气体。此外,在第三工序T3中,也将排气通路84的阀单元86的开度设定为100%(全开)。这样,可积极地将从NH3来的原子团供给至晶片W上,可促进与吸附于晶片W上的DCS气体分子等的反应(提高成膜速度)。
另一方面,在第一工序中,将阀单元86的开度设定为5~20%。该开度是考虑到使DCS在晶片W上吸附的促进和在处理区域5内存在的颗粒数的减少的平衡而设定的。即,特别是在DCS气体的情况下,因为其蒸气压力低而导致在晶片表面上的吸附性不好。为了促进这种气体向晶片表面上的吸附(增加吸附量),而优选提高第一工序的处理区域5的压力。因此,减小阀单元86的开度,成为用于提高成膜速度、提高处理的生产率的决定性的重要因素。在该观点中,优选第一工序的阀开度小。
另一方面,在第一工序T1中,假设若将阀单元86的开度设定为0%(全闭),则如上所述,产生与颗粒有关的各种问题。因此,将阀单元86的开度设定为上述值,即使在第一工序T1中,也可以在处理区域5内形成向排气***中流动的气体流。这样,例如,即使因压力变动而使附着物等从排气***的内壁剥离脱落,也也不会产生倒流而附着在晶片W的表面等上。此外,通过不使阀开度为0%(全闭),氯化铵等反应副生成物也不会附着在阀单元86的密封部件106上。因此,可防止由附着物在阀单元86产生内部泄漏。在这些观点中,优选第一工序中的阀开度大。
从上述观点出发,在第一实施方式中,阀单元86的开度用作控制促进DCS在晶片W上的吸附和减少在处理区域5内存在的颗粒数的平衡的参数。具体地说,在第一实施方式中,如上所述,将第一工序的阀开度Vd1设定为第二和第四工序中的阀开度的5~20%。若阀开度Vd1小于5%,则颗粒或者成为生成颗粒的核的物质的排出效果不好。若大于20%,则第一处理气体在晶片表面上的吸附效率未满容许范围。
其中,在第一工序T1中,因为阀单元86的开度小,所以处理区域5内的压力从最低值(在图5中用Low表示)依次向最高值(在图5中用High表示)上升。但是,该压力在第二工序T2中,通过将阀单元86的开度设定为100%,而从最高值依次回落到最低值。
在图5中,将第一工序T1设定大约1~120秒(例如大约5秒),将第二序T2设定为大约1~30秒(例如大约5秒),将第三工序T3设定为大约1~120秒(例如大约10秒),将副工序T3b设定为大约1~120秒(例如大约8秒),将第四工序T4设定为大约1~30秒(例如大约5秒)。此外,通常由第一~第四工序T1~T4的一个循环形成的膜厚为0.05~0.11nm左右。因此,如果目标膜厚例如为70nm,则要重复大约600次该循环。但是,这些时间以及厚度只不过是单纯的一个例子,其并不仅限于该数值。
其中,在第三工序T3中,在经过规定时间Δt后,接通RF电源60,通过利用气体激励部50使第二处理气体成为等离子体,而可以只在副工序T3b期间将激励状态下的第二处理气体供给至处理区域5。该规定时间Δt是NH3气体的流量达到稳定的时间,例如大约为1秒。但是,也可以在第二处理气体的供给期间的全部期间中,利用气体激励部50,使第二处理气体成为等离子体。这样,通过在第二处理气体的流量稳定后接通RF电源来建立等离子体,而可以提高在晶片W的面间方向(高度方向)上的活性种的浓度均匀性。
上述成膜处理的处理条件如下所述。DCS气体的流量在50~2000sccm范围内(例如1000sccm(1s1m)。NH3气体的流量在100~5000sccm范围内(例如3000sccm)。N2气体的流量在300~5000sccm范围内(例如3000sccm)。处理温度为比通常的CVD处理低的温度,具体地是在250~700℃范围内,优选是在350~600℃范围内。当处理温度比250℃低时,不产生反应,几乎不堆积膜。当处理温度比700℃高时,形成膜质不好的利用CVD形成的堆积膜,同时,会对已形成的金属膜等上产生热损坏。
对于处理压力来说,最低值(图5中用Low表示)在0~5Torr的范围内,优选为0~1Torr的范围内。此外,最高值(图5中用High表示)在0.1~10Torr的范围内,优选在0.1~5Torr的范围内。其中,1Torr=133.3Pa。例如,处理压力在第一工序(吸附工序)T1中为1Torr,在第三工序(使用等离子体的氮化工序)T3中为0.3Torr。在处理压力比0.1Torr小的情况下,成膜速度变成为在实用水平以下。在处理压力比10Torr大的情况下,不能很好地建立等离子体。
(实验1)
使用图1所示的装置,形成氮化硅膜,对此时的颗粒发生进行评价。作为实施例1,根据图5所示的第一实施方式的时序图进行成膜处理。实施例1的成膜处理的处理条件的基准如第一实施方式的说明所述。此外,作为比较例1,除了在第一工序中使排气***的阀开度为0%以外,其它均与实施例1相同,在该条件下进行成膜处理。在每次进行成膜处理时,计算在实施例1和比较例1中此时晶片上的颗粒数。
图6表示在实验1中,由实施例1(PE1)和比较例(CE1)的成膜处理得到的处理容器内的颗粒的发生的图形。在图6中,左侧表示实施例1(PE1)的数据,右侧表示比较例(CE1)的数据。横轴表示晶片处理次数(运转数)。左纵轴表示颗粒数。右纵轴表示在晶片上成膜的累计膜厚(μm)。图形中的曲线X1、X2分别表示累计膜厚,垂直棒表示颗粒数。
如图6所示,在比较例1(CE1)的情况下,不论运转数如何,都以较高频度突发地非常大量地产生颗粒,因此不好。与此相对,在实施例1(PE1)的情况下,与比较例1发生的颗粒数相比要少得多,而且稳定在较低地颗粒数下。因此,能够确认采用第一实施方式的成膜方法,不会突发地大量产生颗粒,可以得到良好的结果。
(第二实施方式)
图7是表示本发明第二实施方式的成膜方法的气体供给和排气通路的开度等的时序图。在图5所示的时序图中,在供给NH3气体的第三工序T3中,将排气通路84的阀单元86的开度设定为100%(全开)。与此相对,在图7所示的时序图中,在供给NH3气体的第三工序T3中,将排气通路84的阀单元86的开度设定为稍小于100%(全开)。这样,根据处理,能够控制处理区域5的压力,可以提高由来于NH3的原子团的密度,并可使其达到最优。
(第三实施方式)
图8是表示本发明第三实施方式的成膜方法的气体供给和排气通路的开度等的时序图。在第三实施方式中,阀单元86的开度用作控制在由成膜处理形成的氮化硅膜上产生的应力和氮化硅膜具有的潜在蚀刻速度的一方面或两方面的参数。具体地说,在第三实施方式中,将第一工序的阀开度Vd1设定为第二和第四工序中的阀开度的80~95%。因此,在第一工序中,控制处理区域5的压力稍微上升,这样,可使氮化硅膜的应力或者蚀刻速度等变得良好。
(实验2)
使用图1所示的装置形成氮化硅膜,并评价其蚀刻速度。作为实施例2,是根据图8所示的第三实施方式的时序图进行成膜处理,形成氮化硅膜,并对该膜进行湿蚀刻。实施例2的成膜处理的处理条件的基准如第一实施方式的说明所述。具体地说,设定RF功率为250瓦(供给NH3时),成膜温度为400℃。作为供给DCS气体的第一工序T1中的处理区域5的压力(到达压力值),使用1.2Torr、3.5Torr、5.2Torr三个不同的值。而且,作为湿蚀刻,将形成有氮化硅膜的晶片在0.1%DHF(稀释HF)中浸渍60秒。
图9是表示在实验2中,由实施例2的成膜处理得到的膜的湿蚀刻速度和DCS压力的依存性的图形。在图9中,横轴表示供给DCS时的处理压力(Torr)。纵轴表示进行湿蚀刻的膜的蚀刻速度。如图9所示,通过使第一工序中的压力在1.2~5.2Torr的范围内变化,而能够将蚀刻速度控制在3.4~3.8nm/min的范围内。
(第四实施方式)
图10是表示本发明第四实施方式的成膜装置(立式CVD装置)的真空排气***的图。在图1所示的装置中,真空排气***GE只有一个***的排气通路84。在这种情况下,担心在真空排气***GE内作为反应副生成物而产生氯化铵,并导致排气***内等的闭塞。与此相对,在第四实施方式的真空排气***GEX中,如图10所示,将含有DCS的第一处理气体和含有NH3的第二处理气体一起,分别从专用的第一排气***和第二排气***排出。
具体地说,第一排气***的排气通路84A和第二排气***的排气通路84B并列配置。在各排气通路84A、84B上分别依次设置有结构与上述真空排气***GE相同的阀单元(开度调整用的阀)86A、86B、真空泵88A、88B和除害单元89A、89B。从第一排气通路84A主要排出第一处理气体(DCS)和与其同时被供给的气体。从第二排气通路84B主要排出第二处理气体(NH3)和与其同时被供给的气体。
控制设置在两个排气通路84A、84B上的两个阀单元86A、86B的全体的阀开度,等价地作为一个阀的开度。即,利用主控制部件48进行控制,使两个阀单元86A、86B的合计的阀开度与上述阀单元86的开度一致,进行图5、图7或者图8所示的成膜方法。
图11是表示第四实施方式的成膜方法的排气通路的开度的时序图。这里,表示与图5所示的第一实施方式时的阀单元86的动作等价的阀动作。因此,图11中没有示出的气体供给方式等其他方法与图5所示的内容相同。即,控制第一处理气体用的阀单元86A,使阀开度重复Vd1的状态和全闭状态,控制第二处理气体用的阀单元86B,使其重复全开状态和全闭状态。
具体地说,在第一工序T1中,阀单元86A的阀开度成为Vd1状态,使第一处理气体流动,另一个阀单元86B成为0%(全闭)状态。在第三工序T3中,阀单元86A成为0%(全闭)状态,阀单元86B成为100%(全开)状态,使第二处理气体流动。这样,第一处理气体和第二处理气体通过互不相同的专用的排气通路84A、84B。由于两种气体在排气***内不混合,不产生反应副生成物,因此不会闭塞真空排气***GEX内等。
(第五实施方式)
图12是表示本发明第五实施方式的成膜装置(立式CVD装置)的第一、第三和第四处理气体供给***的图。在第五实施方式中,在第一工序T1中,与含有DCS的第一处理气体一起,将掺杂气体供给至处理区域5。掺杂气体具有含有含硼气体(BCl3气体)的第三处理气体和含乙烯(C2H4气体)气体的第四处理气体中的一种或者两种。这里,表示使用第三和第四处理气体两者的情况。在这种情况下,形成的薄膜成为由含硼以及碳的SiBCN(掺杂硼的碳化硅的氮化物:borondoped silicon carbon nitride)构成的绝缘膜。
如图12所示,第一、第三和第四处理气体供给***130、132和134与共同的混合气体供给***135连接。混合气体供给***135具有混合第一、第三和第四处理气体用的气体混合槽142。对于气体混合槽142来说,将其大小设定为在均匀混合气体的同时,还能够暂时积蓄较大供给量的混合气体(例如4升左右)(可根据气体流量变更)。气体混合槽142通过配置在开闭阀144A上的混合气体供给管路144,而与由石英管制成的气体分散喷嘴36(参照图1)连接。
气体混合槽142通过第一、第三和第四处理气体供给***130、132和134的气体供给管路(气体通路)150、152和154,而分别与DCS气体、BCl3气体和C2H4气体的气体源130S、132S和134S连接。在气体供给管路150、152、154上配置有开闭阀150A、152A、154A和如质量流量控制器那样的流量控制器150B、152B、154B。这样,可分别对DCS气体、BCl3气体和C2H4气体进行流量控制并进行供给。
作为混合气体的形成或者供给的方式以下面两种方式作为代表。在第一种方式中,将第一、第三和第四处理气体连续地从第一、第三和第四处理气体供给***130、132、144供给至气体混合槽142,另一方面,将混合气体呈脉冲状从气体混合槽142供给至处理区域5(参见图1)。在第二种方式中,将第一、第三和第四处理气体,以第一相位呈脉冲状而从第一、第三和第四处理气体供给***130、132、144一起供给至气体混合槽142,另一方面,以与第一相位相反的第二相位,将混合气体从气体混合槽142供给至处理区域5。
为了实现这点,根据从主控制部48来的指示,如下这样操作第一、第三和第四处理气体供给***130、132、134的开闭阀150A、152A、154A和混合气体供给***135的开闭阀144A的开闭。在上述第一方式的情况下,从成膜处理开始至结束为止的多个循环中,开闭阀150A、152A、154A都维持在打开状态,另一方面,开闭阀114A以脉冲状开闭。在上述第二种方式情况下,在从成膜处理开始至结束为止的多个循环中,开闭阀150A、152A、154A以脉冲状开闭,另一方面,开闭阀144A以相反的相位呈脉冲状开闭。
(第一~第五实施方式的共同点和变更例)
如上所述,第一~第五实施方式的方法,是根据处理程序,并在主控制部48的控制下来实行的。图13是表示主控制部48的简要结构的方框图。主控制部48具有CPU210,存储部212、输入部214、输出部216等与其连接。将处理程序或者处理方案存储于存储部212中。输入部214含有与使用者对话用的输入装置,例如键盘或者指示装置和存储介质的驱动器等。输出部216输出控制处理装置的各机器用的控制信号。此外,在图13中还一并表示出可相对于计算机进行装卸的存储介质218。
上述实施方式的方法,可适用于以由处理器实行的程序作为指令,可写入由计算机读取的记录介质内的各种半导体处理装置。或者,可适用于这种程序指令由通信介质传送的各种半导体处理装置。记录介质为磁盘(软盘、硬盘(例如在存储部212中所含有的硬盘)等)、光盘(CD、DVD等)、磁光盘(MO等)、半导体存储器等。控制半导体处理装置动作的计算机,通过读取存储于存储介质中的程序指令,并由处理器实行该指令,来进行上述方法。
在第一~第五实施方式中,例示出以DCS气体作为第一处理气体中的硅烷类气体。关于这点,作为硅烷类气体,可以使用选自下列物质中的一种以上的气体:二氯硅烷(DCS)、六氯二硅烷(HCD)、甲硅烷(SiH4)、乙硅烷(Si2H6)、六甲基硅氨烷(HMDS)、四氯硅烷(TCS)、二甲硅烷基胺(DSA)、三甲硅烷基胺(TSA)、双叔丁氨基硅烷(BTBAS)。
在第一~第五实施方式中,作为第二处理气体中的氮化气体,可以使用氨气(NH3)、氮气(N2)。此外,在氮化硅氧化膜的形成中使用本发明的情况下,可以使用一氧化二氮(N2O)、一氧化氮(NO)那样的氮氧化气体来代替氮化气体。此外,在氧化硅膜的形成中使用本发明的情况下,可以使用氧(O2)、臭氧(O3)那样的氧化气体来代替氮化气体。
在第五实施方式中,例示出作为用于掺杂硼的含硼气体的BCl3气体。关于这点,可以选自BCl3、B2H6、BF3、B(CH3)3中的一种以上的气体作为含硼气体。
在第一~第五实施方式中,作为成膜装置2,具有将形成等离子体的激励部50与处理容器4组装成一体的结构。也可以不这样,而将激励部50与处理容器4分开设置,在处理容器4外预先激励NH3气体(所谓远程等离子体),将激励的NH3气体供给至处理容器4内。作为被处理基板,并不限于半导体晶片、LCD基板、玻璃基板等,也可以是其他基板。
本领域技术人员可据此发现其它特点和变换形式。因此,本发明范围并不限于具体实施方式和实施例所述,而是只要在不偏离与权利要求及其等效变换所述的本发明主旨或范围,就可以做出各种变换。

Claims (20)

1.一种成膜方法,其特征在于:
其是在能够有选择地供给含有硅烷类气体的第一处理气体;含有选自氮化气体、氧氮化气体和氧化气体中的气体的第二处理气体;和吹扫气体的处理区域内,利用CVD,在被处理基板上形成含有硅的绝缘膜的成膜方法,其交替地包括:
向所述处理区域供给所述第一处理气体,另一方面,停止向所述处理区域供给所述第二处理气体和吹扫气体的第一工序;
向所述处理区域供给所述吹扫气体,另一方面,停止向所述处理区域供给所述第一和第二处理气体的第二工序;
向所述处理区域供给所述第二处理气体,另一方面,停止向所述处理区域供给所述第一处理气体和吹扫气体的第三工序;
向所述处理区域供给所述吹扫气体,另一方面,停止向所述处理区域供给所述第一和第二处理气体的第四工序,其中,
在从所述第一工序至所述第四工序中,通过配置有开度调整用的阀的排气通路,连续对所述处理区域内进行真空排气,将所述第一工序的所述阀的开度设定为所述第二和第四工序的所述阀的开度的5~95%。
2.如权利要求1所述的成膜方法,其特征在于:
所述第三工序具有将所述第二处理气体在利用激励机构激励的状态下供给至所述处理区域的激励期间。
3.如权利要求1所述的成膜方法,其特征在于:
所述第一工序设定为,将掺杂气体与所述第一处理气体一起供给至所述处理区域。
4.如权利要求3所述的成膜方法,其特征在于:
所述第一处理气体和掺杂气体在配置于所述处理区域外的气体混合槽混合后,被供给至所述处理区域。
5.如权利要求1所述的成膜方法,其特征在于:
所述第一处理气体含有选自由二氯硅烷、六氯二硅烷、甲硅烷、乙硅烷、六甲基二硅氮烷、四氯硅烷、二甲硅烷基胺、三甲硅烷基胺、双叔丁氨基硅烷中的一种以上的气体。
6.如权利要求1所述的成膜方法,其特征在于:
所述第二处理气体含有选自氨、氮、一氧化二氮、一氧化氮、氧、臭氧中的一种以上的气体。
7.如权利要求1所述的成膜方法,其特征在于:
所述吹扫气体为氮气。
8.如权利要求3所述的成膜方法,其特征在于:
所述掺杂气体包括,含有选自BCl3、B2H6、BF3、B(CH3)3的含硼气体的第三处理气体和含有乙烯气体的第四处理气体中的一个或两个。
9.如权利要求1所述的成膜方法,其特征在于:
所述激励机构在与所述处理区域连通的空间内,具有配置在所述第二处理气体的供给口和所述基板之间的等离子体发生区域,所述第二处理气体通过所述等离子体发生区域时被激励。
10.如权利要求9所述的成膜方法,其特征在于:
将所述第一处理气体和所述吹扫气体在所述等离子体发生区域与所述基板之间被供给至所述处理区域。
11.如权利要求1所述的成膜方法,其特征在于:
多块被处理基板处于在上下设置有间隔而层积的状态下,被收纳在所述处理区域内,由配置在所述处理区域周围的加热器加热所述多块被处理基板。
12.如权利要求11所述的成膜方法,其特征在于:
所述第一和第二处理气体与所述吹扫气体分别以相对于所述多块被处理基板形成平行气体流的方式,从相对于所述多块被处理基板而沿上下方向配置的多个气体喷射孔供给。
13.如权利要求1所述的成膜方法,其特征在于:
所述排气通路具有分别专用于排出所述第一和第二处理气体的第一和第二排气通路;所述阀具有分别配置在所述第一和第二排气通路上的开度调整用的第一和第二阀;所述第一和第二阀的全体的开度,作为等价的一个阀的开度而被控制。
14.如权利要求1所述的成膜方法,其特征在于:
将所述第一工序的所述阀的开度设定为所述第二和第四工序的所述阀的开度的5~20%,以便控制所述硅烷类气体在所述被处理基板上的吸附的促进和在所述处理区域内存在的颗粒数的减少的平衡。
15.如权利要求1所述的成膜方法,其特征在于:
将所述第一工序的所述阀的开度设定为所述第二和第四工序的所述阀的开度的80~95%,以便控制在所述含有硅的绝缘膜上产生的应力和所述含有硅的绝缘膜具有的潜在蚀刻速度中的一个或两个。
16.如权利要求1所述的成膜方法,其特征在于:
设定所述第三工序的所述阀的开度比所述第一工序的所述阀的开度大。
17.如权利要求16所述的成膜方法,其特征在于:
设定所述第三工序的所述阀的开度在所述第二和第四工序的所述阀的开度以下。
18.一种含有硅的绝缘膜的成膜装置,其特征在于,包括:
具有收纳被处理基板的处理区域的处理容器;
在所述处理区域内,支承所述被处理基板的支承部件;
加热所述处理区域内的所述被处理基板的加热器;
通过配置有开度调整用阀的排气通路,对所述处理区域内进行排气的排气***;
将含有硅烷类气体的第一处理气体供给至所述处理区域的第一处理气体供给***;
将含有选自氮化气体、氧氮化气体和氧化气体中的气体的第二处理气体供给至所述处理区域的第二处理气体供给***;
将吹扫气体供给至所述处理区域的吹扫气体供给***;和
控制所述装置的动作的控制部,其中,
为了利用CVD在所述被处理基板上形成含有硅的绝缘膜,所述控制部交替地运行:
向所述处理区域供给所述第一处理气体,另一方面,停止向所述处理区域供给所述第二处理气体和吹扫气体的第一工序;
向所述处理区域供给所述吹扫气体,另一方面,停止向所述处理区域供给所述第一和第二处理气体的第二工序;
向所述处理区域供给所述第二处理气体,另一方面,停止向所述处理区域供给所述第一处理气体和吹扫气体的第三工序;
向所述处理区域供给所述吹扫气体,另一方面,停止向所述处理区域供给所述第一和第二处理气体的第四工序,其中,
在从所述第一工序至所述第四工序中,通过所述排气通路,连续对所述处理区域内进行真空排气,将所述第一工序的所述阀的开度设定为所述第二和第四工序的所述阀的开度的5~95%。
19.如权利要求18所述的成膜装置,其特征在于,包括:
多块被处理基板处于在上下设置有间隔而层积的状态下,被收纳在所述处理区域内,利用配置在所述处理区域周围的所述加热器加热所述多块被处理基板;所述第一和第二处理气体与所述吹扫气体分别以相对于所述多块被处理基板形成平行气体流的方式,从相对于所述多块被处理基板而沿上下方向配置的多个气体喷射孔供给。
20.一种含有用于在处理器上运行的程序指令的计算机可读取的介质,其特征在于:
其在由处理器实行所述程序指令时,在能够有选择地供给含有硅烷类气体的第一处理气体;含有选自氮化气体、氧氮化气体和氧化气体中的气体的第二处理气体;和吹扫气体的处理区域内,利用CVD,在被处理基板上形成含有硅的绝缘膜的成膜装置中交互地具有:
向所述处理区域供给所述第一处理气体,另一方面,停止向所述处理区域供给所述第二处理气体和吹扫气体的第一工序;
向所述处理区域供给所述吹扫气体,另一方面,停止向所述处理区域供给所述第一和第二处理气体的第二工序;
向所述处理区域供给所述第二处理气体,另一方面,停止向所述处理区域供给所述第一处理气体和吹扫气体的第三工序;
向所述处理区域供给所述吹扫气体,另一方面,停止向所述处理区域供给所述第一和第二处理气体的第四工序,其中,在从所述第一工序至所述第四工序中,通过配置有开度调整用的阀的排气通路,连续对所述处理区域内进行真空排气,将所述第一工序的所述阀的开度设定为所述第二和第四工序的所述阀的开度的5~95%。
CN2006101083702A 2005-08-02 2006-08-02 形成含硅的绝缘膜的方法和装置 Active CN1908228B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2005224741 2005-08-02
JP2005224741A JP4305427B2 (ja) 2005-08-02 2005-08-02 成膜方法、成膜装置及び記憶媒体
JP2005-224741 2005-08-02

Publications (2)

Publication Number Publication Date
CN1908228A true CN1908228A (zh) 2007-02-07
CN1908228B CN1908228B (zh) 2012-07-04

Family

ID=37699454

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2006101083702A Active CN1908228B (zh) 2005-08-02 2006-08-02 形成含硅的绝缘膜的方法和装置

Country Status (4)

Country Link
US (1) US7758920B2 (zh)
JP (1) JP4305427B2 (zh)
CN (1) CN1908228B (zh)
TW (1) TWI383448B (zh)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102286731A (zh) * 2010-06-18 2011-12-21 东京毅力科创株式会社 处理装置及成膜方法
CN102394222A (zh) * 2011-11-24 2012-03-28 上海宏力半导体制造有限公司 防止晶圆表面形成固体颗粒的方法
CN102985591A (zh) * 2010-06-04 2013-03-20 Oc欧瑞康巴尔斯公司 真空处理装置
CN103243310A (zh) * 2012-02-14 2013-08-14 诺发***公司 用于等离子体激活的保形膜沉积的前体
CN103882406A (zh) * 2012-12-21 2014-06-25 东京毅力科创株式会社 成膜方法
CN104928647A (zh) * 2014-03-17 2015-09-23 东京毅力科创株式会社 成膜方法和成膜装置
CN107429391A (zh) * 2014-10-24 2017-12-01 弗萨姆材料美国有限责任公司 组合物和使用所述组合物沉积含硅膜的方法

Families Citing this family (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5082595B2 (ja) * 2007-05-31 2012-11-28 東京エレクトロン株式会社 成膜装置
KR101101785B1 (ko) * 2007-06-08 2012-01-05 도쿄엘렉트론가부시키가이샤 패터닝 방법
JP4589984B2 (ja) * 2007-06-08 2010-12-01 東京エレクトロン株式会社 微細パターンの形成方法
JP5090097B2 (ja) * 2007-07-26 2012-12-05 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び基板処理方法
US20090056877A1 (en) 2007-08-31 2009-03-05 Tokyo Electron Limited Plasma processing apparatus
JP4918453B2 (ja) * 2007-10-11 2012-04-18 東京エレクトロン株式会社 ガス供給装置及び薄膜形成装置
KR101043211B1 (ko) * 2008-02-12 2011-06-22 신웅철 배치형 원자층 증착 장치
JP5155070B2 (ja) * 2008-09-02 2013-02-27 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
JP5099101B2 (ja) 2009-01-23 2012-12-12 東京エレクトロン株式会社 プラズマ処理装置
JP5136574B2 (ja) 2009-05-01 2013-02-06 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP5223804B2 (ja) * 2009-07-22 2013-06-26 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5655429B2 (ja) * 2009-08-28 2015-01-21 三菱マテリアル株式会社 多結晶シリコンの製造方法、製造装置及び多結晶シリコン
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
JP5847566B2 (ja) * 2011-01-14 2016-01-27 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US20120244685A1 (en) * 2011-03-24 2012-09-27 Nuflare Technology, Inc. Manufacturing Apparatus and Method for Semiconductor Device
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
JP2013077805A (ja) * 2011-09-16 2013-04-25 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
KR102020446B1 (ko) * 2013-01-10 2019-09-10 삼성전자주식회사 에피텍시얼막 형성 방법 및 이를 수행하기 위한 장치 및 시스템
JP5807084B2 (ja) * 2013-09-30 2015-11-10 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP5852151B2 (ja) * 2014-02-12 2016-02-03 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9564312B2 (en) * 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
JP6301866B2 (ja) 2015-03-17 2018-03-28 東芝メモリ株式会社 半導体製造方法
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
JP6529348B2 (ja) * 2015-06-05 2019-06-12 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
CN105185693A (zh) * 2015-08-20 2015-12-23 上海华力微电子有限公司 半导体衬底上二氧化硅介质层的形成方法
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
US10662529B2 (en) * 2016-01-05 2020-05-26 Applied Materials, Inc. Cooled gas feed block with baffle and nozzle for HDP-CVD
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
JP6853116B2 (ja) * 2017-05-31 2021-03-31 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
JP2020178020A (ja) * 2019-04-17 2020-10-29 国立大学法人山形大学 薄膜堆積方法及び装置
JP7330091B2 (ja) 2019-12-24 2023-08-21 東京エレクトロン株式会社 成膜方法
WO2021181498A1 (ja) * 2020-03-10 2021-09-16 株式会社Kokusai Electric 基板処理装置、排気流量制御装置及び半導体装置の製造方法
JP7496884B2 (ja) * 2020-09-24 2024-06-07 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
JP2021061428A (ja) * 2020-12-25 2021-04-15 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6139640A (en) * 1998-08-12 2000-10-31 Advanced Micro Devices, Inc. Chemical vapor deposition system and method employing a mass flow controller
JP3409006B2 (ja) * 2000-01-11 2003-05-19 富士通株式会社 成膜方法及び半導体装置の製造方法
US6585823B1 (en) 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
KR100881786B1 (ko) * 2000-12-27 2009-02-03 도쿄엘렉트론가부시키가이샤 처리 장치
KR100449028B1 (ko) * 2002-03-05 2004-09-16 삼성전자주식회사 원자층 증착법을 이용한 박막 형성방법
JP3947126B2 (ja) * 2002-04-11 2007-07-18 株式会社日立国際電気 半導体製造装置
US6777308B2 (en) * 2002-05-17 2004-08-17 Micron Technology, Inc. Method of improving HDP fill process
JP2004040056A (ja) * 2002-07-08 2004-02-05 Shinko Electric Ind Co Ltd 配線パターンの構造及びバンプの形成方法
JP2004047624A (ja) * 2002-07-10 2004-02-12 Renesas Technology Corp 半導体装置およびその製造方法
JP4382750B2 (ja) 2003-01-24 2009-12-16 東京エレクトロン株式会社 被処理基板上にシリコン窒化膜を形成するcvd方法
JP2004281853A (ja) 2003-03-18 2004-10-07 Hitachi Kokusai Electric Inc 基板処理装置
JP4242733B2 (ja) * 2003-08-15 2009-03-25 株式会社日立国際電気 半導体装置の製造方法
US20050120958A1 (en) * 2003-12-07 2005-06-09 Frank Lin Reactor

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102985591B (zh) * 2010-06-04 2014-12-10 欧瑞康先进科技股份公司 真空处理装置
CN102985591A (zh) * 2010-06-04 2013-03-20 Oc欧瑞康巴尔斯公司 真空处理装置
CN102286731A (zh) * 2010-06-18 2011-12-21 东京毅力科创株式会社 处理装置及成膜方法
US9103029B2 (en) 2010-06-18 2015-08-11 Tokyo Electron Limited Processing apparatus and film forming method
CN102286731B (zh) * 2010-06-18 2015-03-18 东京毅力科创株式会社 处理装置及成膜方法
CN102394222B (zh) * 2011-11-24 2016-05-04 上海华虹宏力半导体制造有限公司 防止晶圆表面形成固体颗粒的方法
CN102394222A (zh) * 2011-11-24 2012-03-28 上海宏力半导体制造有限公司 防止晶圆表面形成固体颗粒的方法
CN103243310A (zh) * 2012-02-14 2013-08-14 诺发***公司 用于等离子体激活的保形膜沉积的前体
CN103243310B (zh) * 2012-02-14 2017-04-12 诺发***公司 在衬底表面上的等离子体激活的保形膜沉积的方法
CN103882406A (zh) * 2012-12-21 2014-06-25 东京毅力科创株式会社 成膜方法
CN103882406B (zh) * 2012-12-21 2017-03-22 东京毅力科创株式会社 成膜方法
CN104928647A (zh) * 2014-03-17 2015-09-23 东京毅力科创株式会社 成膜方法和成膜装置
CN107429391A (zh) * 2014-10-24 2017-12-01 弗萨姆材料美国有限责任公司 组合物和使用所述组合物沉积含硅膜的方法

Also Published As

Publication number Publication date
US20070032047A1 (en) 2007-02-08
US7758920B2 (en) 2010-07-20
TWI383448B (zh) 2013-01-21
TW200721307A (en) 2007-06-01
JP2007042823A (ja) 2007-02-15
JP4305427B2 (ja) 2009-07-29
CN1908228B (zh) 2012-07-04

Similar Documents

Publication Publication Date Title
CN1908228A (zh) 形成含硅的绝缘膜的方法和装置
TWI710026B (zh) 基板處理裝置、半導體裝置之製造方法及記錄媒體
CN1831192A (zh) 半导体处理用成膜方法、成膜装置和存储介质
US7964241B2 (en) Film formation method and apparatus for semiconductor process
CN1831191A (zh) 半导体处理用的成膜方法及装置
KR100935257B1 (ko) 반도체 처리용 성막 방법 및 장치와, 컴퓨터 판독 가능 매체
CN1891859B (zh) 氮氧化硅膜的形成方法
KR101086588B1 (ko) 반도체 처리용의 성막 방법 및 장치와, 컴퓨터에서 판독가능한 매체
KR101141870B1 (ko) 반도체 처리용 성막 방법 및 성막 장치
KR100954243B1 (ko) 반도체 처리용 성막 장치 및 방법과 컴퓨터로 판독 가능한 매체
KR101503725B1 (ko) 성막 방법 및 성막 장치
KR100890684B1 (ko) 반도체 처리용 성막 방법
CN101051606A (zh) 立式等离子体处理装置和半导体处理方法
KR20080001646A (ko) 성막 장치 및 그 사용 방법
CN101962756A (zh) 半导体处理用的成批化学气相沉积方法及装置
CN1712560A (zh) 垂直cvd装置和使用它的cvd方法
CN1881543A (zh) 硅氧化膜的形成方法、硅氧化膜的形成装置和程序
KR100974969B1 (ko) 실리콘 질화막의 형성 방법
KR20150034632A (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
CN1716538A (zh) 成膜方法和成膜装置
KR100980126B1 (ko) 성막 방법, 성막 장치 및 기억매체
TWI801963B (zh) 基板處理裝置、半導體裝置的製造方法及電漿生成裝置
US20170294302A1 (en) Semiconductor device manufacturing method, substrate processing apparatus, and recording medium
US20160284543A1 (en) Substrate processing apparatus, program and method of manufacturing semiconductor device
US20230187188A1 (en) Substrate processing apparatus, substrate holder, and method of manufacturing semiconductor device

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant