CN105273718A - 蚀刻用组合物 - Google Patents

蚀刻用组合物 Download PDF

Info

Publication number
CN105273718A
CN105273718A CN201510415950.5A CN201510415950A CN105273718A CN 105273718 A CN105273718 A CN 105273718A CN 201510415950 A CN201510415950 A CN 201510415950A CN 105273718 A CN105273718 A CN 105273718A
Authority
CN
China
Prior art keywords
chemical formula
integer
acid
hydrogen
compound
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201510415950.5A
Other languages
English (en)
Other versions
CN105273718B (zh
Inventor
朴宰完
林廷训
李珍旭
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Soulbrain Co Ltd
Original Assignee
Soulbrain Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from KR1020140090661A external-priority patent/KR101539373B1/ko
Priority claimed from KR1020140090663A external-priority patent/KR101539375B1/ko
Priority claimed from KR1020140090662A external-priority patent/KR101539374B1/ko
Priority claimed from KR1020150078400A external-priority patent/KR101627181B1/ko
Application filed by Soulbrain Co Ltd filed Critical Soulbrain Co Ltd
Priority to CN201811622060.1A priority Critical patent/CN109913220B/zh
Publication of CN105273718A publication Critical patent/CN105273718A/zh
Application granted granted Critical
Publication of CN105273718B publication Critical patent/CN105273718B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/06Etching, surface-brightening or pickling compositions containing an inorganic acid with organic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02455Group 13/15 materials
    • H01L21/02458Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66825Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a floating gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6684Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a ferroelectric gate insulator
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Inorganic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Silicon Polymers (AREA)

Abstract

本发明涉及一种蚀刻用组合物、一种该组合物的制备方法、以及一种使用该组合物制备半导体器件的方法。所述组合物可以包含第一无机酸、至少一种通过第二无机酸和硅烷化合物之间的反应生成的硅烷无机酸盐以及溶剂。所述第二无机酸可以为选自硫酸、发烟硫酸、硝酸、磷酸以及它们的组合中的至少一种。

Description

蚀刻用组合物
技术领域
本发明涉及一种蚀刻工艺用组合物,更具体地,涉及一种高选择性蚀刻组合物以及使用该蚀刻组合物制备半导体的方法,该组合物能够选择性地去除氮化物层,同时使氧化物层的蚀刻速度最小化。
背景技术
在制备半导体时,已经将氧化物层和氮化物层用作绝缘层。氧化物层可以包括二氧化硅(SiO2)层,氮化物层可以包括氮化硅(SiN2)层。二氧化硅层和氮化硅(SiN2)层独立地使用或者交替性地互相堆叠作为绝缘层。另外,氧化物层和氮化物层可以用作硬质掩膜用于形成金属互连的导电图案。
可以进行湿式蚀刻工艺来去除此氮化物层。通常,作为蚀刻组合物,使用磷酸和去离子水的混合物来去除氮化物层。可以添加去离子水来防止蚀刻速度的劣化和蚀刻选择性的变化。然而,即使去离子水提供量的很小变化也可能引起去除氮化物层的蚀刻工艺的缺陷。另外,因为磷酸具有强酸性且具有腐蚀性或苛性,所以难以处理磷酸。
为了克服常规蚀刻组合物的这种缺陷,提出包含与氢氟酸(HF)和硝酸(HNO3)中的一种进行混合的磷酸(H3PO4)的蚀刻组合物。然而,此蚀刻组合物使氮化物层和氧化物层的蚀刻选择性劣化。提出另一种包含磷酸和硅酸盐与硅酸中的一种的蚀刻组合物。然而,硅酸盐和硅酸产生严重影响基底的颗粒。
发明内容
提供本概述用于以简化形式引出概念的选择,这在下面的详细说明中进一步描述。该概述的目的不是确定所要求保护的主题的关键特征或实质特征,也不是用于限制所要求保护的主题的范围。
本发明的实施方案克服了上面所述的缺点和上面未描述的其他缺点。另外,本发明的实施方案不需要克服上面所述的缺点,并且本发明的实施方案可以不克服上面所述的任何问题。
根据本实施方案的一个方面,提供一种蚀刻组合物,该组合物选择性地去除氮化物层,同时使氧化物层的蚀刻速度最小化。
根据本实施方案的另一方面,提供一种具有高选择性的蚀刻组合物,该组合物用于防止在蚀刻工艺中颗粒的产生。
根据本实施方案的又一方面,提供一种半导体的制备方法,该制备方法使用具有选择性去除氮化物层同时使氧化物层的蚀刻速度最小化的高选择性的蚀刻组合物。
根据至少一个实施方案,组合物可以包含第一无机酸、至少一种通过第二无机酸和硅烷化合物之间的反应产生的硅烷无机酸盐以及溶剂。所述第二无机酸可以为选自硫酸、发烟硫酸、硝酸、磷酸、无水磷酸以及它们的组合中的至少一种。所述硅烷化合物可以为用第一化学式表示的化合物:
其中,R1至R4中的每一个选自氢、卤素、(C1-C10)烷基、(C1-C10)烷氧基和(C6-C30)芳基,并且R1至R4中的至少一个为卤素和(C1-C10)烷基中的一种。
根据另一实施方案,组合物可以包含第一无机酸、至少一种通过多磷酸和硅烷化合物之间的反应生成的硅烷无机酸盐以及溶剂。
根据另一实施方案,组合物可以包含第一无机酸、至少一种通过第二无机酸和硅氧烷化合物之间的反应生成的硅氧烷无机酸盐以及溶剂。所述第二无机酸可以为选自磷酸、无水磷酸、焦磷酸、多磷酸以及它们的组合中的一种。
根据另一实施方案,组合物可以包含第一无机酸、至少一种通过第二无机酸和硅氧烷化合物之间的反应生成的硅氧烷无机酸盐以及溶剂。所述第二无机酸可以为选自硫酸、发烟硫酸以及它们的组合中的一种。
根据另一实施方案,组合物可以包含第一无机酸、至少一种通过包括硝酸的第二无机酸和硅氧烷化合物之间诱导的反应生成的硅氧烷无机酸盐以及溶剂。
根据另一实施方案,组合物可以包含第一无机酸、至少一种通过第二无机酸和第一硅烷化合物之间诱导的反应产生的硅烷无机酸盐、第二硅烷化合物以及溶剂。所述第二无机酸可以为选自硫酸、发烟硫酸、硝酸、磷酸、无水磷酸、焦磷酸、多磷酸以及它们的组合中的一种。第一硅烷化合物和第二硅烷化合物可以为选自用第十化学式表示的化合物、用第十一化学式表示的化合物以及它们的组合中的一种。第十化学式为:
以及
其中,第十一化学式为:
其中,i)R1至R10中的每一个选自氢、卤素、(C1-C10)烷基、(C1-C10)烷氧基和(C6-C30)芳基,ii)R1至R4中的至少一个为卤素和(C1-C10)烷氧基中的一种,iii)R5至R10中的至少一个为卤素和(C1-C10)烷氧基中的一种,iv)n为1至10的一个整数。
根据另一实施方案,提供一种半导体器件的制备方法。该方法可以包括使用所述蚀刻组合物进行蚀刻工艺。
附图说明
结合附图,从实施方案的下述描述中,本发明的上面的和/或其他方面将变得显而易见且更容易理解,其中:
图1A和图1B示出闪存装置的装置隔离工艺;
图2A至图2C为示出根据至少一个实施方案的闪存装置的装置隔离工艺的横截面图;
图3A至图3F为示出根据至少一个实施方案形成闪存装置的通道的工艺的横截面图;
图4A和图4B为示出根据至少一个实施方案形成相变存储装置的二极管的工艺的横截面图;以及
图5为示出根据第一实施方案A制备的硅烷无机酸盐的核磁共振(NMR)数据的图像。
具体实施方式
现在将详细地描述本发明的实施方案,本发明的实施例在附图中进行说明,其中,相同的附图标记在全文中指相同的元件。为了参照附图解释本发明,下面描述实施方案。
附图不必成比例,在某些情况下,为了清楚地说明实施方案的特征,比例可能已经进行了放大。当提及第一层在第二层“之上”或者在基底“之上”时,并不单指第一层在第二层或基底上直接形成的情况,也指第三层存在于第一层和第二层或基底之间的情况。
在说明书中,术语“(C1-C10)烷基”指具有1至10个碳原子的直链或支链的非环饱和烃,术语“(C1-C10)烷氧基”指具有一个以上醚基和1至10个碳原子的直链或支链的非环烃。
根据至少一个实施方案,蚀刻组合物可以包含第一无机酸、至少一种硅烷无机酸盐以及溶剂。所述至少一种硅烷无机酸盐可以通过第二无机酸和硅烷化合物之间的反应产生。
在根据至少一个实施方案制备半导体器件中,蚀刻组合物中含有的至少一种硅烷无机酸盐能够容易和有效控制氧化物层的蚀刻速度,并且也能够容易控制有效场氧化物高度(EFH)。
下文中,将参照附图描述根据至少一个实施方案的这种蚀刻组合物。在描述根据至少一个实施方案的蚀刻组合物之前,将参照图1A至图1B描述在制备半导体器件中蚀刻组合物的常规使用。
图1A和图1B示出闪存装置的装置隔离工艺。参照图1A,在基底10上依次形成隧道氧化物列11(tunneloxidefile11)、多晶硅层12、缓冲氧化物层13和氮化物垫层14。通过选择性地蚀刻多晶硅层12、缓冲氧化物层13和氮化物垫层14形成至少一个沟槽。通过形成SOD氧化物层15进行填充至少一个沟槽的间隙填充工艺。然后,可以使用氮化物垫层14作为抛光停止层进行化学机械抛光(CMP)工艺。
参照图1B,通过使用磷酸溶液进行湿式蚀刻工艺去除氮化物垫层14。通过清洗工艺去除缓冲氧化物层13。因此,形成元件隔离层15A。然而,当在湿式蚀刻工艺中使用磷酸溶液时,氮化物层和氧化物层的蚀刻选择性降低。由于此种降低,SOD氧化物层15会与氮化物垫层14一起去除,而且难以控制有效场氧化物高度(EFH)。因此,由于磷酸溶液,难以i)保证湿式蚀刻去除氮化物垫层14的充足时间,ii)会需要额外的过程,以及iii)磷酸溶液引起严重影响器件性能的波动。
因此,为了相对于氧化物层选择性地蚀刻氮化物层、不产生颗粒,需要高选择性的蚀刻组合物。
为了克服常规蚀刻组合物的缺陷并且满足所述需求,根据至少一个实施方案,提供一种高选择性蚀刻组合物,该组合物选择性地去除氮化物层、同时使氧化物层的蚀刻速度最小化。此蚀刻组合物可以包含第一无机酸、至少一种硅烷无机酸盐以及溶剂。根据至少一个实施方案,所述至少一种硅烷无机酸盐可以通过第二无机酸和硅烷化合物之间的反应生成。
由于蚀刻组合物中包含的至少一种硅烷无机酸盐,能够容易和有效地控制氧化物层的蚀刻速度。因此,在根据至少一个实施方案制备半导体器件中,可以容易和有效地控制有效场氧化物高度(EFH)。
如上所述,所述至少一种硅烷无机酸盐可以由第二无机酸和硅烷化合物之间的重复和连续反应生成。因此,所述至少一种硅烷无机酸盐可以包括各种化学式而不是具有单一的化学式。
所述第二无机酸可以为选自硫酸、发烟硫酸、硝酸、磷酸、无水磷酸、焦磷酸、多磷酸以及它们的组合中的一种。优选地,所述第二无机酸可以为硫酸、硝酸和磷酸中的一种。
所述硅烷化合物可以为选自用下面的化学式A1至A2表示的化合物以及它们的组合中的一种。
[化学式A1]
在化学式A1中,R1至R4中的每一个可以选自氢、卤素、(C1-C10)烷基、(C1-C10)烷氧基和(C6-C30)芳基。另外,R1至R4中的至少一个可以为卤素和(C1-C10)烷基中的一种。
所述卤素可以包括氟、氯、溴和碘。优选地,所述卤素可以为氟和氯中的一种。
具体地,用化学式A1表示的硅烷化合物可以包括卤硅烷化合物和烷氧基硅烷化合物。
所述卤硅烷化合物可以选自三甲基氯硅烷、三乙基氯硅烷、三丙基氯硅烷、三甲基氟硅烷、三乙基氟硅烷、三丙基氟硅烷、二甲基二氯硅烷、二乙基二氯硅烷、二丙基二氯硅烷、二甲基二氟硅烷、二乙基二氟硅烷、二丙基二氟硅烷、乙基三氯硅烷、丙基三氯硅烷、甲基三氟硅烷、乙基三氟硅烷、丙基三氟硅烷以及它们的组合。
所述烷氧基硅烷化合物可以选自四甲氧基硅烷、四丙氧基硅烷、甲基三甲氧基硅烷(MTMOS)、甲基三乙氧基硅烷(MTEOS)、甲基三丙氧基硅烷、乙基三甲氧基硅烷、乙基三乙氧基硅烷、乙基三丙氧基硅烷、丙基三甲氧基硅烷(PrTMOS)、丙基三乙氧基硅烷(PrTEOS)、丙基三丙氧基硅烷、二甲基二甲氧基硅烷、二甲基二乙氧基硅烷、二甲基二丙氧基硅烷、二乙基二甲氧基硅烷、二乙基二乙氧基硅烷、二乙基二丙氧基硅烷、二丙基二甲氧基硅烷、二丙基二乙氧基硅烷、二丙基二丙氧基硅烷、三甲基甲氧基硅烷、三甲基乙氧基硅烷、三甲基丙氧基硅烷、三乙基甲氧基硅烷、三乙基乙氧基硅烷、三乙基丙氧基硅烷、三丙基甲氧基硅烷、三丙基乙氧基硅烷、三丙基丙氧基硅烷、3-氯丙基三甲氧基硅烷、3-氨基丙基三甲氧基硅烷、3-氨基丙基三乙氧基硅烷、[3-(2-氨基乙基)氨基丙基]三甲氧基硅烷、3-巯基丙基三甲氧基硅烷、3-甲基丙烯酰氧基丙基三甲氧基硅烷、3-丙烯酰氧基丙基三甲氧基硅烷以及它们的组合。
[化学式A2]
在化学式A2中,R5至R10中的每一个可以选自氢、卤素、(C1-C10)烷基、(C1-C10)烷氧基和(C6-C30)芳基。另外,R5至R10中的至少一个可以为卤素和(C1-C10)烷氧基中的一种,n为1至10的一个整数。
所述卤素可以包括氟、氯、溴和碘。优选地,所述卤素可以为氟和氯中的一种。
具体地,用化学式A2表示的化合物可以包括氯二甲基硅氧基-氯二甲基硅烷、氯二乙基硅氧基-氯二甲基硅烷、二氯甲基硅氧基-氯二甲基硅烷、二氯乙基硅氧基-氯二甲基硅烷、三氯硅氧基-氯二甲基硅烷、氟二甲基硅氧基-氯二甲基硅烷、二氟甲基硅氧基-氯二甲基硅烷、三氟硅氧基-氯二甲基硅烷、甲氧基二甲基硅氧基-氯二甲基硅烷、二甲氧基二甲基硅氧基-氯二甲基硅烷、三甲氧基硅氧基-氯二甲基硅烷、乙氧基二甲基硅氧基-氯二甲基硅烷、二乙氧基甲基硅氧基-氯二甲基硅烷、三乙氧基硅氧基-氯二甲基硅烷、氯二甲基硅氧基-二氯甲基硅烷、三氯硅氧基-二氯甲基硅烷、氯二甲基硅氧基-三氯硅烷、二氯甲基硅氧基-三氯硅烷和三氯硅氧基-三氯硅烷。
所述硅烷无机酸盐可以通过i)将硅烷化合物添加到第二无机酸中,以及ii)在约20℃至约300℃的温度范围内,优选地,在约50℃至约200℃的温度范围内引发反应来生成。此工艺进行的同时去除空气和水分。当反应温度低于约20℃时,由于反应速度相对较低,所以硅烷化合物会结晶或蒸发。当反应温度高于约300℃时,第二无机酸会蒸发。
例如,可以使约100重量份的第二无机酸与约0.001至约50重量份的硅烷化合物反应。优选地,可以使约0.01至约30重量份的硅烷化合物与约100重量份的第二无机酸反应。当硅烷化合物的含量小于约0.01重量份时,难以得到理想的选择性。当硅烷化合物的含量大于约50重量份时,硅烷化合物可能结晶并形成不规则结构。
在反应过程中,会产生挥发性副产物。此挥发性副产物可以通过减压蒸馏去除。此反应产物可以进行蒸馏而且将硅烷无机酸盐从其中分离出来。分离后的硅烷无机酸盐添加到蚀刻组合物中。然而,本实施方案不受此限制。例如,反应产物可以不经过蒸馏而添加到蚀刻组合物中。
此反应可以在有非质子溶剂或没有非质子溶剂的情况下进行。当使用非质子溶剂时,优选使用在10013mbar下沸点高达120℃的溶剂或溶剂混合物。此溶剂可以包括:i)二噁烷、四氢呋喃、二***、二异丙醚、二乙二醇单甲醚;ii)氯代烃,例如二氯甲烷、三氯甲烷、四氯甲烷、1,2-二氯乙烷和三氯乙烯;iii)烃,例如戊烷、正己烷、己烷同分异构体混合物、庚烷、辛烷、苯、石油醚、苯、甲苯和二甲苯;iv)酮,例如丙酮、甲基乙基酮、二异丙基酮和甲基异丁基甲酮(MIBK);v)酯,例如乙酸乙酯、乙酸丁酯、丙酸丙酯、丁酸乙酯、异丁酸乙酯、二硫化碳和硝基苯;以及它们的组合。
如上所述,所述硅烷无机酸盐通过诱导第二无机酸和硅烷化合物之间的反应生成。因此,根据至少一个实施方案,所述硅烷无机酸盐具有不同的化学式。即,所述硅烷无机酸盐可以由第二无机酸和硅烷化合物之间的重复和连续反应生成。根据卤素原子的数目和卤素原子的位置,此硅烷无机酸盐可以具有可反应的多种直链或支链化学式结构。
此硅烷无机酸盐可以示例性地用下面的化学式表示。然而,本实施方案不受此限制。
[化学式A3-1]
[化学式A3-2]
[化学式A3-3]
[化学式A3-4]
[化学式A3-5]
[化学式A3-6]
[化学式A3-7]
[化学式A4-1]
[化学式A4-2]
[化学式A4-3]
[化学式A4-4]
[化学A4-5]
[化学式A4-6]
[化学式A4-7]
[化学式A5-1]
[化学式A5-2]
[化学式A5-3]
[化学式A5-4]
[化学式A5-5]
[化学式A5-6]
[化学式A5-7]
在化学式A3-1至A3-7、A4-1至A4-7和A5-1至A5-7中,R1-1至R1-8中的每一个可以选自氢、卤素、(C1-C10)烷基、(C1-C10)烷氧基和(C6-C30)芳基。所述卤素可以包括氟、氯、溴和碘。优选地,所述卤素可以为氟和氯中的一种。
基于蚀刻组合物的总重量,所述硅烷无机酸盐的含量为约0.01至约15wt%,更优选约0.05至约15wt%,甚至更优选约1至约15wt%,更优选约3至约7wt%。
当所述硅烷无机酸盐的含量低于约0.01wt%时,不能实现氮化物层的高蚀刻选择性。当所述硅烷无机酸盐的含量高于约15wt%时,含量的增大不会引起蚀刻选择性的进一步提高而且会引起诸如颗粒的产生等问题。
例如,当硅烷无机酸盐的含量高于约0.7wt%时,蚀刻组合物的氮化物蚀刻速度和氧化物蚀刻速度之间的选择性高于约200:1(例如,氮化物蚀刻速度:氧化物蚀刻速度)。例如,蚀刻组合物的选择性可以为约200:1、约200:5和约200:10。
例如,当硅烷无机酸盐的含量高于约1.4wt%时,硅烷无机酸盐的氮化物蚀刻速度和氧化物蚀刻速度之间的选择性可以为约200:无穷大(氮化物蚀刻速度:氧化物蚀刻速度)。如上所述,根据至少一个实施方案的蚀刻组合物具有氮化物层相对于氧化物层的高选择性。因此,蚀刻组合物能够容易控制氧化物层的蚀刻速度并且容易控制EFH。
根据至少一个实施方案,硅烷无机酸盐可以由多磷酸与硅烷化合物的反应生成。此硅烷无机酸盐可以用下面的化学式B1表示。
[化学式B1]
在化学式B1中,R1可以选自氢、卤素、(C1-C10)烷基、(C1-C10)烷氧基和(C6-C30)芳基。所述卤素可以包括氟、氯、溴和碘。优选地,所述卤素可以为氟和氯中的一种。n1为1至4的一个整数,m1为1至10的一个整数。R2至R4中的每一个可以为氢。选择性地,选自R2至R4中的至少一个氢可以被用下面的化学式B2表示的取代基取代。
[化学式B2]
在化学式B2中,R5中的一个R5可以与化学式B1连接并且其他的R5可以选自氢、卤素、(C1-C10)烷基、(C1-C10)烷氧基和(C6-C30)芳基。例如,当有四个R5时,其中一个R5与化学式B1连接,剩余三个R5中的每一个可以选自氢、卤素、(C1-C10)烷基、(C1-C10)烷氧基和(C6-C30)芳基。又如,当有一个R5时,它与化学式B1连接。n2为0至3的一个整数,m2为1至10的一个整数。
在化学式B2中,R2至R4的每一个可以为氢或者被用化学式B2表示的取代基取代。即,R2至R4中的一个可以被用化学式B2表示的取代基取代。另外,用第二化学式B2表示的取代基的R2至R4中的一个也可以被用第三化学式B2表示的取代基取代。
这是因为硅烷无机酸盐通过多磷酸和硅烷化合物之间的反应生成。例如,用化学式B1表示的组合物通过多磷酸和硅烷化合物之间的反应生成。在所生成的用化学式B1表示的组合物中,羟基可以与所述硅烷化合物再次反应。此处,羟基位于来自多磷酸的部分的R2至R4中的一个位置上,硅烷化合物为引发这个重复反应的反应物。连续地,反应后的硅烷化合物与多磷酸再次反应。此反应可以重复并连续进行。
由于重复和连续反应,可以生成下面的硅烷无机酸盐的组合物。
在化学式B1中,n1为1,m1为1,R2至R4都为氢。此时,可以生成用下面的化学式B3-1表示的硅烷无机酸盐。R1-1至R1-3的定义与R1的定义相同。
[化学式B3-1]
除了m1为2外,用下面的化学式B3-2表示的化合物与用化学式B3-1表示的化合物基本相同。
[化学式B3-2]
下面的化学式B3-3示例性地表示当化学式B1具有以下条件:i)n1为2,ii)m1为1,iii)R2至R4中的每一个为氢时的化合物。R1-1至R1-2的定义与R1的定义相同。
[化学式B3-3]
下面的化学式B3-4示例性地表示当化学式B1具有以下条件:i)n1为1,ii)m1为1,iii)所有R2至R3为氢,iv)R4被用化学式B2表示的取代基取代时的化合物。在化学式B2的取代基中,n2为0,并且至少一个R5与化学式B1连接。此处,R1-1至R1-6的定义与R1的定义相同。
用下面的化学式B3-4表示的此化合物通过i)由具有用化学式B1表示的化合物的R4取代基的多磷酸产生的部分与ii)硅烷化合物之间的重复反应生成。此处,所述硅烷化合物为引发重复反应的反应物。
[化学式B3-4]
下面的化学式B3-5示例性地表示当化学式B1具有以下条件:i)n1为1,ii)m1为1,iii)R3至R4为氢,iv)R2被化学式B2取代时的化合物。此处,化学式B2具有以下条件:i)n2为1,ii)m2为1,iii)至少一个R5与化学式B1连接,iv)所有的R2至R4为氢时的化合物。此处,R1-1至R1-5的定义与R1的定义相同。
用下面的化学式B3-5表示的此化合物由重复和连续反应生成。例如,i)羟基,位于化学式B1表示的化合物中来自多磷酸的部分的R4位置处,与所述硅烷化合物再次反应。此处,所述硅烷化合物为引发这个重复反应的反应物。然后,ii)与用化学式B1表示的化合物连续反应的所述硅烷化合物与所述多磷酸连续反应。此处,所述多磷酸为引发这个连续反应的反应物。
[化学式B3-5]
下面的化学式B3-6和化学式B3-7示例性地表示除了用化学式B2表示的取代基的位置不同之外与用化学式B3-5表示的化合物基本相同的化合物。在化学式B3-6中,用化学式B2表示的取代基位于化学式B1的R3位置处。在化学式B3-7中,用化学式B2表示的取代基位于化学式B1的R4位置处。
[化学式B3-6]
[化学式B3-7]
下面的化学式B3-8示例性地表示当化学式B1具有以下条件:i)n1为1,ii)m1为1,iii)R2至R3为氢,iv)化学式B1的R4被用化学式B2表示的第一取代基取代,v)用化学式B2表示的取代基的R4被用化学式B2表示的第二取代基取代时的化合物。此处,化学式B2具有以下条件:i)n2为1,ii)m2为1,iii)至少一个R5与化学式B1连接,iv)R2和R3中的至少一个为氢。此处,R1-1至R1-7的定义与R1的定义相同。
用下面的化学式B3-8表示的此化合物由重复和连续反应生成。例如,i)羟基与所述硅烷化合物再次反应。此处,反应后的羟基位于用化学式B3-7表示的化合物的右端的来自多磷酸的部分处,并且硅烷化合物为引发这个重复反应的反应物。然后,ii)与用化学式B3-7表示的化合物反应的硅烷化合物与多磷酸连续反应。此处,多磷酸为引发这个连续反应的反应物。
[化学式B3-8]
如上所述,根据至少一个实施方案,可以生成用化学式B3-1至B3-8表示的不同组合物。然而,实施方案不受此限制。
如上所述,硅烷化合物可以与多磷酸反应并由于该反应生成用化学式B1表示的硅烷无机酸盐。此硅烷化合物可以为用化学式A1表示的化合物。由于已经描述了用化学式A1表示的化合物,所以此处省略了其详细描述。
所述多磷酸可以为含有两个磷酸原子的焦磷酸或含有三个以上磷酸原子的多磷酸。
除了使用多磷酸而不是使用第二无机酸之外,由多磷酸与硅烷化合物反应生成硅烷无机酸盐的方法可以与由第二无机酸与硅烷化合物反应生成硅烷无机酸盐的方法基本相同。
根据至少一个实施方案,硅烷无机酸盐可以为用下面的化学式C1表示的硅氧烷无机酸盐。此硅氧烷无机酸盐可以由第二无机酸与硅氧烷化合物反应生成。此处,第二无机酸可以选自磷酸、无水磷酸、焦磷酸、多磷酸以及它们的组合中。
[化学式C1]
在化学式C1中,R1至R2中的每一个可以选自氢、卤素、(C1-C10)烷基、(C1-C10)烷氧基和(C6-C30)芳基。所述卤素可以包括氟、氯、溴和碘。优选地,所述卤素可以为氟和氯中的一种。
在化学式C1中,n1为0至3的一个整数,n2为0至2的一个整数,m1为整数0和1中的一个,其中,n1、n2和m1之和等于或大于1(例如,n1+n2+m1≥1)。例如,化学式C1可以包括至少一个来自第二无机酸例如磷酸的原子基团。
在化学式C1中,l1为1至10的一个整数并且O1至O3中的每一个为0至10的一个整数。
在化学式C1中,R3至R11中的每一个为氢。选择性地,选自R3至R11中的至少一个氢可以被用下面的化学式C2表示的取代基取代。
[化学式C2]
在化学式C2中,R12和R13中的一个可以与化学式C1连接并且其他的可以独立地选自氢、卤素、(C1-C10)烷基、(C1-C10)烷氧基和(C6-C30)芳基。例如,当有两个R12和一个R13时,它们中的一个可以与化学式C1连接,剩余两个的每一个可以选自氢、卤素、(C1-C10)烷基、(C1-C10)烷氧基和(C6-C30)芳基。又例如,当有一个R12且没有R13时,R12与化学式C1连接。
n3为0至3的一个整数,n4为0至2的一个整数,m1为0至1的一个整数。l1为1至10的一个整数,O1至O3中的每一个为0至10的一个整数。
在化学式C2中,R3至R11可以为氢或者可以被用化学式C2表示的取代基(称作第二化学式C2)取代。即,化学式C2的R3至R11中的至少一个可以被用第二化学式C2表示的取代基取代,第二化学式C2的R3至R11中的至少一个可以被用化学式C2表示的取代基(称作第三化学式C2)再次取代。
这是因为所述硅氧烷无机酸盐通过第二无机酸和硅氧烷化合物的重复和连续反应来生成。例如,用化学式C1表示的化合物通过第二无机酸和硅氧烷化合物之间的反应生成。在所生成的用化学式C1表示的化合物中,羟基可以与硅氧烷化合物再次反应。此处,硅氧烷化合物为引发这个重复反应的反应物,与硅氧烷反应的羟基位于来自第二无机酸的部分的R3至R11的位置处。连续地,与所生成的用化学式C1表示的化合物反应后的硅氧烷化合物,与第二无机酸再次反应。此处,第二无机酸为引发这个连续反应的反应物。此反应可以重复并连续进行。
下面的化学式示例性地表示此重复和连续反应生成的硅氧烷无机酸盐。
下面的化学式C1-1示例性地示出当化学式C1具有以下条件:i)n1为1,ii)n2为0,iii)m1为0,iv)l1为1,v)O1至O3为0,vi)所有的R3至R11为氢时的化合物。此处,R1-1至R1-2的定义与R1的定义相同,R2-1至R2-2的定义与R2的定义相同。
[化学式C1-1]
下面的化学式C1-2表示除了当n2为1时之外与用化学式C1-1表示的化合物基本相同的化合物。
[化学式C1-2]
下面的化学式C1-3表示除了当O2和O3为1时之外与用化学式C1-1表示的化合物基本相同的化合物。
[化学式C1-3]
下面的化学式C1-4表示除了当l1为2时之外与用化学式C1-2表示的化合物基本相同的化合物。
[化学式C1-4]
下面的化学式C1-5示例性地表示当化学式C1具有以下条件:i)n1为2,ii)n2为2,iii)m1为0,iv)l1为1,v)O1至O3中的至少一个为0,vi)所有的R3至R11为氢时的化合物。
[化学式C1-5]
下面的化学式C1-6示例性地表示当化学式C1具有以下条件:i)n1为1,ii)n2为1,iii)m1为0,iv)l1为1,v)O1至O3中的至少一个为0,vi)R6、R9和R11为氢,vii)R8被用化学式C2表示的取代基取代时的化合物。此处,在取代基的化学式C2中,i)n3和n4为0,ii)m1为0,iii)l1为1,iv)R12中的至少一个与化学式C1连接。
此处,R1-1至R1-7的定义与R1的定义相同,R2-1的定义与R2的定义相同。用下面的化学式C1-6表示的此化合物由i)羟基和ii)硅氧烷化合物之间的重复反应生成。反应后的羟基位于用化学式C1表示的化合物中来自第二无机酸的部分的R8位置处,硅氧烷化合物为引发这个重复反应的反应物。
[化学式C1-6]
下面的化学式C1-7示例性地表示当化学式C1具有以下条件:i)n1为1,ii)n2为1,iii)m1为0,iv)l1为1,v)O1至O3中的至少一个为0,vi)R6、R9和R11为氢,vii)R8被用化学式C2表示的取代基取代时的化合物。此处,在取代基的化学式C2中,i)n3和n4为1,ii)m1为0,iii)O2和O3为0,iv)R12中的至少一个与化学式C1连接,v)R6、R8、R9和R11为氢。此处,R1-1至R1-3、R2-1、R2-2、R3-1和R3-2的定义分别与R1、R2和R3的定义相同。
用化学式C1-7表示的此化合物由重复和连续反应生成。例如,羟基与硅氧烷化合物再次反应。此处,反应的羟基为化学式C1表示的化合物中位于来自第二无机酸的部分的R8处的羟基。然后,反应后的硅氧烷化合物与第二无机酸连续反应。此处,第二无机酸为引发这个连续反应的反应物。
[化学式C1-7]
除了用化学式C2表示的取代基位于化学式C1-7的R1-3位置处并与化学式C1连接之外,下面的化学式C1-8表示与用化学式C1-7表示的化合物基本相同的化合物。
[化学式C1-8]
下面的化学式C1-9示例性地表示当化学式C1具有以下条件:i)n1为1,ii)n2为1,iii)m1为0,iv)l1为1,v)O1至O3中的至少一个为0,vi)R3、R6、R9和R11为氢,vii)化学式C1的R8被用化学式C2(称作第一化学式C2)表示的第一取代基取代,viii)第一取代基R8(例如,第一化学式C2)被用化学式C2(称作第二化学式C2)表示的第二取代基取代时的化合物。此处,在第一取代基的第一化学式C2中,i)n3和n4为1,ii)m1为0,iii)l1为1,iv)O2和O3为0,v)R12中的至少一个与化学式C1连接,v)R6、R9和R11为氢,vi)R8为用第二化学式C2表示的第二取代基。在第二取代基的第二化学式C2中,i)n3和n4为1,ii)m1为0,iii)l1为1,iv)O2和O3为0,v)R12中的至少一个与第一化学式C2连接,v)R6、R8、R9和R11为氢。此处,R1-1至R1-4、R2-1至R2-3和R3-1至R3-3的定义分别与R1、R2和R3的定义相同。
用下面的化学式C1-9表示的此化合物由重复和连续反应生成。例如,化学式B1-7表示的化合物的右端的来自第二无机酸的部分与硅氧烷化合物再次反应。然后,反应后的硅氧烷化合物与第二无机酸连续反应。此处,第二无机酸为引发这个连续反应的反应物。
[化学式C1-9]
除了用化学式C2表示的取代基位于化学式C1-9的R1-4位置并与化学式C1连接之外,下面的化学式C1-10表示与用化学式C1-9表示的化合物基本相同的化合物。
[化学式C1-10]
根据实施方案的化合物不限于用化学式C1-1至C1-10表示的化合物。
例如,根据至少一个实施方案,硅烷化合物可以为用下面的化学式C3表示并且通过第二无机酸和硅氧烷化合物的反应生成的硅氧烷无机酸盐。此处,第二无机酸可以选自硫酸、发烟硫酸以及它们的组合。
[化学式C3]
在化学式C3中,R21和R22中的每一个可以独立地选自氢、卤素、(C1-C10)烷基、(C1-C10)烷氧基和(C6-C30)芳基。所述卤素可以包括氟、氯、溴和碘。优选地,所述卤素可以为氟和氯中的一种。
在化学式C3中,n1为0至3的一个整数,n2为0至2的一个整数,m1为整数0和1中的一个,其中,n1、n2和m1之和等于或大于1(例如,n1+n2+m1≥1)。例如,化学式C3可以包括至少一个来自所述第二无机酸例如硫酸的原子基团。
在化学式C3中,l1为1至10的一个整数。
在化学式C3中,R23至R25中的每一个为氢。选择性地,选自R23至R25中的至少一个氢可以被用下面的化学式C4表示的取代基取代。
[化学式C4]
在化学式C4中,R26和R27中的一个可以与化学式C3连接并且其他的可以独立地选自氢、卤素、(C1-C10)烷基、(C1-C10)烷氧基和(C6-C30)芳基。例如,当有两个R26和一个R27时,它们中的一个与化学式C3连接,剩余两个的每一个可以选自氢、卤素、(C1-C10)烷基、(C1-C10)烷氧基和(C6-C30)芳基中。又例如,当有一个R26且没有R27时,R26与化学式C3连接。
在化学式C4中,n3为0至3的一个整数,n4为0至2的一个整数,m1为0至1的一个整数,l1为1至10的一个整数。
在化学式C4中,R23至R25可以为独立地为氢。R23至R25可以被用化学式C4(称作第二化学式C4)表示的取代基取代。即,化学式C4中的R23至R25中的至少一个可以被用第二化学式C2表示的取代基取代,第二化学式C4的R23至R25中的至少一个可以被用化学式C4(称作第三化学式C4)表示的取代基再次取代。
下面的化学式C3-1至C3-9示例性地表示由上述重复和连续反应生成的硅氧烷无机酸盐,这与化学式C1-1至C1-10类似。在化学式C3-1至C3-9中,R11-1至R11-7、R12-1至R12-3和R13-1至R13-3的定义与R11、R12和R13的定义相同。
[化学式C3-1]
[化学式C3-2]
[化学式C3-3]
[化学式C3-4]
[化学式C3-5]
[化学式C3-6]
[化学式C3-7]
[化学式C3-8]
[化学式C3-9]
根据至少一个实施方案的化合物不限于用化学式C3-1至C3-9表示的化合物。
根据至少一个实施方案,硅烷无机酸盐可以为通过第二无机酸例如硝酸与硅氧烷化合物反应生成的硅烷无机酸盐。此硅烷无机酸盐可以用下面的化学式C5表示。
[化学式C5]
在化学式C5中,R31和R32中的每一个可以独立地选自氢、卤素、(C1-C10)烷基、(C1-C10)烷氧基和(C6-C30)芳基。所述卤素可以包括氟、氯、溴和碘。优选地,所述卤素可以为氟和氯中的一种。
在化学式C5中,n1为0至3的一个整数,n2为0至2的一个整数,m1为整数0和1中的一个,其中,n1、n2和m1之和等于或大于1(例如,n1+n2+m1≥1)。例如,化学式C5可以包括至少一个来自所述第二无机酸例如硝酸的原子基团。
在化学式C5中,l1为1至10的一个整数。
在化学式C5中,R33至R35中的每一个为氢。选择性地,选自R33至R35中的至少一个氢可以被用下面的化学式C6表示的取代基取代。
[化学式C6]
在化学式C6中,R36和R37中的一个可以与化学式C5连接并且其他的可以独立地选自氢、卤素、(C1-C10)烷基、(C1-C10)烷氧基和(C6-C30)芳基。例如,当有两个R36和一个R37时,它们中的一个可以与化学式C5连接,剩余两个的每一个可以选自氢、卤素、(C1-C10)烷基、(C1-C10)烷氧基和(C6-C30)芳基。又例如,当有一个R36且没有R37时,R36与化学式C5连接。
在化学式C6中,n3为0至3的一个整数,n4为0至2的一个整数,m1为0至1的一个整数,l1为1至10的一个整数。
在化学式C6中,R33至R35可以为独立的为氢。R33至R35可以被用化学式C6(称作第二化学式C6)表示的取代基取代。即,化学式C6的R33至R35中的至少一个可以被用第二化学式C6表示的取代基取代,第二化学式C6中的R33至R35中的至少一个可以被用化学式C6(称作第三化学式C6)表示的取代基再次取代。
下面的化学式C5-1至C5-9示例性地表示由上述重复和连续反应生成的硅氧烷无机酸盐,与化学式C1-1至C1-10类似。在化学式C5-1至C5-9中,R21-1至R21-7、R22-1至R22-3和R23-1至R23-3的定义与R21、R22和R23的定义相同。
[化学式C5-1]
[化学式C5-2]
[化学式C5-3]
[化学式C5-4]
[化学式C5-5]
[化学式C5-6]
[化学式C5-7]
[化学式C5-8]
[化学式C5-9]
如上所述,实施方案不限于用化学式C5-1至C5-9示例性表示的组合物。
如上所述,根据至少一个实施方案,用化学式C1表示的硅氧烷无机酸盐可以通过第二无机酸和硅氧烷化合物之间的反应生成。此硅氧烷化合物可以为用化学式A2表示的化合物。由于用化学式A2表示的化合物已经在前面描述过,所以此处省略其详细描述。
除了使用硅氧烷化合物而不是硅烷化合物之外,由第二无机酸与硅氧烷化合物反应生成硅氧烷无机酸盐的方法可以与由第二无机酸与硅烷化合物进行反应生成硅烷无机酸盐的方法基本相同。
根据另一实施方案,蚀刻用组合物可以包含第一无机酸、至少一种硅烷无机酸盐以及溶剂。所述至少一种硅烷无机酸盐可以由第二无机酸与第二硅烷化合物反应生成。
如上所述,蚀刻组合物可以额外地包含第二硅烷化合物和硅烷无机酸盐。在使用蚀刻组合物进行蚀刻工艺的过程中,此额外第二硅烷化合物可以与第一无机酸反应并生成额外的硅烷无机酸盐。因此,该额外的第二硅烷化合物还可以提高选择性去除氮化物层的选择性,同时使氧化物层的蚀刻速度最小化并且防止严重影响器件性能的颗粒的产生。另外,该额外的第二硅烷化合物可以额外地提供在蚀刻工艺中消耗的硅烷无机酸盐。
作为第二硅烷化合物,可以使用上面描述的硅烷化合物。优选地,可以使用用于生成硅烷无机酸盐的相同硅烷化合物作为第二硅烷化合物。此时,第二硅烷化合物的成分将与硅烷无机酸盐的成分类似。因此,可以进一步提高添加第二硅烷化合物的作用。另外,允许在没有纯化工艺的情况下向蚀刻组合物中添加生成硅烷无机酸盐的反应液。即,未反应的第二硅烷化合物可以有效地添加至蚀刻组合物中。
基于蚀刻组合物的总重量,第二硅烷化合物的含量可以为约0.001至约15wt%,优选约0.005至约10wt%,更优选约0.01至约5wt%。当第二硅烷化合物的添加量少于约0.001wt%时,由于第二硅烷化合物的含量较小,所以难以控制选择性。当第二硅烷化合物的添加量高于约15%时,引起结晶或副产物的产生。
第一无机酸作为蚀刻氮化物层的蚀刻剂添加。因此,第一无机酸可以包括任何能够蚀刻氮化物层的无机酸。例如,第一无机酸可以选自硫酸、硝酸、磷酸、硅酸、氢氟酸、硼酸、盐酸、氯酸以及它们的组合。
优选地,为了得到氮化物层相对于氧化物层的蚀刻选择性,可以使用磷酸作为第一无机酸。通过向蚀刻组合物中提供氢离子,磷酸可以加速蚀刻。当使用磷酸作为第一无机酸时,蚀刻组合物还可以包括硫酸作为添加剂。硫酸可以提高含有磷酸作为第一无机酸的蚀刻组合物的沸点,从而促进蚀刻氮化物层。
第一无机酸的含量可以为约70至99wt%,优选约70至90wt%,更优选约57至约85wt%。当第一无机酸的含量低于约70wt%时,对有效去除氮化物层和颗粒的产生造成困难。当第一无机酸的含量高于约99wt%时,难以得到氮化物层的高选择性。
如上所述,蚀刻组合物可以包含溶剂。具体地,溶剂可以包括水和去离子水。
蚀刻组合物还可以包含铵类化合物。铵类化合物的含量可以为约0.01至约20wt%。即使蚀刻组合物使用相对较长的时间,蚀刻组合物中包含的铵类化合物可以防止蚀刻速度的降低和选择性的变动。另外,铵类化合物可以恒定地维持蚀刻速度。
当铵类化合物的含量低于约0.01wt%时,维持选择性的有利效果会劣化。当铵类化合物的含量高于约20wt%时,氮化物层和氧化硅层之间的蚀刻速度改变。因此,选择性会改变。
铵类化合物可以选自氢氧化铵、氯化铵、乙酸铵、磷酸铵、过二硫酸铵、硫酸铵、氢氟酸铵盐以及它们的组合中。然而,铵类化合物不限于此。例如,铵类化合物可以包括含有铵离子的化合物。例如,铵类化合物可以包括NH4和HCl。
蚀刻组合物还可以包含氟类化合物。氟类化合物的含量可以为约0.01至约1wt%。当氟类化合物的含量低于约0.01wt%时,会降低氮化物层的蚀刻速度。因此,难以去除氮化物层。当氟类化合物的含量高于约1wt%时,氮化物层的蚀刻速度会显著提高。然而,氧化物层会被意外地蚀刻。
氟类化合物可以选自氟化氢、氟化铵、氟化氢铵以及它们的组合。优选地,因为氟化氢铵可以在蚀刻组合物使用相对长时间的情况下提高维持的选择性,所以可以使用氟化氢铵。
另外,为了提高其蚀刻性能,本实施方案的蚀刻组合物还可以包含本领域通常使用的添加剂。本实施方案中可以使用的添加剂的例子包括表面活性剂、螯合剂、防腐蚀剂等。
本实施方案的包含硅烷无机酸盐的蚀刻组合物表现出氮化物层相对于氧化物层的显著高的蚀刻选择性,因此可以用于蚀刻氮化物层的工艺中。
因此,在使用本实施方案的蚀刻组合物的氮化物膜蚀刻工艺中,通过使氮化物膜的蚀刻速度最小化,可以容易地控制EFH。另外,在使用所述蚀刻组合物选择性地蚀刻并去除氮化物膜的工艺中,可以避免由氧化物的膜的破坏或氧化物膜的蚀刻引起的电学性能的劣化,并且不产生颗粒,这会改善器件性能。
根据实施方案的另一方面,可以提供半导体器件的制备方法,该制备方法包括使用本实施方案的蚀刻组合物进行蚀刻工艺。
在一个示例性实施方案中,这个蚀刻工艺可以包括蚀刻氮化物层。具体地,蚀刻工艺可以包括相对于氧化物膜选择性蚀刻氮化物膜。
氮化物层可以包括SiN膜、SiON膜等。
另外,氧化物膜可以为选自氧化硅膜中的至少一种膜,例如,SOD(电介质旋涂)膜、HDP(高密度等离子体)膜、热氧化物膜、BPSG(硼磷酸硅酸盐玻璃)膜、PSG(磷硅酸盐玻璃)膜、BSG(硼硅酸盐玻璃)膜、PSZ(聚硅氮烷)膜、FSG(氟化硅酸盐玻璃)膜、LPTEOS(低压原硅酸四乙酯)膜、PETEOS(等离子体强化原硅酸四乙酯)膜、HTO(高温氧化物)膜、MTO(中温氧化物)膜、USG(未掺杂硅酸盐玻璃)膜、SOG(玻璃旋涂)膜、APL(高级平坦层)膜、ALD(原子层沉积)膜、等离子体强化氧化物膜、O3-TEOS(O3-原硅酸四乙酯)膜以及它们的组合。
使用本实施方案的蚀刻组合物的蚀刻工艺可以通过本领域已知的湿式蚀刻方法进行,例如,浸渍法或喷雾法。
蚀刻工艺可以在约50℃和约300℃之间并且优选约100℃与约200℃之间的温度范围内进行。鉴于其他工艺和其他因素,蚀刻工艺的温度可以适当变化。
在包括使用本实施方案的蚀刻组合物进行蚀刻工艺的半导体器件的制备方法中,可以由氮化物膜和氧化物膜交替堆积或一起存在的结构选择性地蚀刻氮化物膜。另外,可以避免在常规蚀刻工艺中存在的问题:颗粒产生,从而保证工艺稳定性和可靠性。
因此,这个方法可以有效地用于相对于氧化物膜需要将氮化物膜选择性地进行蚀刻的各种半导体制备工艺中。
图2A至图2C为示出根据至少一个实施方案的闪存装置的装置隔离工艺的横截面图。此处,装置隔离工艺可以包括使用根据本实施方案的蚀刻组合物(例如,高选择性蚀刻组合物)的蚀刻工艺。
参照图2A,在至少一个实施方案中,在基底20上可以形成隧道氧化物层21、多晶硅层22、缓冲氧化物层23和/或氮化物垫层24。例如,在一些实施方案中,在基底20上可以依次形成隧道氧化物层21、多晶硅层22、缓冲氧化物层23和/或氮化物垫层24。
可以通过光刻和蚀刻工艺选择性地蚀刻氮化物垫层24、缓冲氧化物层23、多晶硅层22和/或隧道氧化物层21以暴露基底20的装置隔离区域。然后,可以使用氮化物垫层24作为掩膜选择性地蚀刻基底20的暴露区域以在基底20的表面上形成至少一个具有预定深度的沟槽25。
参照图2B,可以在基底20的整个表面上形成氧化物层26,目的是间隙填充至少一个沟槽25。例如,可以通过化学气相沉积(CVD)形成氧化物层26。
可以使用氮化物垫层24作为抛光停止层在氧化物层26上进行化学机械抛光(CMP)工艺。然后,可以使用干式蚀刻进行清洁工艺。
参照图2C,可以使用根据本实施方案的蚀刻组合物通过湿式蚀刻工艺选择性地去除氮化物垫层24,然后可以通过清洁工艺去除缓冲氧化物层23,从而在场区域内形成装置隔离层26A。
如图2C所示,在至少一个实施方案中,可以使用氮化物层相对于氧化物层具有高蚀刻选择性的高选择性蚀刻组合物。当使用高选择性蚀刻组合物时,可以在充足的时间内选择性地去除氮化物层,同时填充在STI图案中的氧化物层的蚀刻最小化。此时,可以充分进行选择性去除氮化物层。因此,在使用高选择性蚀刻组合物的本实施方案中,可以容易地控制有效场氧化物高度(EFH)。另外,在使用高选择性蚀刻组合物中的本实施方案中,可以防止由对氧化层的损坏以及氧化物层的蚀刻引起的电学特征劣化和颗粒的产生,从而改善器件特征。
如上所述,根据本实施方案的高选择性蚀刻组合物可以用于闪存装置的装置隔离工艺。例如,根据本实施方案的高选择性蚀刻组合物可以用于DRAM装置的装置隔离工艺。
图3A至3F为示出根据至少一个实施方案形成闪存装置隧道的工艺的横截面图。此处,隧道形成工艺可以包括使用根据本实施方案的蚀刻组合物(例如,高选择性蚀刻组合物)的蚀刻工艺。
参照图3A,在至少一个实施方案中,可以在基底30上形成管栅电极层31。此时,形成管通道的氮化物层32可以掩埋在管栅电极层31内。此处,管栅电极层31包括第一导电层31A和/或第二导电层31B。例如,第一导电层31A和第二导电层31B中的至少一层可以包括掺杂杂质的多晶硅。
更具体地,在基底30上形成第一导电层31A,并且在第一导电层31A上沉积氮化物层并且图案化来形成用于形成至少一个管通道的氮化物层32。接下来,在通过氮化物层32暴露的第一导电层31A上形成第二导电层31B。第一导电层31A和/或第二导电层31B形成管栅电极层31。
为了形成多个垂直堆叠的存储单元,至少一个第一层间绝缘层33和至少一个第一栅电极层34可以如图3A所示交替堆叠。下文中,为了叙述方便,至少一个第一层间绝缘层33和至少一个第一栅电极层34的交替堆叠结构将称作“单元栅结构(CGS)”。
此处,至少一个第一层间绝缘层33可以通过多个层起到隔离存储单元的作用。例如,在至少一个实施方案中,至少一个第一层间绝缘层33可以包含氧化物层,并且至少一个第一栅电极层34可以包含掺杂杂质的多晶硅。如图3A所示,显示至少一个第一层间绝缘层33和/或至少一个第一栅电极层34包括六层,但是不限于此。
可以选择性地蚀刻单元栅结构(CGS)来形成至少一个暴露氮化物层32的孔。例如,可以选择性地蚀刻单元栅结构(CGS)来形成一对暴露氮化物层32的第一孔H1和第二孔H2。此处,所述第一孔H1和第二孔H2可以为形成存储单元的隧道的区域。
参照图3B,可以形成掩埋在第一孔H1和第二孔H2中的至少一个氮化物层。此时,当至少一个第一栅电极层34通过第一孔H1和第二孔H2暴露时,至少一个氮化物层35可以起到防止在沟槽形成过程(在后面的图3C中描述)中发生损坏的作用。
参照图3C,为了将至少一个第一栅电极层34分隔为与每个第一孔H1和第二孔H2对应的部分,可以通过在一对第一孔H1和第二孔H2之间选择性地蚀刻单元栅结构(CGS)形成沟槽“S”。
参照图3D,可以形成掩埋在沟槽“S”中的牺牲层36。
参照图3E,在至少一个实施方案中,为了形成选择晶体管,可以在经历上述过程(例如,与图3A至图3D有关的描述的过程)后的结构(例如,在图3D中显示的结构)上依次形成的至少一个第二层间绝缘层37和至少一个第二栅电极层38。例如,如图3E所示,可以依次形成第二层间绝缘层37、第二栅电极层38,以及另一第二层间绝缘层37。下文中,为了便于描述,至少一个第二层间绝缘层37和至少一个第二栅电极层38的堆叠结构将会称作“选择栅结构(SGS)”。
例如,在至少一个实施方案中,所述至少一个第二层间绝缘层37可以包含氧化物层,但是不限于此。所述至少一个第二栅电极层38可以包含掺杂杂质的多晶硅,但是不限于此。
可以选择性蚀刻所述选择栅结构(SGS)来形成至少一个使掩埋在一对第一孔H1和第二孔H2中的氮化物层35暴露的孔。例如,可以选择性地蚀刻选择栅结构(SGS)来形成使掩埋在一对第一孔H1和第二孔H2中氮化物层35暴露的第三孔H3和第四孔H4。此处,第三孔H3和第四孔H4可以为形成选择晶体管隧道的区域。
参照图3F,使用根据本实施方案的蚀刻组合物通过湿式蚀刻工艺可以选择性地去除(i)通过第三孔H3和第四孔H4暴露的氮化物层35和(ii)设置在氮化物层35下面的氮化物层32。
当根据本实施方案进行形成闪存的隧道过程(包括蚀刻工艺)时,可以形成至少一个用于形成存储单元的隧道层的隧道孔(例如,一对隧道孔H5和H6)。另外,在隧道孔H5和H6的下面可以形成至少一个管隧道孔(例如,H7),因此隧道孔H5和H6可以互相连接。在根据本实施方案形成闪存的隧道的过程(包括蚀刻工艺)中,使用高选择性蚀刻组合物在不损耗氧化物层的情况下可以有充足的时间选择性地去除氮化物层,因此在没有型面损失的情况下可以精确地形成管隧道。此时,可以彻底进行氮化物层的此选择性去除。另外,在根据本实施方案形成闪存的通道的过程(包括蚀刻工艺)中,可以防止诸如颗粒产生等典型问题,因此可以保证工艺的稳定性和可靠性。
然后,可以进行诸如形成浮栅极的工艺和形成控制栅的工艺的后续工艺,从而形成闪存装置。
图4A和图4B是根据至少一个实施方案说明形成相变存储器件的二极管的工艺的横截面图。此处,二极管形成工艺可以包括使用根据本实施方案的蚀刻组合物(例如,高选择性蚀刻组合物)的蚀刻工艺。
参照图4A,在至少一个实施方案中,绝缘结构可以设置在基底40上。此处,绝缘结构可以包括使导电区域41暴露的孔。例如,导电区域41可以为n+杂质区域,但是不限于此。
可以形成多晶硅层42,目的是填充孔区域,接下来离子注入杂质,从而形成二极管。
可以在多晶硅层42上形成硅化钛层43。例如,可以通过形成钛层以及对形成的钛层进行热处理使其与多晶硅层42反应来形成硅化钛层43。
可以在硅化钛层43上依次形成氮化钛层44和氮化物层45。例如,可以在硅化钛层43上形成氮化钛层44,然后在氮化钛层44上形成氮化物层45。
可以在二极管之间的隔离空间内形成氧化物层46,该隔离空间通过使用硬质掩模的干式蚀刻工艺形成。然后,可以进行化学机械抛光(CMP)工艺形成互相隔离的底电极的基本结构。
参照图4B,可以通过在经过图4A相关描述的上述工艺产生的结构上进行湿式蚀刻工艺选择性地去除氮化物层45。此处,可以使用根据本实施方案的蚀刻组合物(例如,高选择性蚀刻组合物)进行湿式蚀刻工艺。在至少一个实施方案中,可以使用高选择性蚀刻组合物来去除氮化物层。此时,在不损坏氧化物层的情况下可以有充足的时间选择性地去除氮化物层。可以彻底进行氮化物层的此选择性去除。另外,在使用高选择性蚀刻组合物的本实施方案中,可以防止由氧化物层的损坏或氧化物层的蚀刻引起的电学性能劣化和颗粒的产生,从而改善电学特征。在去除氮化物层45之后剩余的空间内可以沉积钛,从而形成底电极。
如上所述,使用根据本实施方案的高选择性蚀刻组合物的蚀刻工艺可以用于各种半导体器件制备方法。例如,根据本实施方案的此蚀刻工艺可以用于需要选择性去除氮化物层的工艺。更具体地,根据本实施方案的此蚀刻工艺可以用于从氮化物层和氧化物层交替堆叠或共存的结构中需要选择性蚀刻氮化物层的工艺中。
下文中,将参照实施例和对比例更详细地描述本发明的实施方案。然而,应该理解,这些实施例是出于示例性目的而且并不旨在限制本发明的实施方案的范围。
[第一实施方案A:制备蚀刻组合物]
在第一实施方案A中,可以通过以如下面的表1A所示的预定重量比混合至少一种硅烷无机酸盐和磷酸来生成蚀刻组合物。作为第一无机酸,使用85%的水溶液。
表1A
1)1stIA:第一无机酸
2)2ndIA:第二无机酸
3)PA:磷酸
图5为示出根据第一实施方案A生成的硅烷无机酸盐的核磁共振(NMR)数据的图像。
参照图5,该图像示出根据至少一个实施方案的蚀刻组合物中的至少一种硅烷无机酸盐。即,用R1为甲基且R2至R4为氯的化学式A1表示的化合物与磷酸(例如,第二无机酸)反应。因此,可以产生至少一种硅烷无机酸盐。即,图5的图像包括在约11.1364ppm处和约11.4053处的宽峰,它们不同于表示单一化合物的尖峰。因此,此宽峰表明蚀刻组合物包括多个具有不同化学式的硅烷无机酸盐。
[实验实施例A1:测量蚀刻组合物的选择性]
使用本实施方案的蚀刻组合物,在157℃的工艺温度下进行氮化物层和氧化物层的蚀刻。使用膜厚度测量***的椭圆仪(NANOVIEW,SEMG-1000)测量氮化物层和氧化物层的蚀刻速度和选择性。测量结果示在下面的表A2中。通过蚀刻每层约300秒并测量蚀刻之前每层的厚度与蚀刻之后每层的层厚度之间的差值来确定蚀刻速度。因此,通过用厚度差除以蚀刻时间(分钟)得到蚀刻速度。蚀刻选择性表示为氮化物层的蚀刻速度与氧化物层的蚀刻速度的比率。
表A2
1)ThO:热氧化物层
2)LP-TEOS:低压原硅酸四乙酯层
3)BPSG:硼磷酸盐硅酸盐玻璃层
[对比例A1至A3:制备蚀刻组合物]
在对比例A1中,在工艺温度为157℃下,使用磷酸进行蚀刻。以与上面的实施例相同的方式测量蚀刻速度和蚀刻选择性。在对比例2中,使用在130℃的低温下混合的0.05%氢氟酸和磷酸的混合物进行蚀刻。在对比例A3中,在157℃的工艺温度下使用与对比例A2相同的混合物进行蚀刻。在对比例A2和A3中,以与上面的实施例相同的方式测量蚀刻速度和选择性。在对比例A1至A3中使用的磷酸为磷酸的85%水溶液。对比例A1至A3的测量结果示在下面的表A3中。
表A3
由表2和表3可以看出,与对比例A1至A3的蚀刻选择性相比,蚀刻组合物显示氮化物层相对于氧化物层的明显高的蚀刻选择性。因此,当使用本实施方案的高选择性蚀刻组合物时,可以通过控制氧化物层的蚀刻速度容易地控制EEH,并且可以防止对氧化物层的损坏。另外,可以防止问题性的颗粒产生,因此保证蚀刻工艺的稳定性和可靠性。
[实验实施例A2:测量随时间的变动]
将在实施例A1和A2中生成的蚀刻组合物与磷酸混合。在混合后立即(0小时)和在混合后8小时时,使用每种混合物进行氮化物层和氧化物层的蚀刻。测量氮化物层和氧化物层蚀刻速度和选择性。在对比例4中(基础PA),以与上面的实施例相同的方式使用磷酸评价氮化物层与氧化物层的蚀刻速度和选择性。
在160℃的工艺温度下进行评价。通过蚀刻每层约300秒并测量蚀刻之前每层的厚度与蚀刻之后每层的层厚度之间的差值来确定蚀刻速度。因此,通过用厚度差除以蚀刻时间(分钟)得到蚀刻速度。蚀刻选择性表示为氮化物膜的蚀刻速度与PSZ膜的蚀刻速度的比率。评价结果示在下面的表A4中。
表A4
1)PSZ:聚硅氮烷层
由表A4中可以看出,与包含磷酸的常规蚀刻组合物相比,本实施方案的蚀刻组合物表现非常高的氮化物层蚀刻选择性。因此,当使用本实施方案的高选择性的蚀刻组合物去除氮化物层时,可以选择性地蚀刻氮化物层,同时可以防止氧化物层的损害或氧化物层的蚀刻引起的电学性能的劣化或防止颗粒的产生,这会改善器件性能。
[第二实施方案B:制备蚀刻组合物]
根据第二实施方案B,通过以下面的表B1中显示的重量比混合硅烷无机酸盐与磷酸来制备蚀刻组合物。作为第一无机酸,使用85%的水溶液。
表B1
1)1stIA:第一无机酸
2)2ndIA:第二无机酸
3)PT:工艺温度
[实验实施例B1:测量蚀刻组合物的选择性]
使用第二实施方案B1的蚀刻组合物,在157℃的工艺温度下进行氮化物层和氧化物层的蚀刻。使用膜厚度测量***的椭圆仪(NANOVIEW,SEMG-1000)测量氮化物层和氧化物层的蚀刻速度和选择性。测量结果示在下面的表B2中。通过蚀刻每层约300秒并测量蚀刻之前每层的厚度与蚀刻之后每层的层厚度之间的差值来确定蚀刻速度。因此,通过用厚度差除以蚀刻时间(分钟)得到蚀刻速度。蚀刻选择性表示为氮化物层的蚀刻速度与氧化物层的蚀刻速度的比率。
表B2
1)ThO:热氧化物层
2)LP-TEOS:低压原硅酸四乙酯层
3)BPSG:硼磷酸盐硅酸盐玻璃层
[对比例B1至B3:制备蚀刻组合物]
在对比例B1中,在工艺温度为157℃下,使用磷酸进行蚀刻。以与上面的实施例相同的方式测量蚀刻速度和蚀刻选择性。在对比例B2中,使用在130℃的低温下混合的0.05%氢氟酸和磷酸的混合物进行蚀刻。以与上面的实施例相同的方式测量蚀刻速度和蚀刻选择性。在对比例B3中,在157℃的工艺温度下使用与对比例B2相同的混合物进行蚀刻。以与上面的实施例相同的方式测量蚀刻速度和选择性。在对比例B1至B3中使用的磷酸为磷酸的85%水溶液。对比例B1至B3的测量结果示在下面的表B3中。
表B3
由表B2和表B3可以看出,与对比例B1至B3的蚀刻选择性相比,蚀刻组合物显示氮化物层相对于氧化物层的明显高的蚀刻选择性。因此,当使用本实施方案的高选择性蚀刻组合物时,可以通过控制氧化物层的蚀刻速度容易控制EEH,并且可以防止对氧化物层的损坏。另外,可以防止问题性的颗粒产生,因此保证蚀刻工艺的稳定性和可靠性。
[第三实施方案C:制备蚀刻组合物]
根据第三实施方案C,通过以下面的表C1中显示的重量比混合硅烷无机酸盐与磷酸来制备蚀刻组合物。作为第一无机酸,使用85%的水溶液。
表C1
1stIA:第一无机酸
2ndIA:第二无机酸
PT:工艺温度
[实验实施例C1:测量制备的蚀刻组合物的选择性]
使用第三实施方案C1的蚀刻组合物,在157℃的工艺温度下进行氮化物层和氧化物层的蚀刻。使用膜厚度测量***的椭圆仪(NANOVIEW,SEMG-1000)测量氮化物层和氧化物层的蚀刻速度和选择性。测量结果示在下面的表B2中。通过蚀刻每层约300秒并测量蚀刻之前每层的厚度与蚀刻之后每层的层厚度之间的差值来确定蚀刻速度。因此,通过用厚度差除以蚀刻时间(分钟)得到蚀刻速度。蚀刻选择性表示为氮化物层的蚀刻速度与氧化物层的蚀刻速度的比率。
表C2
1)ThO:热氧化物层
2)LP-TEOS:低压原硅酸四酯层
3)BPSG:硼磷酸盐硅酸盐玻璃层
[对比例C1至C3:制备蚀刻组合物]
在对比例C1中,在工艺温度为157℃下,使用磷酸进行蚀刻。以与上面的实施例相同的方式测量蚀刻速度和蚀刻选择性。在对比例C2中,使用在130℃的低温下混合的0.05%氢氟酸和磷酸的混合物进行蚀刻。以与上面的实施例相同的方式测量蚀刻速度和蚀刻选择性。在对比例C3中,在157℃的工艺温度下使用与对比例C2相同的混合物进行蚀刻。以与上面的实施例相同的方式测量蚀刻速度和蚀刻选择性。在对比例C1至C3中使用的磷酸为磷酸的85%水溶液。对比例C1至C3的测量结果示在下面的表C3中。
表C3
由表C2和表C3可以看出,与对比例C1至C3的蚀刻选择性相比,蚀刻组合物显示氮化物层相对于氧化物层的明显高的蚀刻选择性。因此,当使用本实施方案的高选择性蚀刻组合物时,可以通过控制氧化物层的蚀刻速度容易控制EEH,并且可以防止对氧化物层的损坏。另外,可以防止问题性的颗粒产生,因此保证蚀刻工艺的稳定性和可靠性。
[实验实施例C2:测量随时间的变化]
使用在实施例C1中生成的蚀刻组合物,在与磷酸混合后立即(0小时)和与磷酸混合后8小时时,对氮化物层和氧化物层进行蚀刻。测量氮化物层和氧化物层蚀刻速度和选择性。在对比例C4中,以与上面的实施例相同的方式使用磷酸评价氮化物层与氧化物层的蚀刻速度和选择性。
在160℃的工艺温度下进行评价。通过蚀刻每层约300秒并测量蚀刻之前每层的厚度与蚀刻之后每层的层厚度之间的差值来确定蚀刻速度。因此,通过用厚度差除以蚀刻时间(分钟)得到蚀刻速度。蚀刻选择性表示为氮化物膜的蚀刻速度与PSZ膜的蚀刻速度的比率。评价结果示在下面的表C4中。
表C4
1)PSZ:聚硅氮烷层
由表C4中可以看出,与包含磷酸的常规蚀刻组合物相比,实施例C1的蚀刻组合物表现非常高的氮化物层蚀刻选择性。因此,当使用本实施方案的高选择性的蚀刻组合物去除氮化物层时,可以选择性地蚀刻氮化物层,同时可以防止由对氧化物层的损害引起的电学性能的劣化或氧化物层的蚀刻,这会改善器件性能。
[第四实施方案D:制备蚀刻组合物]
根据第四实施方案D,通过以下面的表D1中显示的重量比混合硅烷无机酸盐与磷酸来制备蚀刻组合物。作为磷酸,使用85%的水溶液。
表D1
[实验实施例D1:测量制备的蚀刻组合物的选择性]
使用第四实施方案制备的蚀刻组合物,在157℃的工艺温度下进行氮化物层和氧化物层的蚀刻。使用膜厚度测量***的椭圆仪(NANOVIEW,SEMG-1000)测量氮化物层和氧化物层的蚀刻速度和选择性。测量结果示在下面的表D2中。通过蚀刻每层约300秒并测量蚀刻之前每层的厚度与蚀刻之后每层的层厚度之间的差值来确定蚀刻速度。因此,通过用厚度差除以蚀刻时间(分钟)得到蚀刻速度。蚀刻选择性表示为氮化物层的蚀刻速度与氧化物层的蚀刻速度的比率。
表D2
1)ThO:热氧化物层
2)LP-TEOS:低压原硅酸四乙酯层
3)BPSG:硼磷酸盐硅酸盐玻璃层
[对比例D1至D3:制备蚀刻组合物]
在对比例D1中,在工艺温度为157℃下,使用磷酸进行蚀刻。以与上面的实施例相同的方式测量蚀刻速度和蚀刻选择性。在对比例D2中,使用在130℃的低温下混合的0.05%氢氟酸和磷酸的混合物进行蚀刻。在对比例D3中,在157℃的工艺温度下使用与对比例D2相同的混合物进行蚀刻。在对比例D2和D3中,以与上面的实施例相同的方式测量蚀刻速度和选择性。在对比例D1至D3中使用的磷酸为磷酸的85%水溶液。对比例D1至D3的测量结果示在下面的表D3中。
表D3
由表D2和表D3可以看出,与对比例A1至A3的蚀刻选择性相比,蚀刻组合物显示氮化物层相对于氧化物层的明显高的蚀刻选择性。因此,当使用本实施方案的高选择性蚀刻组合物时,可以通过控制氧化物层的蚀刻速度容易控制EFH,并且可以防止对氧化物层的损坏。另外,可以防止问题性的颗粒产生,因此保证蚀刻工艺的稳定性和可靠性。
此处提及的“一个实施方案”或“实施方案”是指有关实施方案描述的具体特点、结构或特征能够包含在本发明的至少一个实施方案中。在说明书的不同地方出现的短语“在一个实施方案中”不一定全部指相同的实施方案,也不一定为相互排除其他实施方案的单独的或可选的实施方案。同样的原理适用于术语“实施”。
正如在该申请中使用的,此处使用的单词“示例性”指起到实施例、例子或解释性的作用。此处描述为“示例性”的任何方面或设计不一定理解为比其他方面或设计优选地或有优势的。不如说,单词“示例性”的使用意在以具体的方式说明概念。
另外,术语“或者”意思是指包含性的“或”而不是排除性的“或”。即,除非特别指定,或者从文章中可以明显看出,“X使用A或B”意思是指任何自然包含性置换。即,如果X使用A;X使用B;或X使用A和B,那么在前述任何情况下都满足“X使用A或B”。另外,该申请和所附权利要求书中使用的冠词“一”和“一”应该通常理解为指“一以上”,除非特别指定或者从上下文中可以明显看出是指单数形式。
此外,术语“***”、“组件”、“模块”、“界面”、“模型”等通常指计算机相关概念,硬件、硬件与软件的结合、软件或执行的软件。例如,组件可以为,但是不限于,运行处理器的过程、处理器、对象、执行挡、执行线程、程序,和/或计算机。通过解释,在处理器上运行的应用程序和控制器可以为组件。一个以上组件可以位于一个程序内和/或执行线程内并且组件可以位于一个计算机上和/或分布在两个以上计算机之间。
本发明可以以方法和实施那些方法的装置的方式具体化。本发明也可以以程序代码的形式具体化,可具体化在可触媒体、非触媒体,例如磁记录介质、光记录介质、固态记忆、软式磁碟片、CD-ROM、硬盘驱动、或任何其他机器可读的存储介质中,其中,当程序代码载入并通过机器,例如计算机,执行时,机器变为实施本发明的装置。本发明也可以以程序代码的形式具体化,例如,是否存储在存储介质中、载入和/或通过机器执行、或经过一些传播介质或载体传播,例如通过电线或电缆、通过光纤、或通过电磁辐射,其中,当程序代码载入并通过机器执行时,例如计算机,机器变为实施本发明的装置。当在通用型的处理器上执行时,程序代码片段与处理器结合来提供独特部件,该独特部件与特定逻辑电路类似执行。本发明也可以使用本发明的方法和/或装置产生,以通过介质、电学或光学传输的比特流或其他信号值序列、磁记录介质中的存储磁场变化的形式具体化。
应该理解,此处提出的示例性方法的步骤不一定需要以描述的顺序进行,并且此方法的步骤的顺序应该理解为仅是示例性的。同样地,在与本发明的不同实施方案一致的方法中,这些方法中可以包括额外得步骤,并且某些步骤可以省略或组合。
正如此处使用的元件和标准,术语“兼容”指元件与其他元件以通过该标准整体或部分指定的方式相通,并且可以被其他元件识别为充分地能够以标准指定的方式与其他元件相通。兼容元件不需要内在地以标准指定的方式操作。
此处没有权利要求要素按照35U.S.C.§112,第六段来解释,除非要素使用短语“是指”或“意指”明确叙述。
虽然此处已经描述了本发明的实施方案,但是应该知道,前述实施方案和优势只是实施例并且不应该理解为限制本发明或权利要求书的范围。本领域技术人员可以设计众多其他改变和实施方案,这些改变和实施方案落入本申请的精神和原则的范围内,并且该规定也可以容易地适用于其他类型得装置,更具体地,在本申请、附图和所附权利要求书的范围内,可以进行在主题排列组合的元件部分和/或的布置进行各种变化和改变。除了元件部分和/或布置中的变化和改进之外,可替代性使用对本领域技术人员也是显而易见的。

Claims (20)

1.一种组合物,包含:
第一无机酸;
至少一种通过第二无机酸和硅烷化合物之间的反应生成的硅烷无机酸盐;以及
溶剂,
其中,所述第二无机酸为选自硫酸、发烟硫酸、硝酸、磷酸、无水磷酸以及它们的组合中的至少一种;并且
所述硅烷化合物为由第一化学式表示的化合物:
其中,R1至R4中的每一个选自氢、卤素、(C1-C10)烷基、(C1-C10)烷氧基和(C6-C30)芳基,并且R1至R4中的至少一个为卤素和(C1-C10)烷基中的一种。
2.根据权利要求1所述的组合物,其中,所述组合物包含0.01至15wt%的至少一种硅烷无机酸盐,70至99wt%的第一无机酸以及余量的溶剂。
3.根据权利要求1所述的组合物,其中,所述第一无机酸为选自硫酸、硝酸、磷酸、硅酸、氢氟酸、硼酸、盐酸、高氯酸以及它们的组合中的至少一种。
4.根据权利要求1所述的组合物,其中,相对于所述组合物的总重量,该组合物还包含0.01至20wt%的铵类化合物。
5.根据权利要求1所述的组合物,其中,相对于所述组合物的总重量,该组合物还包含0.01至1wt%的氟类化合物。
6.一种组合物,包含:
第一无机酸;
至少一种通过多磷酸和硅烷化合物之间的反应生成的硅烷无机酸盐;以及
溶剂。
7.根据权利要求6所述的组合物,其中,至少一种硅烷无机酸盐包括由第二化学式表示的化合物:
其中,i)R1选自氢、卤素、(C1-C10)烷基、(C1-C10)烷氧基和(C6-C30)芳基,ii)n1为1至4的一个整数,iii)m1为1至10的一个整数,iv)R2至R4中的每一个为氢。
8.根据权利要求7所述的组合物,其中,在由第二化学式表示的至少一种硅烷无机酸盐中,选自R2至R4中的一个氢原子被由第三化学式表示的取代基取代:
其中,i)一个R5与第二化学式连接,ii)其他的R5选自氢、卤素、(C1-C10)烷基、(C1-C10)烷氧基和(C6-C30)芳基,iii)R2至R4中的每一个为氢或被由第三化学式表示的取代基取代,iv)n2为0至3的一个整数,v)m2为1至10的一个整数。
9.一种组合物,包含:
第一无机酸;
至少一种通过第二无机酸和硅氧烷化合物之间的反应生成的硅氧烷无机酸盐;以及
溶剂,
其中,所述第二无机酸为选自磷酸、无水磷酸、焦磷酸、多磷酸以及它们的组合中的一种。
10.根据权利要求9所述的组合物,其中,至少一种硅氧烷无机酸盐包括由第四化学式表示的化合物:
其中,i)R1至R2中的每一个选自氢、卤素、(C1-C10)烷基、(C1-C10)烷氧基和(C6-C30)芳基,ii)n1为0至3的一个整数,iii)n2为0至2的一个整数,iv)m1为整数0和1中的一个,v)n1、n2和m1之和等于或大于1,n1+n2+m1≥1,vii)l1为1至10的一个整数,vii)O1至O3中的每一个为0至10的一个整数,viii)R3至R11中的每一个为氢。
11.根据权利要求10所述的组合物,其中,在由第四化学式表示的至少一种硅氧烷无机酸盐中,选自R3至R11中的至少一个氢被由第五化学式表示的取代基取代:
其中,i)R12和R13中的一个与第四化学式连接,ii)其他的R12和R13独立地选自氢、卤素、(C1-C10)烷基、(C1-C10)烷氧基和(C6-C30)芳基,iii)R3至R11中的每一个为氢或者被由第五化学式表示的取代基取代,iv)n3为0至3的一个整数,v)n4为0至2的一个整数,vi)m1为0至1的一个整数,vii)l1为1至10的一个整数,viii)O1至O3中的每一个为0至10的一个整数。
12.一种组合物,包含:
第一无机酸;
至少一种通过第二无机酸和硅氧烷化合物之间的反应生成的硅氧烷无机酸盐;以及
溶剂,
其中,所述第二无机酸为选自硫酸、发烟硫酸以及它们的组合中的一种。
13.根据权利要求12所述的组合物,其中,至少一种硅氧烷无机酸盐包括由第六化学式表示的化合物:
其中,R21和R22中的每一个独立地选自氢、卤素、(C1-C10)烷基、(C1-C10)烷氧基和(C6-C30)芳基,ii)n1为0至3的一个整数,iii)n2为0至2的一个整数,iv)m1为整数0和1中的一个,v)n1、n2和m1之和等于或大于1,n1+n2+m1≥1,vi)l1为1至10的一个整数,vii)R23至R25中的每一个为氢。
14.根据权利要求13所述的组合物,其中,在所述至少一种硅氧烷无机酸酸盐中,选自R23至R25中的至少一个氢原子被由第七化学式表示的取代基取代:
其中,R26和R27中的一个与第六化学式连接,ii)其他的R26和R27独立地选自氢、卤素、(C1-C10)烷基、(C1-C10)烷氧基和(C6-C30)芳基,iii)R23至R25中的每一个为氢或被由第七化学式表示的取代基取代,iv)n3为0至3的一个整数,v)n4为0至2的一个整数,vi)m1为0至1的一个整数,vii)l1为1至10的一个整数。
15.一种组合物,包含:
第一无机酸;
至少一种通过包括硝酸的第二无机酸和硅氧烷化合物之间诱导的反应生成的硅氧烷无机酸盐;以及
溶剂。
16.根据权利要求15所述的组合物,其中,至少一种硅氧烷无机酸盐包括由第八化学式表示的化合物:
其中,i)R31和R32中的一个独立地选自氢、卤素、(C1-C10)烷基、(C1-C10)烷氧基和(C6-C30)芳基,ii)n1为0至3的一个整数,iii)n2为0至2的一个整数,iv)m1为整数0和1中的一个,v)n1、n2和m1之和等于或大于1,n1+n2+m1≥1,vi)l1为1至10的一个整数,vii)R33至R35中的每一个为氢。
17.根据权利要求16所述的组合物,其中,在所述至少一种硅氧烷无机酸盐中,选自R33至R35中的至少一个氢被由第九化学式表示的取代基取代:
其中,i)R36和R37中的一个与第八化学式连接,ii)其他的R36和R37独立地选自氢、卤素、(C1-C10)烷基、(C1-C10)烷氧基和(C6-C30)芳基,iii)R33至R35中的每一个为氢或被由第九化学式表示的取代基取代,iv)n3为0至3的一个整数,v)n4为0至2的一个整数,vi)m1为0至1的一个整数,vii)l1为1至10的一个整数。
18.一种组合物,包含:
第一无机酸;
至少一种通过第二无机酸和第一硅烷化合物之间诱导的反应生成的硅烷无机酸盐;
第二硅烷化合物;以及
溶剂,
其中,所述第二无机酸为选自硫酸、发烟硫酸、硝酸、磷酸、无水磷酸、焦磷酸、多磷酸以及它们的组合中的一种,
其中,所述第一硅烷化合物和所述第二硅烷化合物为选自由第十化学式表示的化合物、由第十一化学式表示的化合物以及它们的组合中的一种,
其中,所述第十化学式为:
并且
其中,所述第十一化学式为:
其中,i)R1至R10中的每一个选自氢、卤素、(C1-C10)烷基、(C1-C10)烷氧基以及(C6-C30)芳基,ii)R1至R4中的至少一个为卤素和(C1-C10)烷氧基中的一种,iii)R5至R10中的至少一个为卤素和(C1-C10)烷氧基中的一种,iv)n为1至10的一个整数。
19.根据权利要求18所述的组合物,其中,所述组合物包含0.01至15wt%的至少一种硅烷无机酸盐、70至99wt%的第一无机酸、0.001至15wt%的第二硅烷化合物以及余量的溶剂。
20.一种半导体器件的制备方法,该制备方法包括使用权利要求1所述的组合物进行蚀刻的工艺。
CN201510415950.5A 2014-07-17 2015-07-15 蚀刻用组合物 Active CN105273718B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201811622060.1A CN109913220B (zh) 2014-07-17 2015-07-15 蚀刻用组合物

Applications Claiming Priority (10)

Application Number Priority Date Filing Date Title
KR10-2014-0090662 2014-07-17
KR20140090660 2014-07-17
KR10-2014-0090660 2014-07-17
KR10-2014-0090661 2014-07-17
KR10-2014-0090663 2014-07-17
KR1020140090661A KR101539373B1 (ko) 2014-07-17 2014-07-17 식각용 조성물 및 이를 이용한 반도체 소자의 제조방법
KR1020140090663A KR101539375B1 (ko) 2014-07-17 2014-07-17 식각용 조성물 및 이를 이용한 반도체 소자의 제조방법
KR1020140090662A KR101539374B1 (ko) 2014-07-17 2014-07-17 식각용 조성물 및 이를 이용한 반도체 소자의 제조방법
KR1020150078400A KR101627181B1 (ko) 2014-07-17 2015-06-03 식각용 조성물 및 이를 이용한 반도체 소자의 제조방법
KR10-2015-0078400 2015-06-03

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201811622060.1A Division CN109913220B (zh) 2014-07-17 2015-07-15 蚀刻用组合物

Publications (2)

Publication Number Publication Date
CN105273718A true CN105273718A (zh) 2016-01-27
CN105273718B CN105273718B (zh) 2019-05-03

Family

ID=55074040

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201510415950.5A Active CN105273718B (zh) 2014-07-17 2015-07-15 蚀刻用组合物

Country Status (2)

Country Link
US (11) US9868902B2 (zh)
CN (1) CN105273718B (zh)

Cited By (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107345137A (zh) * 2016-05-04 2017-11-14 Oci有限公司 能够抑制颗粒出现的蚀刻溶液
CN107573940A (zh) * 2016-07-04 2018-01-12 Oci有限公司 氮化硅膜蚀刻溶液
CN108102654A (zh) * 2016-11-24 2018-06-01 三星电子株式会社 蚀刻剂组合物以及使用其制造集成电路器件的方法
CN108690621A (zh) * 2017-04-06 2018-10-23 (株)涛星 氮化硅膜刻蚀用混合物
CN109135752A (zh) * 2018-09-21 2019-01-04 湖北兴福电子材料有限公司 一种磷酸基蚀刻液及其配制方法
CN109207151A (zh) * 2017-07-06 2019-01-15 Oci有限公司 蚀刻组合物及利用其的蚀刻方法
CN109216187A (zh) * 2017-07-06 2019-01-15 Oci有限公司 蚀刻组合物、蚀刻方法及利用其的半导体器件的制造方法
CN109563407A (zh) * 2018-11-13 2019-04-02 长江存储科技有限责任公司 磷酸蚀刻剂的添加剂
CN109689838A (zh) * 2016-12-26 2019-04-26 秀博瑞殷株式公社 蚀刻用组合物和使用该蚀刻用组合物制造半导体器件的方法
CN109837089A (zh) * 2017-11-24 2019-06-04 东友精细化工有限公司 绝缘层蚀刻剂组合物和使用其形成图案的方法
CN109841511A (zh) * 2017-11-24 2019-06-04 东友精细化工有限公司 绝缘层蚀刻剂组合物和使用其形成图案的方法
CN110157434A (zh) * 2018-02-13 2019-08-23 东友精细化工有限公司 绝缘层蚀刻剂组合物和使用该绝缘层蚀刻剂组合物形成图案的方法
CN110229720A (zh) * 2018-03-06 2019-09-13 Skc株式会社 半导体工艺用组合物及半导体工艺
CN110628435A (zh) * 2018-06-25 2019-12-31 易案爱富科技有限公司 氮化硅膜蚀刻组合物
CN110655924A (zh) * 2018-06-28 2020-01-07 Oci有限公司 硅衬底蚀刻溶液
CN111100640A (zh) * 2018-10-25 2020-05-05 Sk新技术株式会社 用于蚀刻组合物的添加剂、其制备方法和包含其的蚀刻组合物
CN111410964A (zh) * 2019-01-08 2020-07-14 三星电子株式会社 蚀刻剂组合物和制作半导体器件的方法
CN111471462A (zh) * 2019-01-24 2020-07-31 东友精细化工有限公司 硅氮化膜蚀刻液组合物
CN112210378A (zh) * 2019-07-12 2021-01-12 Oci有限公司 氮化硅膜蚀刻溶液及其制备方法
CN112210380A (zh) * 2019-07-10 2021-01-12 Oci有限公司 氮化硅膜蚀刻溶液及使用其的半导体器件的制备方法
CN112210379A (zh) * 2019-07-09 2021-01-12 Oci有限公司 氮化硅膜蚀刻溶液及使用其的半导体器件的制备方法
CN113817471A (zh) * 2017-09-06 2021-12-21 恩特格里斯公司 用于蚀刻含氮化硅衬底的组合物及方法
CN115011350A (zh) * 2022-07-05 2022-09-06 上海集成电路材料研究院有限公司 一种蚀刻组合物、蚀刻方法及应用
TWI813803B (zh) * 2018-10-26 2023-09-01 南韓商Sk新技術股份有限公司 矽烷化合物及其應用
TWI828746B (zh) * 2018-09-03 2024-01-11 南韓商易案愛富科技有限公司 氮化矽膜蝕刻組合物及利用該組合物的方法

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9868902B2 (en) * 2014-07-17 2018-01-16 Soulbrain Co., Ltd. Composition for etching
KR102545800B1 (ko) * 2015-12-04 2023-06-20 솔브레인 주식회사 식각용 조성물 및 이를 이용한 반도체 소자의 제조방법
US10325779B2 (en) * 2016-03-30 2019-06-18 Tokyo Electron Limited Colloidal silica growth inhibitor and associated method and system
US11186771B2 (en) * 2017-06-05 2021-11-30 Versum Materials Us, Llc Etching solution for selectively removing silicon nitride during manufacture of a semiconductor device
KR20190051656A (ko) * 2017-11-07 2019-05-15 삼성전자주식회사 식각 조성물, 실리콘 질화막의 식각 방법, 및 반도체 소자의 제조 방법
KR101953380B1 (ko) * 2018-05-31 2019-02-28 엘티씨에이엠 주식회사 실리콘질화막 식각 조성물
WO2020017723A1 (ko) * 2018-07-20 2020-01-23 동우화인켐 주식회사 절연막 식각액 조성물 및 이를 이용한 패턴 형성 방법
KR102629575B1 (ko) * 2018-07-20 2024-01-26 동우 화인켐 주식회사 절연막 식각액 조성물 및 이를 이용한 패턴 형성 방법
US10982144B2 (en) * 2018-09-03 2021-04-20 Enf Technology Co., Ltd. Silicon nitride layer etching composition and etching method using the same
SG11202103910PA (en) * 2018-11-15 2021-05-28 Entegris Inc Silicon nitride etching composition and method
JP7246990B2 (ja) * 2019-03-26 2023-03-28 株式会社東芝 エッチング液、及びエッチング方法
US20220298182A1 (en) * 2019-09-30 2022-09-22 Versum Materials Us, Llc Etching Composition And Method For Selectively Removing Silicon Nitride During Manufacture Of A Semiconductor Device
CN114466852A (zh) * 2019-09-30 2022-05-10 弗萨姆材料美国有限责任公司 在半导体器件制造过程中选择性去除氮化硅的蚀刻组合物和方法
CN115287069B (zh) * 2022-07-06 2023-06-09 湖北兴福电子材料股份有限公司 一种抑制二氧化硅蚀刻的无c蚀刻液

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6033996A (en) * 1997-11-13 2000-03-07 International Business Machines Corporation Process for removing etching residues, etching mask and silicon nitride and/or silicon dioxide
CN1440449A (zh) * 2000-07-05 2003-09-03 卡伯特微电子公司 用于cmp的含硅烷的抛光组合物
CN101283067A (zh) * 2005-12-01 2008-10-08 Lg化学株式会社 具有中和高折射率的基于硅氧烷的涂料组合物、其制备方法以及由其制备的光学透镜
CN103160282A (zh) * 2011-12-16 2013-06-19 爱思开海力士有限公司 刻蚀组合物以及利用其制造半导体器件的方法

Family Cites Families (144)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3318717A (en) 1963-10-28 1967-05-09 Gen Electric Rapid curing adhesive composition for bonding silicone rubber to metallic surfaces
US4171242A (en) 1976-12-17 1979-10-16 International Business Machines Corporation Neutral pH silicon etchant for etching silicon in the presence of phosphosilicate glass
US4177200A (en) 1977-11-25 1979-12-04 General Electric Company Silyl phosphates as neutralizing agents for alkali metal hydroxides
US4125551A (en) 1978-02-13 1978-11-14 General Electric Company Process for producing silylphosphates
US4367154A (en) 1981-07-29 1983-01-04 The Dow Chemical Company Glycol compositions containing a phosphorous-modified silane
EP0077297B1 (de) 1981-10-09 1986-03-26 Ciba-Geigy Ag Gemische aus Polyacrylsäure und einem Acrylsäure-Acrylamid Copolymer als Verdickungsmittel in Druckpasten zum Färben und Bedrucken von Fasermaterialien
CA1170823A (en) 1982-11-25 1984-07-17 The Dow Chemical Company Glycol compositions containing a phosphorous-modified silane
DE3272207D1 (en) 1982-12-03 1986-08-28 Dow Chemical Co Glycol compositions containing phosphorous-modified silane
JPS59106430A (ja) 1982-12-09 1984-06-20 ザ・ダウ・ケミカル・カンパニ− リンで変性されたシランを含有するグリコ−ル組成物
US4507447A (en) 1983-01-05 1985-03-26 Takeda Chemical Industries, Ltd. Polyurethane adhesive compositions containing oxyacid of phosphorus and silane coupling agent
JPS60186020A (ja) 1984-03-05 1985-09-21 Mitsubishi Electric Corp Itoのエツチング方法
JPS60186019A (ja) 1984-03-05 1985-09-21 Mitsubishi Electric Corp Itoのエツチング方法
GB8427149D0 (en) 1984-10-26 1984-12-05 Ucb Sa Resist materials
US4772408A (en) 1987-05-06 1988-09-20 First Brands Corporation Silicate-containing antifreeze with phosphonate silane stabilizer
EP0299488B1 (en) 1987-07-15 1992-03-18 Hercules Incorporated Removal of arsine and phosphine from silane
DE3744358A1 (de) 1987-12-29 1989-07-13 Sueddeutsche Kalkstickstoff Verfahren zur herstellung von guanidinnitrat aus harnstoff und ammoniumnitrat
SU1578132A1 (ru) 1988-05-24 1990-07-15 Всесоюзный научно-исследовательский институт фитопатологии Способ получени бис(триметилсилил)фосфита
FR2657341B1 (fr) 1990-01-24 1992-04-24 Atochem Procede continu de preparation de polysulfures de tetraphosphore.
US5041586A (en) 1990-11-29 1991-08-20 Dow Corning Corporation Method of preparing a silyphosphate mixture, silyphosphate mixture and its use in stabilizing metal silanolates in siloxane polymers
US5087741A (en) 1990-11-29 1992-02-11 Eastman Kodak Company Continuous production of aromatic carboxylic acids
DE4104330A1 (de) 1991-02-13 1992-08-20 Henkel Kgaa Phosphonylierte silane und/oder phosphonylierte silikone als schaumbekaempfungsmittel fuer die herstellung und/oder verarbeitung von nahrungsmitteln
US5366588A (en) 1992-03-13 1994-11-22 U.S. Philips Corporation Method of manufacturing an electrically conductive pattern of tin-doped indium oxide (ITO) on a substrate
KR950012555B1 (ko) 1992-06-24 1995-10-18 금성일렉트론주식회사 메모리셀 캐패시터의 유전막 누설전류 개선방법
US5247110A (en) 1992-12-30 1993-09-21 Dow Corning Corporation Phosphinoaliphaticsilane catalysts for preparation of β-cyanoalkylsilanes
JP3290745B2 (ja) 1993-03-31 2002-06-10 藤平 正道 単分子膜のパターン形成方法
DE4323183C2 (de) 1993-07-10 1996-06-05 Huels Silicone Gmbh Verfahren zur Herstellung von Silylphosphaten und Siloxanylphosphaten und deren Verwendung
HUT70694A (en) 1993-07-10 1995-10-30 Nuenchritz Chemie Gmbh Catalyst for the production of organosiloxanen and polyorganosiloxanen and processes for producing and using them
JPH0883792A (ja) 1994-09-09 1996-03-26 Nippon Motorola Ltd エッチング剤及びエッチング方法
DE4446515C1 (de) 1994-12-24 1996-05-02 Huels Silicone Gmbh Durch Chlorphosphazene substituierte Phosphate, Silylphosphate bzw. deren Polyphosphate, deren Herstellung sowie ihre Verwendung
US5481014A (en) 1995-05-08 1996-01-02 Dow Corning Corporation Silyl phosphonate as stabilizing agent for polydiorganosiloxanes
JP2891652B2 (ja) 1995-07-20 1999-05-17 クリーンケミカル株式会社 無機質構造物用洗浄剤
KR0170902B1 (ko) 1995-12-29 1999-03-30 김주용 반도체 소자의 제조방법
KR980005758A (ko) 1996-06-28 1998-03-30 김광호 반도체 기판의 세정용액 및 이를 이용하는 세정방법
JP3182351B2 (ja) 1996-10-29 2001-07-03 松下電器産業株式会社 薄膜トランジスタの製造方法
US6284721B1 (en) 1997-01-21 2001-09-04 Ki Won Lee Cleaning and etching compositions
KR100248113B1 (ko) 1997-01-21 2000-03-15 이기원 전자 표시 장치 및 기판용 세정 및 식각 조성물
JPH1195248A (ja) 1997-09-18 1999-04-09 Toshiba Corp 表示装置用アレイ基板及びその製造方法
JP3686910B2 (ja) 1997-09-29 2005-08-24 三菱住友シリコン株式会社 シリコンウェーハのエッチング方法
JP3506358B2 (ja) 1997-11-28 2004-03-15 信越化学工業株式会社 分枝型シリコーンオイルの製造方法
JP2000008184A (ja) 1998-06-24 2000-01-11 Toppan Printing Co Ltd 多層導電膜のエッチング方法
JP3467411B2 (ja) 1998-08-07 2003-11-17 松下電器産業株式会社 エッチング液,その製造方法及びエッチング方法
JP4240424B2 (ja) 1998-10-23 2009-03-18 エルジー ディスプレイ カンパニー リミテッド エッチング剤及びこれを用いた電子機器用基板の製造方法
US6670281B2 (en) 1998-12-30 2003-12-30 Honeywell International Inc. HF etching and oxide scale removal
JP3974305B2 (ja) 1999-06-18 2007-09-12 エルジー フィリップス エルシーディー カンパニー リミテッド エッチング剤及びこれを用いた電子機器用基板の製造方法と電子機器
JP3809330B2 (ja) 2000-09-07 2006-08-16 松下電器産業株式会社 有機薄膜およびその製造方法、それを用いた液晶配向膜およびその製造方法、ならびにそれを用いた液晶表示装置およびその製造方法
KR100532080B1 (ko) 2001-05-07 2005-11-30 엘지.필립스 엘시디 주식회사 비정질 인듐 틴 옥사이드 식각용액 및 이를 이용한 액정표시소자의 제조방법
EP1260863A1 (en) 2001-05-23 2002-11-27 Scandinavian Micro Biodevices Micropatterning of plasma polymerized coatings
KR100456373B1 (ko) 2001-12-31 2004-11-09 엘지.필립스 엘시디 주식회사 구리 또는 구리/티타늄 식각액
KR20040005457A (ko) 2002-07-10 2004-01-16 동우 화인켐 주식회사 개선된 ito 또는 비결정질 ito 식각액 조성물
KR100448868B1 (ko) 2002-07-10 2004-09-18 동우 화인켐 주식회사 비결정질 ito 식각액 조성물
JP3952455B2 (ja) 2002-09-24 2007-08-01 学校法人早稲田大学 レジストとして有機単分子膜を用いたナノパターニング方法
KR100481209B1 (ko) 2002-10-01 2005-04-08 삼성전자주식회사 다중 채널을 갖는 모스 트랜지스터 및 그 제조방법
SG129274A1 (en) 2003-02-19 2007-02-26 Mitsubishi Gas Chemical Co Cleaaning solution and cleaning process using the solution
JP4651269B2 (ja) 2003-02-19 2011-03-16 三菱瓦斯化学株式会社 洗浄液およびそれを用いた洗浄法
KR100456657B1 (ko) 2003-03-11 2004-11-10 테크노세미켐 주식회사 평판디스플레이의 박막트랜지스터 형성을 위한 금속전극용식각액 조성물
KR20040097584A (ko) 2003-05-12 2004-11-18 테크노세미켐 주식회사 평판디스플레이용 투명도전막의 에칭액 조성물
US7176041B2 (en) 2003-07-01 2007-02-13 Samsung Electronics Co., Ltd. PAA-based etchant, methods of using same, and resultant structures
KR100546363B1 (ko) 2003-08-13 2006-01-26 삼성전자주식회사 콘케이브 형태의 스토리지 노드 전극을 갖는 반도체메모리 소자 및 그 제조방법
JP5000084B2 (ja) 2003-08-13 2012-08-15 三星電子株式会社 導電パッドのシリンダースタックキャパシタにおけるストレージノード、半導体素子及び半導体素子の製造方法
WO2005027187A2 (en) 2003-09-18 2005-03-24 Samsung Electronics Co., Ltd. Thin film transistor array panel and method of manufacturing the same
JP4799843B2 (ja) 2003-10-17 2011-10-26 三星電子株式会社 高いエッチング選択比を有するエッチング組成物、その製造方法、これを用いた酸化膜の選択的エッチング方法、及び半導体装置の製造方法
KR100558194B1 (ko) 2003-10-17 2006-03-10 삼성전자주식회사 높은 식각 선택비를 갖는 식각 조성물, 이의 제조 방법,이를 이용한 산화막의 선택적 식각 방법 및 반도체 장치의제조 방법
US7553803B2 (en) 2004-03-01 2009-06-30 Advanced Technology Materials, Inc. Enhancement of silicon-containing particulate material removal using supercritical fluid-based compositions
JP4580258B2 (ja) 2004-03-24 2010-11-10 東ソー株式会社 エッチング用組成物及びエッチング処理方法
TWI385720B (zh) 2004-03-24 2013-02-11 Tosoh Corp Etching composition and etching treatment method
KR100588812B1 (ko) 2004-05-07 2006-06-09 테크노세미켐 주식회사 실리콘 산화막 에칭용 조성물 및 이를 이용한 실리콘산화막 에칭방법
KR100604853B1 (ko) 2004-05-15 2006-07-26 삼성전자주식회사 산화막 제거용 식각액 및 그 제조 방법과 반도체 소자의제조 방법
US7382139B2 (en) 2004-06-03 2008-06-03 Synaptics Incorporated One layer capacitive sensing apparatus having varying width sensing elements
DE102004036722A1 (de) 2004-07-29 2006-03-23 Consortium für elektrochemische Industrie GmbH Verfahren zur Herstellung von Phosphonato-Silanen
JP2006073871A (ja) 2004-08-02 2006-03-16 Tosoh Corp エッチング用組成物
US7329365B2 (en) 2004-08-25 2008-02-12 Samsung Electronics Co., Ltd. Etchant composition for indium oxide layer and etching method using the same
JP4501669B2 (ja) 2004-12-15 2010-07-14 東ソー株式会社 エッチング用組成物
ES2369371T3 (es) 2004-12-29 2011-11-29 Basf Se Tintes que son solubles en disolventes orgánicos.
KR101154244B1 (ko) 2005-06-28 2012-06-18 주식회사 동진쎄미켐 알루미늄, 몰리브덴, 인듐-틴-옥사이드를 식각하기 위한 식각액
KR101191405B1 (ko) 2005-07-13 2012-10-16 삼성디스플레이 주식회사 식각액 및 이를 이용한 액정 표시 장치의 제조 방법
DE102005033724A1 (de) 2005-07-15 2007-01-18 Merck Patent Gmbh Druckfähige Ätzmedien für Siliziumdioxid-und Siliziumnitridschichten
BRPI0503023A (pt) 2005-07-22 2007-03-06 Unilever Nv composição de limpeza, método para limpar superfìcies domésticas, processo para melhorar a retenção de agentes de limpeza em veìculos absorventes, veìculo absorvente com retenção melhorada de agentes de limpeza, e, processo para produção de uma composição de limpeza
KR20070017762A (ko) 2005-08-08 2007-02-13 엘지.필립스 엘시디 주식회사 식각액 조성물, 이를 이용한 도전막의 패터닝 방법 및평판표시장치의 제조 방법
JP4864434B2 (ja) 2005-11-29 2012-02-01 エルジー ディスプレイ カンパニー リミテッド 薄膜トランジスタ液晶表示装置用エッチング組成物
EP1987044A1 (de) * 2006-02-17 2008-11-05 Basf Se Oligo- und polymere arylphosphonsäure-substituierte siloxane
CN100366349C (zh) 2006-03-23 2008-02-06 上海交通大学 单晶硅片表面磷酸基硅烷-稀土纳米薄膜的制备方法
JP5003047B2 (ja) 2006-04-28 2012-08-15 東ソー株式会社 エッチング用組成物及びエッチング方法
KR100796194B1 (ko) 2006-05-03 2008-02-13 램테크놀러지 주식회사 실리콘 산화막 식각용 용액 제조방법
US20080007595A1 (en) 2006-07-10 2008-01-10 John William Krawczyk Methods of Etching Polymeric Materials Suitable for Making Micro-Fluid Ejection Heads and Micro-Fluid Ejection Heads Relating Thereto
JP4867520B2 (ja) 2006-08-08 2012-02-01 東ソー株式会社 エッチング用組成物及びエッチング方法
KR100860367B1 (ko) 2006-08-21 2008-09-25 제일모직주식회사 금속실리사이드막 대비 실리콘 산화막에 대한 상대적인 식각 선택성이 향상된 식각용액
JP5003057B2 (ja) 2006-08-21 2012-08-15 東ソー株式会社 エッチング用組成物及びエッチング方法
JP4799332B2 (ja) 2006-09-12 2011-10-26 株式会社東芝 エッチング液、エッチング方法および電子部品の製造方法
US20080108497A1 (en) * 2006-11-08 2008-05-08 Holland Brian T Metal-rich siliceous compositions and methods of producing same
US8608972B2 (en) * 2006-12-05 2013-12-17 Nano Terra Inc. Method for patterning a surface
TW201418875A (zh) 2006-12-05 2014-05-16 Nano Terra Inc 用於圖案化表面的方法
JP4993347B2 (ja) 2006-12-13 2012-08-08 株式会社 資生堂 ホスホリルコリン基含有シラン化合物の製造方法
SG177915A1 (en) 2006-12-21 2012-02-28 Advanced Tech Materials Liquid cleaner for the removal of post-etch residues
SG177201A1 (en) 2006-12-21 2012-01-30 Advanced Tech Materials Compositions and methods for the selective removal of silicon nitride
JP5332197B2 (ja) 2007-01-12 2013-11-06 東ソー株式会社 エッチング用組成物及びエッチング方法
US7795155B2 (en) 2007-01-31 2010-09-14 International Business Machines Corporation Method for forming an indium cap layer
KR20080079999A (ko) 2007-02-28 2008-09-02 토소가부시키가이샤 에칭 방법 및 그것에 이용되는 에칭용 조성물
US20100178620A1 (en) 2007-05-21 2010-07-15 Jsr Corporation Inverted pattern forming method and resin composition
JP5003279B2 (ja) 2007-05-21 2012-08-15 Jsr株式会社 反転パターン形成方法
JP4983422B2 (ja) 2007-06-14 2012-07-25 東ソー株式会社 エッチング用組成物及びエッチング方法
US8652750B2 (en) 2007-07-04 2014-02-18 Shin-Etsu Chemical Co., Ltd. Silicon-containing film-forming composition, silicon-containing film, silicon-containing film-bearing substrate, and patterning method
JP4716044B2 (ja) 2007-07-04 2011-07-06 信越化学工業株式会社 ケイ素含有膜形成用組成物、ケイ素含有膜、ケイ素含有膜形成基板及びこれを用いたパターン形成方法
US20090087646A1 (en) * 2007-10-01 2009-04-02 Cf Supplies International Ltd. Coated substrate, composition for treating a substrate and process of treatment
US8685272B2 (en) 2008-08-08 2014-04-01 Samsung Electronics Co., Ltd. Composition for etching silicon oxide layer, method for etching semiconductor device using the same, and composition for etching semiconductor device
KR101316054B1 (ko) 2008-08-08 2013-10-10 삼성전자주식회사 실리콘 산화막 식각용 조성물 및 이를 이용한 실리콘 산화막의 식각 방법
CN102159662B (zh) * 2008-09-19 2014-05-21 卡伯特微电子公司 用于低k电介质的阻挡物浆料
JP5035913B2 (ja) 2008-09-22 2012-09-26 アプリシアテクノロジー株式会社 エッチング液の調製方法、エッチング方法及びエッチング装置
KR101097277B1 (ko) 2009-10-07 2011-12-22 솔브레인 주식회사 습식 식각용 조성물
KR101097275B1 (ko) 2009-10-07 2011-12-22 솔브레인 주식회사 실리콘질화막에 대한 고선택비 식각용 조성물
CN101671849A (zh) 2009-10-13 2010-03-17 上海第二工业大学 单晶硅片表面磷酸基硅烷-CdSe复合薄膜的制备方法
KR20110087582A (ko) 2010-01-26 2011-08-03 삼성전자주식회사 식각액 조성물 및 이를 이용한 식각 방법
KR101173901B1 (ko) 2010-04-23 2012-08-20 솔브레인 주식회사 박막 트랜지스터 액정표시장치용 식각조성물
KR101396271B1 (ko) 2010-06-30 2014-05-16 샌트랄 글래스 컴퍼니 리미티드 웨이퍼의 세정방법
KR20120060980A (ko) 2010-10-01 2012-06-12 유한회사 피피지코리아 유기 실란과 인계 에스테르 공 중합 수지의 제조 방법과 이를 이용한 투명 난연 도료의 제조방법
CN102092957A (zh) 2010-12-08 2011-06-15 上海交通大学 石英基片表面磷酸基硅烷碳纳米管复合薄膜的制备方法
KR101243331B1 (ko) * 2010-12-17 2013-03-13 솔브레인 주식회사 화학 기계적 연마 슬러리 조성물 및 이를 이용하는 반도체 소자의 제조 방법
KR101391605B1 (ko) 2010-12-31 2014-05-08 솔브레인 주식회사 실리콘 질화막 식각액 조성물
JP5782279B2 (ja) 2011-01-20 2015-09-24 株式会社Screenホールディングス 基板処理方法および基板処理装置
KR101774484B1 (ko) 2011-02-15 2017-09-05 삼성디스플레이 주식회사 인듐 산화막의 비할로겐성 식각액 및 이를 이용한 표시 기판의 제조 방법
US20140018485A1 (en) 2011-03-31 2014-01-16 Dow Corning Corporation Condensation reaction curable silicone organic block copolymer composition containing a silyl phosphate catalyst and methods for the preparation and use of the composition
KR101778296B1 (ko) 2011-04-01 2017-09-14 삼성디스플레이 주식회사 식각액, 이를 이용한 표시 장치의 제조 방법
JP5611884B2 (ja) 2011-04-14 2014-10-22 東京エレクトロン株式会社 エッチング方法、エッチング装置および記憶媒体
JP5751578B2 (ja) 2011-04-27 2015-07-22 国立研究開発法人産業技術総合研究所 ホスホリルコリン−シラン化合物表面修飾材料
US8709949B2 (en) 2011-05-13 2014-04-29 Raytheon Company System and method for removing oxide from a sensor clip assembly
KR20130016558A (ko) 2011-08-08 2013-02-18 삼성전기주식회사 전자 부품용 세정액 조성물
KR20130021026A (ko) 2011-08-22 2013-03-05 엘지이노텍 주식회사 웨이퍼 표면 처리 방법
JP5913869B2 (ja) 2011-08-31 2016-04-27 林純薬工業株式会社 エッチング液組成物およびエッチング方法
JP5490071B2 (ja) 2011-09-12 2014-05-14 株式会社東芝 エッチング方法
CN102503977A (zh) 2011-10-11 2012-06-20 武汉理工大学 一种用于质子交换膜中的膦酸基烷氧基硅烷的合成方法
US9368647B2 (en) 2011-10-18 2016-06-14 Samsung Electronics Co., Ltd. Compositions for etching
KR101782329B1 (ko) 2011-10-18 2017-09-28 삼성전자주식회사 식각용 조성물 및 이를 이용하는 반도체 기억 소자의 형성 방법
KR101335855B1 (ko) 2011-12-20 2013-12-02 오씨아이 주식회사 실리콘 질화막의 에칭 용액
CN104039925B (zh) 2011-12-28 2016-05-11 韩国首尔步瑞株式会社 蚀刻溶液组合物和使用该蚀刻溶液组合物的湿蚀刻方法
KR101320416B1 (ko) 2011-12-29 2013-10-22 솔브레인 주식회사 식각액 조성물 및 이를 이용한 습식 식각방법
CN102582148B (zh) 2012-02-15 2014-11-12 上海交通大学 钛合金表面磷酸基硅烷-碳纳米管复合薄膜的制备方法
US8846536B2 (en) 2012-03-05 2014-09-30 Novellus Systems, Inc. Flowable oxide film with tunable wet etch rate
KR101380487B1 (ko) 2012-05-09 2014-04-01 오씨아이 주식회사 실리콘 질화막의 에칭 용액
KR101404511B1 (ko) 2012-07-24 2014-06-09 플란제 에스이 식각액 조성물, 및 다중금속막 식각 방법
KR102002131B1 (ko) 2012-08-03 2019-07-22 삼성디스플레이 주식회사 식각액 조성물 및 이를 이용한 박막 트랜지스터 제조 방법
KR102365046B1 (ko) 2012-12-18 2022-02-21 솔브레인 주식회사 식각 조성물, 식각 방법 및 반도체 소자
US9012261B2 (en) * 2013-03-13 2015-04-21 Intermolecular, Inc. High productivity combinatorial screening for stable metal oxide TFTs
CN103387589B (zh) 2013-07-31 2015-10-21 中国科学技术大学 一种含磷氮硅烷a、含氮磷硅的亚磷酸酯类无卤阻燃剂及其制备方法
US9868902B2 (en) * 2014-07-17 2018-01-16 Soulbrain Co., Ltd. Composition for etching
KR102545800B1 (ko) * 2015-12-04 2023-06-20 솔브레인 주식회사 식각용 조성물 및 이를 이용한 반도체 소자의 제조방법

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6033996A (en) * 1997-11-13 2000-03-07 International Business Machines Corporation Process for removing etching residues, etching mask and silicon nitride and/or silicon dioxide
CN1440449A (zh) * 2000-07-05 2003-09-03 卡伯特微电子公司 用于cmp的含硅烷的抛光组合物
CN101283067A (zh) * 2005-12-01 2008-10-08 Lg化学株式会社 具有中和高折射率的基于硅氧烷的涂料组合物、其制备方法以及由其制备的光学透镜
CN103160282A (zh) * 2011-12-16 2013-06-19 爱思开海力士有限公司 刻蚀组合物以及利用其制造半导体器件的方法

Cited By (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10167425B2 (en) 2016-05-04 2019-01-01 Oci Company Ltd. Etching solution capable of suppressing particle appearance
CN107345137A (zh) * 2016-05-04 2017-11-14 Oci有限公司 能够抑制颗粒出现的蚀刻溶液
CN107573940A (zh) * 2016-07-04 2018-01-12 Oci有限公司 氮化硅膜蚀刻溶液
CN108102654A (zh) * 2016-11-24 2018-06-01 三星电子株式会社 蚀刻剂组合物以及使用其制造集成电路器件的方法
CN108102654B (zh) * 2016-11-24 2022-02-18 三星电子株式会社 蚀刻剂组合物以及使用其制造集成电路器件的方法
CN109689838A (zh) * 2016-12-26 2019-04-26 秀博瑞殷株式公社 蚀刻用组合物和使用该蚀刻用组合物制造半导体器件的方法
US11912902B2 (en) 2016-12-26 2024-02-27 Soulbrain Co., Ltd. Composition for etching and manufacturing method of semiconductor device using the same
CN108690621A (zh) * 2017-04-06 2018-10-23 (株)涛星 氮化硅膜刻蚀用混合物
CN108690621B (zh) * 2017-04-06 2020-10-09 (株)涛星 氮化硅膜刻蚀用混合物
CN109216187B (zh) * 2017-07-06 2023-08-29 Oci有限公司 蚀刻组合物、蚀刻方法及利用其的半导体器件的制造方法
CN109207151B (zh) * 2017-07-06 2020-09-04 Oci有限公司 蚀刻组合物及利用其的蚀刻方法
CN109216187A (zh) * 2017-07-06 2019-01-15 Oci有限公司 蚀刻组合物、蚀刻方法及利用其的半导体器件的制造方法
CN109207151A (zh) * 2017-07-06 2019-01-15 Oci有限公司 蚀刻组合物及利用其的蚀刻方法
CN113817471A (zh) * 2017-09-06 2021-12-21 恩特格里斯公司 用于蚀刻含氮化硅衬底的组合物及方法
CN113817471B (zh) * 2017-09-06 2022-11-15 恩特格里斯公司 用于蚀刻含氮化硅衬底的组合物及方法
CN109837089A (zh) * 2017-11-24 2019-06-04 东友精细化工有限公司 绝缘层蚀刻剂组合物和使用其形成图案的方法
CN109841511A (zh) * 2017-11-24 2019-06-04 东友精细化工有限公司 绝缘层蚀刻剂组合物和使用其形成图案的方法
CN109837089B (zh) * 2017-11-24 2021-11-09 东友精细化工有限公司 绝缘层蚀刻剂组合物和使用其形成图案的方法
CN110157434A (zh) * 2018-02-13 2019-08-23 东友精细化工有限公司 绝缘层蚀刻剂组合物和使用该绝缘层蚀刻剂组合物形成图案的方法
CN110157434B (zh) * 2018-02-13 2021-07-16 东友精细化工有限公司 绝缘层蚀刻剂组合物和使用该绝缘层蚀刻剂组合物形成图案的方法
CN110229720B (zh) * 2018-03-06 2022-05-27 Skc索密思株式会社 半导体工艺用组合物及半导体工艺
CN110229720A (zh) * 2018-03-06 2019-09-13 Skc株式会社 半导体工艺用组合物及半导体工艺
TWI788577B (zh) * 2018-06-25 2023-01-01 南韓商易案愛富科技有限公司 氮化矽層蝕刻組合物
CN110628435B (zh) * 2018-06-25 2022-04-05 易案爱富科技有限公司 氮化硅膜蚀刻组合物
CN110628435A (zh) * 2018-06-25 2019-12-31 易案爱富科技有限公司 氮化硅膜蚀刻组合物
CN110655924B (zh) * 2018-06-28 2022-11-04 Oci有限公司 硅衬底蚀刻溶液
CN110655924A (zh) * 2018-06-28 2020-01-07 Oci有限公司 硅衬底蚀刻溶液
TWI828746B (zh) * 2018-09-03 2024-01-11 南韓商易案愛富科技有限公司 氮化矽膜蝕刻組合物及利用該組合物的方法
CN109135752A (zh) * 2018-09-21 2019-01-04 湖北兴福电子材料有限公司 一种磷酸基蚀刻液及其配制方法
CN111100640A (zh) * 2018-10-25 2020-05-05 Sk新技术株式会社 用于蚀刻组合物的添加剂、其制备方法和包含其的蚀刻组合物
TWI813803B (zh) * 2018-10-26 2023-09-01 南韓商Sk新技術股份有限公司 矽烷化合物及其應用
CN109563407A (zh) * 2018-11-13 2019-04-02 长江存储科技有限责任公司 磷酸蚀刻剂的添加剂
US10913893B2 (en) 2018-11-13 2021-02-09 Yangtze Memory Technologies Co., Ltd. Additive to phosphoric acid etchant
CN111410964A (zh) * 2019-01-08 2020-07-14 三星电子株式会社 蚀刻剂组合物和制作半导体器件的方法
CN111471462B (zh) * 2019-01-24 2022-03-25 东友精细化工有限公司 硅氮化膜蚀刻液组合物
CN111471462A (zh) * 2019-01-24 2020-07-31 东友精细化工有限公司 硅氮化膜蚀刻液组合物
CN112210379B (zh) * 2019-07-09 2023-10-27 Oci有限公司 氮化硅膜蚀刻溶液及使用其的半导体器件的制备方法
CN112210379A (zh) * 2019-07-09 2021-01-12 Oci有限公司 氮化硅膜蚀刻溶液及使用其的半导体器件的制备方法
CN112210380B (zh) * 2019-07-10 2023-10-27 Oci有限公司 氮化硅膜蚀刻溶液及使用其的半导体器件的制备方法
CN112210380A (zh) * 2019-07-10 2021-01-12 Oci有限公司 氮化硅膜蚀刻溶液及使用其的半导体器件的制备方法
CN112210378A (zh) * 2019-07-12 2021-01-12 Oci有限公司 氮化硅膜蚀刻溶液及其制备方法
CN112210378B (zh) * 2019-07-12 2024-04-12 Oci有限公司 氮化硅膜蚀刻溶液及其制备方法
CN115011350A (zh) * 2022-07-05 2022-09-06 上海集成电路材料研究院有限公司 一种蚀刻组合物、蚀刻方法及应用
WO2024007909A1 (zh) * 2022-07-05 2024-01-11 上海集成电路材料研究院有限公司 一种蚀刻组合物、蚀刻方法及应用

Also Published As

Publication number Publication date
US11370968B2 (en) 2022-06-28
US20210047564A1 (en) 2021-02-18
US20210054280A1 (en) 2021-02-25
US20210054278A1 (en) 2021-02-25
US20210130692A1 (en) 2021-05-06
US10465112B2 (en) 2019-11-05
US9868902B2 (en) 2018-01-16
US20200024517A1 (en) 2020-01-23
US11634633B2 (en) 2023-04-25
US11466207B2 (en) 2022-10-11
US20210054279A1 (en) 2021-02-25
CN105273718B (zh) 2019-05-03
US20210054277A1 (en) 2021-02-25
US11634632B2 (en) 2023-04-25
US20180179442A1 (en) 2018-06-28
US20210054276A1 (en) 2021-02-25
US11008513B2 (en) 2021-05-18
US11634634B2 (en) 2023-04-25
US11466208B2 (en) 2022-10-11
US20210130691A1 (en) 2021-05-06
US20160017224A1 (en) 2016-01-21
US11365352B2 (en) 2022-06-21

Similar Documents

Publication Publication Date Title
CN105273718A (zh) 蚀刻用组合物
US11390807B2 (en) Composition for etching and method for manufacturing semiconductor device using same
CN109913220B (zh) 蚀刻用组合物
KR102470905B1 (ko) 식각용 조성물 및 이를 이용한 반도체 소자의 제조방법
CN117568038A (zh) 蚀刻用组合物和使用该蚀刻用组合物制造半导体器件的方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant