CN103137534A - 基板支撑装置 - Google Patents

基板支撑装置 Download PDF

Info

Publication number
CN103137534A
CN103137534A CN201210480502XA CN201210480502A CN103137534A CN 103137534 A CN103137534 A CN 103137534A CN 201210480502X A CN201210480502X A CN 201210480502XA CN 201210480502 A CN201210480502 A CN 201210480502A CN 103137534 A CN103137534 A CN 103137534A
Authority
CN
China
Prior art keywords
flat part
support device
dielectric film
baseplate support
axial region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201210480502XA
Other languages
English (en)
Other versions
CN103137534B (zh
Inventor
立川俊洋
宫原淳一
米仓一博
花待年彦
高原刚
二口谷淳
桥本大辅
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NHK Spring Co Ltd
Original Assignee
NHK Spring Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/304,493 external-priority patent/US9153463B2/en
Application filed by NHK Spring Co Ltd filed Critical NHK Spring Co Ltd
Publication of CN103137534A publication Critical patent/CN103137534A/zh
Application granted granted Critical
Publication of CN103137534B publication Critical patent/CN103137534B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Coating By Spraying Or Casting (AREA)
  • Resistance Heating (AREA)

Abstract

本发明提供一种具有高耐电压与高耐热性的金属制的基板支撑装置。本发明的基板支撑装置具备:由金属形成的基板部;连接于平板部且由金属形成的轴部;配置于平板部的内部的发热体;以及通过陶瓷喷镀形成于平板部的与轴部相对置的第一面上的绝缘膜。还可以具有形成于与平板部的第一面大体垂直的第二面上的绝缘膜。

Description

基板支撑装置
技术领域
本发明涉及用于半导体装置的制造的基板支撑装置。尤其是涉及内置有发热体的金属制的基板支撑装置。 
背景技术
在半导体装置制造中,在化学气相沉积(CVD)、表面改质等处理工序中,会将基板支撑装置配置于半导体制造装置内。此外,在使基板支撑装置发热来使用的情况下,在半导体制造装置内配置内置有发热体的基板支撑装置。这种基板支撑装置具备利用轴来支撑由金属或陶瓷形成的平板的构造。此外,也可以在平板内部配置等离子体电极或发热体,并经由配置于支撑轴内部的配线,连接到设置于半导体制造装置外部的控制装置上。 
作为基板支撑装置的平板,常使用氮化铝(AlN)或氧化铝(Al2O3)等块状陶瓷(bulkceramics)。但是,为了在基板支撑装置中配置等离子体电极或发热体,需要将平板内部制成复杂的形状,而块状陶瓷难以进行加工。另一方面,金属制平板易于在内部形成复杂的构造,相比块体陶瓷能够以廉价制造。在使用金属制平板的情况下,需要将搭载基板的面进行绝缘覆盖,或者减低因接触对基板造成的金属污染,例如专利文献1中,记载了进行了氧化铝膜处理(阳极氧化处理)的金属制平板。 
专利文献1:日本特开2007-184289号公报 
然而,在对金属制平板进行氧化铝膜处理时,氧化铝的膜厚为50~75μm左右,耐电压为0.8~1kV左右,难以实现更高的耐电压。另外,在如25nm工艺的半导体装置这样精密的半导体装置的制造过程中,为了防止金属污染的覆盖,需要具有高耐热性的覆盖。 
发明内容
本发明是解决上述问题的技术,其提供一种具有高耐电压和高耐热性的金属制的基板支撑装置。 
根据本发明的一个实施方式,提供一种基板支撑装置,其具备由金属形成的平板部、连接于所述平板部并由金属形成的轴部、配置于所述平板部的内部的发热体、以及利用陶瓷喷镀形成于所述平板部的与所述轴部相对置的第一面上的绝缘膜。 
在所述基板支撑装置中,也可以具备在与所述平板部的第一面大体垂直的第二面上形成的绝缘膜。 
在所述基板支撑装置中,也可以在所述平板部的所述第一面与所述第二面的拐角部具有倒角或曲率,和/或从所述平板部的第二面朝向与所述轴部连接的所述平板部的第三面具有倒角或曲率。 
在所述基板支撑装置中,也可以在所述平板部的所述第一面与所述第二面的拐角部具有0.5mm以上的倒角或曲率半径,和/或从所述平板部的第二面朝向与所述轴部连接的所述平板部的第三面具有0.5mm以上的倒角或曲率半径。 
在所述基板支撑装置中,从所述平板部的第二面朝向与所述轴部连接的所述平板部的第三面可以具有与所述平板部的板厚大致相等的曲率半径。 
在所述基板支撑装置中,所述第三面还可以具备绝缘膜。 
在所述基板支撑装置中,也可以所述平板部的第一面具备凹部,所述第一面的凹部的拐角部具有0.5mm以上的倒角或曲率半径。 
所述绝缘膜还可以通过以成为在所述基板支撑装置的实际使用温度中不发生裂纹的残余应力的工作温度,喷镀到所述平板部而形成。 
根据本发明,能够提供一种具有高耐电压和高耐热压的金属制的基板支撑装置。 
附图说明
图1是本发明的一个实施方式涉及的基板支撑装置100的立体图。 
图2是本发明的一个实施方式涉及的基板支撑装置100的图1的AA’的剖视图。 
图3是本发明的一个实施方式涉及的基板支撑装置200的相当于图1的AA’位置的剖视图。 
图4是本发明的一个实施方式涉及的基板支撑装置300的图1的AA’剖视图。 
图5是本发明的一个实施方式涉及的基板支撑装置400的相当于图1的AA’位置的剖视图。 
(附图标记说明) 
100基板支撑装置,110平板部,113凹部,119绝缘膜,120发热体,150轴部, 170中空构造,190制冷剂流路,200基板支撑装置,210平板部,213凹部,219绝缘膜,290制冷剂流路,300基板支撑装置,310平板部,313凹部,319绝缘膜,400基板支撑装置,410平板部,413凹部,419绝缘膜。 
具体实施方式
以下,参照附图对本发明的一个实施方式涉及的基板支撑装置,进行说明。另外,以下的实施方式是本发明的基板支撑装置的一例,本发明的基板支撑装置并不限定于以下的实施方式。 
经氧化铝膜处理的膜厚对于金属制平板赋予充分的耐电压是困难的,因此本发明人对于在能够实现更高耐电压的金属制平板上形成陶瓷膜的方法进行了研究。作为在金属制平板上形成陶瓷绝缘层的方法,可举出如陶瓷喷镀,虽然能够实现高耐电压,但是在高温条件下陶瓷层会产生裂痕,结果产生平板的腐蚀或平板与基板间的电弧放电,从而难以提高半导体装置的成品率。因此,只是单纯地以低熔点进行陶瓷喷镀难以同时实现高耐电压及高耐热性。 
针对上述问题进行深刻研究的结果,本发明的发明人发现了在能够实现所希望的耐电压的范围内,形成尽可能薄的绝缘层,从而能够实现在高温条件下也不会产生裂痕的基板支撑装置。 
(实施方式1) 
图1是本发明的一个实施方式涉及的基板支撑装置100的立体图。此外,图2是图1的AA’剖视图。本实施方式涉及的基板支撑装置100具备平板部110及轴部150,在平板部110的内部配置有发热体120。在平板部110的上表面形成用于支撑基板的凹部113,在平板部110的与凹部113相反侧的中央部连接有轴部150。轴部150具有中空构造170。轴部150的中空构造170连接于发热体120,并配置有连接到外部控制装置(未图示)的配线160。 
在基板支撑装置100中,在支撑平板部110的基板的面113以及平板部110的侧面上形成绝缘膜119。在平板部110中,上表面与侧面大体垂直,平板部110的上表面与侧面的拐角部具有凸出的曲率。此外,平板部110的侧面具有朝向与里面的轴部150相连接的部分方向向外侧凸出的曲率。平板部110的凹部113的拐角部被实施了具有朝向外侧凸出的曲率的倒圆角加工(R加工)。 
本实施方式涉及的平板部110及轴部150是使用金属而形成的。作为能够利用的金属,可以从基板支撑装置的制造中所使用的公知材料中选择,例如可举出铝、不锈钢、 铜、镍、钛等。此外,平板部110由两个部件110a及110b构成,在部件110a或110b上形成沟槽以配置发热体120。部件110a及110b通过钎焊或焊接而接合。 
通过对于平板部110及轴部150使用金属作为材料,而能够将图2所示的制冷剂流路190形成于轴部150。制冷剂流路190是用于使空气等气体、或是油、乙二醇水溶液等液体回流,并使轴部150帮助调整加热器温度的构造。这样复杂的构造在以陶瓷作为材料的情况下难以制造,而通过将金属作为材料来使用,能够通过钎焊或焊接而形成在轴部150上。根据该机构,可以提供进行更高度的温度调整的装置。此外,上述的倒圆角加工在使用金属的情况下也很容易进行。 
在本发明中,绝缘膜119由陶瓷喷镀形成。如果使用陶瓷喷镀,则与氧化铝膜处理相比能够使膜厚更厚。然而,在通过陶瓷喷镀加厚绝缘膜119时,在高温条件下绝缘膜119会产生裂痕。本发明涉及的绝缘膜119在能够实现所希望的耐电压的范围内,形成为尽可能薄的厚度。因此,绝缘膜119的膜厚可以对应于所要求的耐电压而任意设定。平板部110通过实施由上述的倒圆角加工,而能够抑制绝缘膜119的拐角部处的应力集中,难以在高温条件下产生裂痕。 
本实施方式涉及的绝缘膜119,只要是满足所希望的耐电压,且为能够陶瓷喷镀的材料,则可以使用公知材料,例如可以选择碱土类金属、稀土类金属、铝、钽、硅中的一种以上的氧化物。具体地说,可举出氧化铝(Al2O3)、氧化镁(MgO)、氧化钇(Y2O3)等。在本发明中,使用上述的金属与绝缘膜的热膨胀系数差异小的材料的组合。在平板部110与绝缘膜119的热膨胀系数的差大时,在高温条件下绝缘膜119容易裂开。作为热膨涨系数差异小的材料的组合,例如可举出铝(Al)与氧化铝的组合。通过将铝用于平板部110,并将氧化铝用于绝缘膜119,而使绝缘膜119难以裂开。一般而言,陶瓷具有对拉伸应力较弱的物性,通过将热膨胀的铝用于平板部110,在高温条件下平板部110跟随绝缘膜119一起膨胀,而使绝缘膜119难以裂开。 
此外,在本实施方式涉及的绝缘膜119中,优选为通过陶瓷喷镀形成的陶瓷被膜的组成是化学计量成分或与其相近的成分。由化学计量成分或与其相近的成分形成的绝缘膜119即便在高温条件下也难以裂开。若刻意地使陶瓷被膜的含氧量低于化学计量成分,则绝缘膜119会变得容易裂开,无法发挥充分的耐电压。另一方面,若刻意地使陶瓷被膜的含氧量高于化学计量成分,则绝缘膜119相对平板部110的贴合性降低,因此不优选。 
此外,本实施方式涉及的绝缘膜119的陶瓷喷镀中,等离子体动作气体使用氧气或含氧气体。通过使用氧气或含氧气体作为等离子体动作气体,利用陶瓷喷镀形成的绝缘 膜119的成分比以往的喷镀膜成分更接近于化学计量成分,能够同时实现良好的电绝缘性与耐腐蚀性。 
在本实施方式涉及的绝缘膜119形成中,通过陶瓷喷镀形成比能够实现规定耐电压的膜厚更厚的喷镀膜。接着,实施表面研磨加工,由此能够得到所希望的膜厚。此外,在本实施方式中,优选为至少对平板部110的上表面方向以及侧面方向这两个方向进行陶瓷喷镀。为了确保平板部110表面的绝缘性并防止金属污染,而至少在上表面方向及侧面方向这两个方向形成绝缘膜119。又如上所述,本实施方式中,平板部110的侧面具有朝向与里面的轴部150的连接部分方向向外侧凸出的曲率。因此,在平板部110的侧面上,朝向与里面的轴部150的连接部分方向逐渐变薄地形成绝缘膜119,绝缘膜119不易从平板部110剥离。 
本实施方式中,从减少应力集中的目的出发,优选为在平板部110的上表面与侧面的拐角部具有0.5mm以上的曲率半径R1。此外,平板部110的凹部113的拐角部也因相同理由,优选具有0.5mm以上的曲率半径R3。另一方面,在平板部110侧面形成的、朝向与轴部150的连接部分方向的外侧具有凸出的曲率(1/R值),其曲率半径R2优选被设定得大。本实施方式中,将所述曲率半径(R值)设为与平板部110的板厚大致相等的值,由此从平板部110的侧方进行喷镀,由此能够从平板部110的侧面朝向与里面的轴部150的连接方向逐渐变薄地形成绝缘膜119。 
本实施方式中,通过在平板部110的上表面与侧面的拐角部具有凸出的曲率,基板支撑装置100能够缓和因使用时的加热或冷却引起的应力集中,并防止绝缘膜119发生裂痕。此外,从平板部110的侧面朝向与里面的轴部150的连接方向逐渐变薄地形成绝缘膜119,从而能够防止绝缘膜119从平板部110剥离。 
此外,在本实施方式涉及的基板支撑装置100中,也可以形成能够缓冲平板部110与绝缘膜119间的耐压差的缓冲层。本实施方式涉及的缓冲层中,例如可以使用氧化镁(MgO)。 
当设平板部110的热膨胀率设为αs,绝缘膜119的热膨胀率为αf,喷镀中的工作温度为T0,室温为T1,加热器使用温度为T2,绝缘膜119的杨氏模量设为E时,绝缘膜119中产生的热应力σ在平板部110的厚度远大于绝缘膜119的膜厚的情况下如以下公式所示。 
冷加工时:σ=(αs-αf)·E·(T0-T1) 
热加工时:σ=(αsf)·E·(T0-T2) 
冷加工时(T0-T1)为正,因αs>αf,σ为正而承受压缩应力。然而,如果在超过喷镀中的平板部110的温度的温度下加热使用基板支撑装置,则σ为负而使绝缘膜119产生拉伸应力,成为裂缝发生的主因。 
在此,本实施方式中,优选为在基板支撑装置100的实际使用温度中以成为不致于发生裂纹的残余应力的工作温度,对平板部110进行喷镀而形成绝缘膜119。本实施方式涉及的工作温度可以在考虑基板支撑装置100的实际使用温度、平板部110的材料与绝缘膜119的材料各自的热膨胀系数、平板部110的大小(直径)以及绝缘膜119的厚度等后确定。在本实施方式中,例如通过将喷镀中的工作温度设为150℃以上且250℃以下,能够抑制在所述温度带附近产生拉伸应力。 
如上说明,因本发明涉及的基板支撑装置中,通过对于平板部及轴部采用金属,而不仅能够进行复杂的加工,还能够通过陶瓷喷镀在平板部上形成薄绝缘膜,从而能够实现在高温条件下也难以裂开且高耐电压的基板支撑装置。 
(实施方式2) 
图3是本发明的一个实施方式涉及的基板支撑装置200的相当于图1的A-A’位置的剖视图。本实施方式涉及的基板支撑装置200具备由210a、210b及210c三个部件构成的平板部210以代替平板部110。发热体120是通过在部件210a或210b上形成沟槽来配置的。此外,在部件210b或210c上形成沟槽并配置制冷剂流路290。在平板部210的上表面形成有用于支撑基板的凹部213,并在平板部210的与凹部213相反侧的中央部连接有轴部150。因轴部150与实施方式1中说明的轴部相同,故省略详细说明。 
在基板支撑装置200中,平板部210的支撑基板的面213、平板部210的侧面以及平板部210的连接于轴部150的背面上形成有绝缘膜219。在平板部210中,上表面与侧面、侧面与背面大体垂直;上表面与侧面的拐角部及侧面与背面的拐角部被实施了具有向外侧凸出的曲率的倒圆角加工。 
在本实施方式中,从减少应力集中的目的出发,优选为使平板部210的上表面与侧面的拐角部具有0.5mm以上的曲率半径R1。平板部210的凹部213的拐角部也因相同理由,优选具有0.5mm以上的曲率半径R3。本实施方式中,侧面与背面之间的拐角部也因相同理由,优选具有0.5mm以上的曲率半径R2。因此,本实施方式中,R1与R2相等或相异均可。 
本实施方式涉及的平板部210及轴部150是使用金属形成的。能够利用的金属已在实施方式1中进行了说明,故在此省略详细说明。通过使用金属,部件210a、210b与 210c的接合以及平板部210与轴部150的接合能够钎焊或焊接进行。 
制冷剂流路290是用于帮助加热器温度的调整的机构,在平板部210中,只要能够有效进行加热器温度的调整,则可以与发热体120相同地配置,也可以以漩涡状地进行配置。这种复杂的构造在以陶瓷为材料的情况下难以制造,但可以通过使用金属为材料来实现。根据该机构,可以提供进行更高度的温度调整的机构。此外,在使用金属的情况下上述的倒圆角加工也易于进行。 
在本发明中,绝缘膜219通过陶瓷喷镀形成。本发明涉及的绝缘膜219在能够实现所希望的耐电压的范围内尽可能薄地形成。因此,绝缘膜219的膜厚能够对应于所要求的耐电压而任意设定。平板部210能够通过施以如上述的倒圆角加工,抑制绝缘膜219的拐角部处的应力集中,使其在高温条件下也难以裂开。 
本实施方式涉及的绝缘膜219只要是满足所希望的耐电压且能够进行陶瓷喷镀的材料即可,由于在实施方式1中已进行了详细说明,故在此省略。又如上所述,在本实施方式涉及的绝缘膜219中,通过陶瓷喷镀形成的陶瓷被膜的成分优选是化学计量成分或接近所述成分的成分。以化学计量成分或接近所述成分形成的绝缘膜219在高温条件下也难以裂开。 
此外,在本实施方式涉及的绝缘膜219的陶瓷喷镀中,等离子体动作气体使用氧气或含氧气体。通过使用氧气或含氧气体作为等离子体动作气体,利用陶瓷喷镀形成的绝缘膜119能够比以往的喷镀膜成分更接近化学计量成分,能够同时实现优良的电绝缘性与耐腐蚀性。 
在本实施方式涉及的绝缘膜219的形成中,通过陶瓷喷镀形成比能够实现规定耐电压的膜厚更厚的喷镀膜。接着,实施表面研磨加工,由此能够得到所希望的膜厚。此外,在本实施方式中,对平板部210的上表面方向、侧面方向以及背面方向这三个方向进行陶瓷喷镀。此外,本实施方式涉及的平板部210的拐角部形状可以加工成如实施方式1中说明的那样,平板部210的侧面具有朝向与里面的轴部150的连接部分方向向外侧凸出的曲率,此时,也可以省略在平板部210背面形成绝缘膜219,以简化制造工序。 
此外,本实施方式涉及的基板支撑装置200中,在平板部210与绝缘膜219之间也可以形成用于缓冲耐压之差的缓冲层。本实施方式涉及的缓冲层可以使用例如氧化镁(MgO)。如实施方式1中所说明,在本实施方式中,优选为以成为在基板支撑装置200的实际使用温度中不发生裂纹的残余应力的工作温度,对平板部210进行喷镀而形成绝缘膜219。 
如以上所说明,本发明涉及的基板支撑装置中,通过对于平板部及轴部使用金属,而不仅能够进行复杂的加工,还可以通过陶瓷喷镀在平板部上形成薄的绝缘膜,从而能够在高温条件下不易裂开且实现高耐电压。 
(实施方式3) 
图4是本发明的一个实施方式涉及的基板支撑装置300的相当于图1的AA’位置的剖视图。本实施方式涉及的基板支撑装置300是对拐角部进行了倒角的平板部310来取代平板部110。在部件310a或310b上形成沟槽来配置发热体120。在平板部310的上表面形成用于支撑基板的凹部313,在平板部310的与所述凹部313相反侧的中央部连接有轴部150。轴部150是与实施方式1中所说明的轴部相同,因此省略详细说明。又如实施方式2所说明,也可以在部件310b或310c上形成沟槽来配置制冷剂流路。 
在基板支撑装置300中,在支撑平板部310的基板的面313以及平板部310的侧面上形成绝缘膜319。在平板部310中,上表面与侧面大体垂直,平板部310的上表面与侧面之间的拐角部被实施倒角加工。此外,平板部310的侧面与实施方式1相同,朝向与里侧的轴部150的连接部分方向具有向外侧凸出的曲率。平板部310的凹部313的拐角部被倒角。 
本实施方式中,从减少应力集中的目的出发,优选在平板部310的上表面与侧面之间的拐角部实施0.5mm以上的倒角C1。平板部310的凹部313的拐角部也因相同理由,优选实施0.5mm以上的倒角C3。另一方面,本实施方式中,如实施方式1所说明,具有在平板部310的侧面上形成的、朝向与轴部150连接部分方向的外侧凸出的曲率(1/R值),所述曲率半径R2的值优选设置得大。在本实施方式中,将所述曲率半径(R值)设为大致等于平板部310的板厚的值,从而从平板部310的侧方通过喷镀,能够自平板部310的侧面起朝向与里面的轴部150的连接方向逐渐变薄地形成绝缘膜319。 
在本实施方式中,对于平板部310的上表面与侧面的拐角部实施倒角,从而能够使基板支撑装置300缓和因使用时的加热或冷却引起的应力集中,并防止绝缘膜319上发生裂纹。另外,在平板部310的侧面朝向与里面的轴部150的连接方向逐渐变薄地形成绝缘膜319,从而能够防止绝缘膜319从平板部310剥离。 
另外,本实施方式涉及的平板部310及轴部150的材料及制造方法与实施方式1相同,故省略详细说明。 
如以上所说明,本发明涉及的基板支撑装置中,通过对于平板部及轴部采用金属,不仅能够进行复杂的加工,还能够在平板部上通过陶瓷喷镀形成薄的绝缘膜,由此能够 在高温条件下难以裂开且实现高耐电压。 
(实施方式4) 
图5是本发明的一个实施方式涉及的基板支撑装置400的相当于图1的AA’位置的剖视图。本实施方式涉及的基板支撑装置400与平板部210相同地具有由三个部件410a、410b及410c构成的平板部410。在部件410a或410b上形成沟槽来配置发热体120。此外,在部件410a或410b上形成沟槽来配置制冷剂流路290。在平板部410的上表面上形成用于支撑基板的凹部413,在平板部410的与凹部413相反侧的中央部连接轴部150。轴部150与实施方式1中说明的轴部相同,故省略详细说明。 
在基板支撑装置400中,在支撑平板部410的基板的面413、平板部410的侧面以及连接于轴部150的背面上形成绝缘膜419。在平板部410中,上表面与侧面、侧面与背面大体垂直,上表面与侧面之间的拐角部、侧面与背面之间的拐角部被倒角。此外,平板部410的凹部413的拐角部被倒角。 
本实施方式中,从减少应力集中的目的出发,优选为在平板部410的上表面与侧面的拐角部实施0.5mm以上的倒角C1。平板部410的凹部413的拐角部也因相同理由,优选为实施0.5mm以上的倒角C3。本实施方式中,侧面与背面之间的拐角部也因相同理由,优选为实施0.5mm以上的倒角C2。因此,本实施方式中,C1与C2相等或相异均可。 
此外,本实施方式涉及的平板部410及轴部150的材料及制造方法,因与实施方式2相同,故省略详细说明。 
如以上所说明,本发明的基板支撑装置中,通过对于平板部及轴部采用金属,不仅能够进行复杂的加工,还能够在平板部上通过陶瓷喷镀形成薄的绝缘膜,从而能够在高温条件下难以裂开且实现高耐电压。 
[实施例] 
关于上述的本发明涉及的基板支撑装置100,以下示出实施例,并进行详细说明。 
作为实施例,平板部110采用铝,绝缘膜119采用氧化铝。绝缘膜119是通过陶瓷喷镀形成250μm~300μm的喷镀膜,并通过研磨加工制成为100μm~150μm的膜厚。 
将制造好的基板支撑装置100在120℃、150℃、200℃以及250℃下用加热炉加热5小时,并检测绝缘膜119是否产生裂缝。任意情况下均未产生裂缝。表1中整理出检测 结果。 
[表1] 
Figure BDA00002454132800101
另一方面,在研磨加工后的绝缘膜119的膜厚为300μm以上的情况下,会产生裂缝。此外,在施加2kV的直流电压(DC)的绝缘耐压试验中,膜厚不足90μm的话会使绝缘膜119破坏。由此结果可知,膜厚优选在100μm以上且200μm以下。 
(热循环试验) 
在半导体装置的制造中,会对基板支撑装置施加热循环来使用。因此,在施加热循环时,需要让绝缘膜119不致产生裂缝。在本实施例中,在1小时内执行从常温40℃升温至250℃再从250℃降温至40℃的一个循环,并进行共计60个循环的热循环试验来评 价绝缘膜119。评价中采用了在喷镀中的工作温度分别为80℃、150℃、250℃下形成于平板部110的绝缘膜119。绝缘膜119是通过陶瓷喷镀形成250μm~300μm的喷镀膜,再通过研磨加工成为100μm~150μm的膜厚。 
表2中表示以喷镀中的工作温度为80℃而形成的绝缘膜119的试验结果,表3表示150℃下的结果,表4表示250℃下的结果。 
[表2] 
Figure BDA00002454132800111
[表3] 
Figure BDA00002454132800112
[表4] 
Figure BDA00002454132800113
在80℃下喷镀的基材在250℃的循环试验下,因热应力的影响而以平板部110的拐角部为起点发生了裂缝。另一方面,在150℃下喷镀的平板部110,虽然推测升温至250℃时会产生热应力,但还不至于发生裂缝。在250℃下喷镀的平板部110,与在150℃下喷镀的平板部110相同,并未发生裂缝。由于平板部110的拐角部的R值越大越能缓和应力,故推测在R>0.5mm的情况下也不会发生裂缝。因此,对于300mm的晶片基材(φ330mm左右)的平板部110,如果在平板部110的R值为0.5mm以上,且喷镀时的平板部110的工作温度为150℃以上的条件下进行施工,则在250~40℃×60循环的范围内不会发生裂缝。 

Claims (8)

1.一种基板支撑装置,其特征在于具备:
平板部,其由金属形成;
轴部,其连接于所述平板部且由金属形成;
发热体,其配置于所述平板部的内部;以及
绝缘膜,其通过陶瓷喷镀形成于与所述平板部的所述轴部相对置的第一面上。
2.根据权利要求1所述的基板支撑装置,其特征在于:还具有在与所述平板部的第一面大体垂直的第二面上形成的绝缘膜。
3.根据权利要求2所述的基板支撑装置,其特征在于:在所述平板部的所述第一面与所述第二面的拐角部具有倒角或曲率,和/或从所述平板部的第二面朝向与所述轴部连接的所述平板部的第三面具有倒角或曲率。
4.根据权利要求3所述的基板支撑装置,其特征在于:在所述平板部的所述第一面与所述第二面的拐角部具有0.5mm以上的倒角或曲率半径,和/或从所述平板部的第二面朝向与所述轴部连接的所述平板部的第三面具有0.5mm以上的倒角或曲率半径。
5.根据权利要求3所述的基板支撑装置,其特征在于:从所述平板部的第二面朝向与所述轴部连接的所述平板部的第三面具有与所述平板部的板厚大致等值的曲率半径。
6.根据权利要求2~4中任一项所述的基板支撑装置,其特征在于:所述第三面还具备绝缘膜。
7.根据权利要求2~6中任一项所述的基板支撑装置,其特征在于:所述平板部的第一面具有凹部,所述第一面的凹部的拐角部具有0.5mm以上的倒角或曲率半径。
8.根据权利要求1~7中任一项所述的基板支撑装置,其特征在于:所述绝缘膜是通过以成为在基板支撑装置的实际使用温度下不发生裂纹的残余应力的工作温度被喷镀于所述平板部上而形成的。
CN201210480502.XA 2011-11-25 2012-11-23 基板支撑装置 Active CN103137534B (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US13/304,493 US9153463B2 (en) 2011-11-25 2011-11-25 Substrate support device
US13/304,493 2011-11-25
JP2012127130 2012-06-04
JP2012-127130 2012-06-04
US13/667,512 US10276410B2 (en) 2011-11-25 2012-11-02 Substrate support device
US13/667,512 2012-11-02

Publications (2)

Publication Number Publication Date
CN103137534A true CN103137534A (zh) 2013-06-05
CN103137534B CN103137534B (zh) 2017-12-01

Family

ID=48465875

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201210480502.XA Active CN103137534B (zh) 2011-11-25 2012-11-23 基板支撑装置

Country Status (5)

Country Link
US (1) US10276410B2 (zh)
JP (1) JP6027407B2 (zh)
KR (1) KR102056949B1 (zh)
CN (1) CN103137534B (zh)
TW (1) TWI621204B (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2015149453A1 (zh) * 2014-03-31 2015-10-08 上海理想万里晖薄膜设备有限公司 一种防氟气腐蚀的高温加热装置
CN105304463A (zh) * 2014-07-10 2016-02-03 英属开曼群岛商精曜有限公司 垂直式平板式加热器
CN111788862A (zh) * 2018-12-20 2020-10-16 日本碍子株式会社 陶瓷加热器
CN113454761A (zh) * 2019-03-01 2021-09-28 日本发条株式会社 载物台以及载物台的制造方法

Families Citing this family (345)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP2014093420A (ja) * 2012-11-02 2014-05-19 Toyota Motor Corp ウェハを支持ディスクに接着する治具、および、それを用いた半導体装置の製造方法
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
JP5980147B2 (ja) * 2013-03-08 2016-08-31 日本発條株式会社 基板支持装置
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
USD725168S1 (en) * 2014-02-04 2015-03-24 Asm Ip Holding B.V. Heater block
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
JP6246666B2 (ja) 2014-06-11 2017-12-13 日本発條株式会社 積層体の製造方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
USD799646S1 (en) 2016-08-30 2017-10-10 Asm Ip Holding B.V. Heater block
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10679873B2 (en) * 2016-09-30 2020-06-09 Ngk Spark Plug Co., Ltd. Ceramic heater
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
JP6599950B2 (ja) * 2017-09-20 2019-10-30 日本発條株式会社 積層体及び積層体の製造方法
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
JP2022509635A (ja) * 2018-12-03 2022-01-21 アプライド マテリアルズ インコーポレイテッド チャックとアーク放電に関する性能が改良された静電チャック設計
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11562890B2 (en) * 2018-12-06 2023-01-24 Applied Materials, Inc. Corrosion resistant ground shield of processing chamber
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
JP7493516B2 (ja) 2019-01-15 2024-05-31 アプライド マテリアルズ インコーポレイテッド 基板処理チャンバ用ペデスタル
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
KR20230047158A (ko) 2020-09-08 2023-04-06 닛폰 하츠죠 가부시키가이샤 스테이지 및 그 제조 방법
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0531239U (ja) * 1991-10-02 1993-04-23 住友金属工業株式会社 静電チヤツク
US5772770A (en) * 1995-01-27 1998-06-30 Kokusai Electric Co, Ltd. Substrate processing apparatus
US20060051966A1 (en) * 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
CN101026119A (zh) * 2005-12-21 2007-08-29 通用电气公司 耐蚀刻晶片处理装置和其制造方法
CN101504927A (zh) * 2008-02-08 2009-08-12 东京毅力科创株式会社 等离子体处理装置用基板放置台、等离子体处理装置

Family Cites Families (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4676994A (en) * 1983-06-15 1987-06-30 The Boc Group, Inc. Adherent ceramic coatings
US4988848A (en) * 1988-09-28 1991-01-29 Panos Trakas Ceramic heater element for dual zone sprue bushing
US5304248A (en) * 1990-12-05 1994-04-19 Applied Materials, Inc. Passive shield for CVD wafer processing which provides frontside edge exclusion and prevents backside depositions
JPH0531239A (ja) 1991-05-21 1993-02-09 Sophia Co Ltd 遊技装置
US5592581A (en) 1993-07-19 1997-01-07 Tokyo Electron Kabushiki Kaisha Heat treatment apparatus
JP3172758B2 (ja) * 1993-11-20 2001-06-04 東京エレクトロン株式会社 プラズマエッチング方法
JPH08191096A (ja) 1995-01-09 1996-07-23 Sumitomo Metal Ind Ltd 半導体用治具
US5886863A (en) * 1995-05-09 1999-03-23 Kyocera Corporation Wafer support member
DE69633631T2 (de) * 1995-08-23 2005-10-20 Asahi Glass Ceramics Co., Ltd. Target, verfahren zu dessen herstellung und herstellung hochrefraktiver filme
JP3612839B2 (ja) * 1996-02-13 2005-01-19 三菱電機株式会社 高誘電率薄膜構造、高誘電率薄膜形成方法および高誘電率薄膜形成装置
JPH1064983A (ja) * 1996-08-16 1998-03-06 Sony Corp ウエハステージ
US5963840A (en) * 1996-11-13 1999-10-05 Applied Materials, Inc. Methods for depositing premetal dielectric layer at sub-atmospheric and high temperature conditions
JPH1154496A (ja) * 1997-08-07 1999-02-26 Tokyo Electron Ltd 熱処理装置及びガス処理装置
JPH11354504A (ja) * 1998-06-08 1999-12-24 Sony Corp ガラス基板処理装置
JPH11354260A (ja) 1998-06-11 1999-12-24 Shin Etsu Chem Co Ltd 複層セラミックスヒータ
JP3035735B2 (ja) * 1998-09-07 2000-04-24 国際電気株式会社 基板処理装置および基板処理方法
JP2000114354A (ja) 1998-09-30 2000-04-21 Kyocera Corp ウエハ支持加熱用ヒータ
US6228173B1 (en) * 1998-10-12 2001-05-08 Tokyo Electron Limited Single-substrate-heat-treating apparatus for semiconductor process system
EP1137321A1 (en) * 1999-11-30 2001-09-26 Ibiden Co., Ltd. Ceramic heater
TW558861B (en) * 2001-06-15 2003-10-21 Semiconductor Energy Lab Laser irradiation stage, laser irradiation optical system, laser irradiation apparatus, laser irradiation method, and method of manufacturing semiconductor device
TWI234417B (en) 2001-07-10 2005-06-11 Tokyo Electron Ltd Plasma procesor and plasma processing method
JP4493251B2 (ja) * 2001-12-04 2010-06-30 Toto株式会社 静電チャックモジュールおよび基板処理装置
US8067067B2 (en) 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US6780787B2 (en) * 2002-03-21 2004-08-24 Lam Research Corporation Low contamination components for semiconductor processing apparatus and methods for making components
US6846380B2 (en) * 2002-06-13 2005-01-25 The Boc Group, Inc. Substrate processing apparatus and related systems and methods
US6960741B2 (en) 2002-08-26 2005-11-01 Lexmark International, Inc. Large area alumina ceramic heater
KR100847082B1 (ko) 2002-10-31 2008-07-18 토소가부시키가이샤 도상돌기 수식부품 및 그 제조방법과 이를 이용한 장치
US7372001B2 (en) 2002-12-17 2008-05-13 Nhk Spring Co., Ltd. Ceramics heater
JP3910145B2 (ja) 2003-01-06 2007-04-25 日本発条株式会社 溶射被膜およびその製造方法
KR100752800B1 (ko) 2003-03-12 2007-08-29 동경 엘렉트론 주식회사 반도체처리용의 기판유지구조 및 플라즈마 처리장치
CN1310285C (zh) * 2003-05-12 2007-04-11 东京毅力科创株式会社 处理装置
JP3929939B2 (ja) 2003-06-25 2007-06-13 株式会社東芝 処理装置、製造装置、処理方法及び電子装置の製造方法
JP4349952B2 (ja) * 2004-03-24 2009-10-21 京セラ株式会社 ウェハ支持部材とその製造方法
JP2005317749A (ja) * 2004-04-28 2005-11-10 Sumitomo Electric Ind Ltd 半導体製造装置用保持体及びそれを搭載した半導体製造装置
WO2006006391A1 (ja) * 2004-06-28 2006-01-19 Kyocera Corporation ウェハ加熱装置と半導体製造装置
CN1993735A (zh) * 2004-08-16 2007-07-04 昭和电工株式会社 用于磁记录介质的硅基底、制造硅基底的方法以及磁记录介质
TWI281833B (en) * 2004-10-28 2007-05-21 Kyocera Corp Heater, wafer heating apparatus and method for manufacturing heater
US7579067B2 (en) * 2004-11-24 2009-08-25 Applied Materials, Inc. Process chamber component with layered coating and method
US8038796B2 (en) * 2004-12-30 2011-10-18 Lam Research Corporation Apparatus for spatial and temporal control of temperature on a substrate
JP4482472B2 (ja) 2005-03-24 2010-06-16 日本碍子株式会社 静電チャック及びその製造方法
US20060288934A1 (en) * 2005-06-22 2006-12-28 Tokyo Electron Limited Electrode assembly and plasma processing apparatus
US20090045829A1 (en) * 2005-08-04 2009-02-19 Sumitomo Electric Industries, Ltd. Wafer holder for wafer prober and wafer prober equipped with same
US20070169703A1 (en) * 2006-01-23 2007-07-26 Brent Elliot Advanced ceramic heater for substrate processing
JP5245268B2 (ja) 2006-06-16 2013-07-24 東京エレクトロン株式会社 載置台構造及び熱処理装置
JP4889385B2 (ja) 2006-07-07 2012-03-07 日本発條株式会社 ヒータユニットおよびシャフト
JP2007184289A (ja) 2007-03-12 2007-07-19 Sumitomo Electric Ind Ltd ヒータ
US8294069B2 (en) 2007-03-28 2012-10-23 Ngk Insulators, Ltd. Heating device for heating a wafer
US8051548B2 (en) * 2007-09-06 2011-11-08 Creative Technology Corporation Method of manufacturing an electrostatic chuck
WO2009082763A2 (en) * 2007-12-25 2009-07-02 Applied Materials, Inc. Method and apparatus for controlling plasma uniformity
JP4898718B2 (ja) * 2008-02-08 2012-03-21 東京エレクトロン株式会社 載置台及びプラズマ処理装置
US8540819B2 (en) 2008-03-21 2013-09-24 Ngk Insulators, Ltd. Ceramic heater
JP5475261B2 (ja) * 2008-03-31 2014-04-16 東京エレクトロン株式会社 プラズマ処理装置
US8405909B2 (en) * 2008-05-09 2013-03-26 Semiconductor Energy Laboratories Co., Ltd. Deposition donor substrate and deposition method using the same
TW201005825A (en) 2008-05-30 2010-02-01 Panasonic Corp Plasma processing apparatus and method
US20110247929A1 (en) * 2008-09-24 2011-10-13 Sumitomo Electric Hardmetal Corp. Diamond electrode and method for manufacturing diamond electrode
JP5492783B2 (ja) * 2008-10-22 2014-05-14 ローム株式会社 積層構造体
JP5453902B2 (ja) 2009-04-27 2014-03-26 Toto株式会社 静電チャックおよび静電チャックの製造方法
JP5544985B2 (ja) 2009-06-23 2014-07-09 東京エレクトロン株式会社 液処理装置
US8085531B2 (en) * 2009-07-14 2011-12-27 Specialty Minerals (Michigan) Inc. Anisotropic thermal conduction element and manufacturing method
US8274017B2 (en) 2009-12-18 2012-09-25 Applied Materials, Inc. Multifunctional heater/chiller pedestal for wide range wafer temperature control
JP5666167B2 (ja) 2010-05-07 2015-02-12 日本発條株式会社 ステージヒータ及びシャフトの製造方法
WO2011150311A1 (en) * 2010-05-28 2011-12-01 Praxair Technology, Inc. Substrate supports for semiconductor applications
JP6195519B2 (ja) 2010-08-06 2017-09-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 静電チャック及びその使用方法
US8748349B2 (en) * 2011-04-15 2014-06-10 Ut-Battelle, Llc Buffer layers for REBCO films for use in superconducting devices

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0531239U (ja) * 1991-10-02 1993-04-23 住友金属工業株式会社 静電チヤツク
US5772770A (en) * 1995-01-27 1998-06-30 Kokusai Electric Co, Ltd. Substrate processing apparatus
US20060051966A1 (en) * 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
CN101026119A (zh) * 2005-12-21 2007-08-29 通用电气公司 耐蚀刻晶片处理装置和其制造方法
CN101504927A (zh) * 2008-02-08 2009-08-12 东京毅力科创株式会社 等离子体处理装置用基板放置台、等离子体处理装置

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2015149453A1 (zh) * 2014-03-31 2015-10-08 上海理想万里晖薄膜设备有限公司 一种防氟气腐蚀的高温加热装置
CN105304463A (zh) * 2014-07-10 2016-02-03 英属开曼群岛商精曜有限公司 垂直式平板式加热器
CN111788862A (zh) * 2018-12-20 2020-10-16 日本碍子株式会社 陶瓷加热器
CN113454761A (zh) * 2019-03-01 2021-09-28 日本发条株式会社 载物台以及载物台的制造方法

Also Published As

Publication number Publication date
JP2014013874A (ja) 2014-01-23
TW201324677A (zh) 2013-06-16
JP6027407B2 (ja) 2016-11-16
US20130134148A1 (en) 2013-05-30
KR102056949B1 (ko) 2019-12-17
TWI621204B (zh) 2018-04-11
CN103137534B (zh) 2017-12-01
US10276410B2 (en) 2019-04-30
KR20130058632A (ko) 2013-06-04

Similar Documents

Publication Publication Date Title
CN103137534A (zh) 基板支撑装置
JP3222163U (ja) 基板支持アセンブリ用の多領域ガスケット
JP7030143B2 (ja) 高温処理用静電チャックアセンブリ
TWI739307B (zh) 用於高溫處理之具有金屬結合背板的靜電定位盤組件
CN107527842B (zh) 基板支撑组件及导热基底
USRE46136E1 (en) Heating apparatus with enhanced thermal uniformity and method for making thereof
US20190371577A1 (en) Extreme uniformity heated substrate support assembly
JP6584286B2 (ja) ヒータユニット
US10692703B2 (en) Ceramic heater with enhanced RF power delivery
KR20060127387A (ko) 유체 간극을 갖는 기판 홀더 및 그 기판 홀더를 제조하는방법
TW201230166A (en) Temperature controlled plasma processing chamber component with zone dependent thermal efficiencies
JP2008085283A (ja) 熱均一性が強化された加熱装置及びその製造方法
US20140263281A1 (en) Ceramic heater
SG177584A1 (en) Light-up prevention in electrostatic chucks
US9153463B2 (en) Substrate support device
US11410869B1 (en) Electrostatic chuck with differentiated ceramics
TW201438099A (zh) 包含各向異性材料之基板處理腔室部件
US11272579B2 (en) Heat generating component
JP2874367B2 (ja) 静電吸着電極

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant