CN102652353B - 新颖间隙填充整合 - Google Patents

新颖间隙填充整合 Download PDF

Info

Publication number
CN102652353B
CN102652353B CN201080055670.3A CN201080055670A CN102652353B CN 102652353 B CN102652353 B CN 102652353B CN 201080055670 A CN201080055670 A CN 201080055670A CN 102652353 B CN102652353 B CN 102652353B
Authority
CN
China
Prior art keywords
gap
deposition
flowable
film
hdp
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201080055670.3A
Other languages
English (en)
Other versions
CN102652353A (zh
Inventor
恺晗·阿什蒂亚尼
迈克尔·伍德
约翰·德鲁瑞
庄田尚弘
巴尔特·范施拉文迪杰克
拉克什米纳拉亚那·尼塔拉
内里萨·德拉热
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM Nutool Inc
Original Assignee
ASM Nutool Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM Nutool Inc filed Critical ASM Nutool Inc
Publication of CN102652353A publication Critical patent/CN102652353A/zh
Application granted granted Critical
Publication of CN102652353B publication Critical patent/CN102652353B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)
  • Secondary Cells (AREA)
  • Element Separation (AREA)

Abstract

本发明提供新颖间隙填充方案,所述方案涉及沉积可流动氧化物膜及高密度等离子体化学气相沉积氧化物(HDP氧化物)膜两者。根据各种实施例,所述可流动氧化物膜可用作牺牲层及/或用作用于自底向上间隙填充的材料。在某些实施例中,经填充间隙的顶部表面为HDP氧化物膜。所得经填充间隙可仅用HDP氧化物膜进行填充,或用HDP氧化物膜与可流动氧化物膜的组合进行填充。所述方法提供改进的顶帽减小且避免界定所述间隙的结构的截割。

Description

新颖间隙填充整合
相关申请案的交叉参考
本申请案主张2009年12月9日申请的美国临时专利申请案第61/285,091号的权益,所述专利申请案以引用的方式并入本文中。
技术领域
背景技术
在半导体处理中,通常有必要用绝缘材料来填充高纵横比间隙。以下为此种状况:浅沟槽隔离(STI)、金属间电介质(IMD)层、层间电介质(ILD)层、金属前电介质(pre-metaldielectric,PMD)层、钝化层等等。随着器件几何形状收缩及热预算减小,窄宽度、高纵横比(AR)的特征(例如,AR>6∶1)的无空隙填充因现有沉积工艺的限制而变得愈加困难。
发明内容
本发明提供新颖间隙填充方案,所述方案涉及沉积可流动氧化物膜及高密度等离子体化学气相沉积氧化物(HDP氧化物)膜两者。根据各种实施例,所述可流动氧化物膜可用作牺牲层及/或用作用于自底向上间隙填充的材料。在某些实施例中,经填充间隙的顶部表面为HDP氧化物膜。所得经填充间隙可仅用HDP氧化物膜进行填充,或用HDP氧化物膜与可流动氧化物膜的组合进行填充。所述方法提供改进的顶帽减小且避免界定所述间隙的结构的截割。
一方面涉及一种填充在半导体衬底上的凸起特征之间的间隙的方法,所述方法涉及经由高密度等离子体化学气相沉积反应,将高密度等离子体化学气相沉积(HDP-CVD)电介质膜沉积于所述特征上及所述间隙中以部分地填充所述间隙;在经由HDP-CVD反应部分地填充所述间隙之后,沉积可流动氧化物膜以过度填充所述间隙;一起蚀刻所述可流动氧化物膜的一部分及所述HDP-CVD电介质膜,其中所述蚀刻在所述特征的顶部表面上方停止;自所述间隙选择性移除可流动氧化物膜以使间隙用HDP-CVD电介质膜部分地填充;及执行一次或一次以上额外的HDP-CVD沉积以完成所述间隙的填充,借此用HDP-CVD电介质膜填充所述间隙。在某些实施例中,在执行所述一次或一次以上额外的HDP-CVD沉积以完成所述间隙的填充之后,所述间隙中实质上所有的膜都为HDP-CVD电介质膜。自用HDP-CVD电介质膜部分地填充间隙转变到用可流动氧化物膜过度填充所述间隙可能或可能不涉及中间蚀刻操作。根据各种实施例,可流动氧化物膜没有、全部或仅一部分预先固化。如果固化,那么其可在蚀刻可流动氧化物膜的一部分及HDP-CVD电介质膜之前固化。固化可流动氧化物膜的一部分可涉及选择性固化可流动氧化物膜的上部部分。
另一方面涉及填充具有凸起特征的衬底上的间隙及所述凸起特征之间的间隙,其涉及经由一个或一个以上高密度等离子体化学气相沉积(HDP-CVD)反应,用电介质材料部分地填充所述间隙,其中电介质材料也沉积在所述特征上;用牺牲材料过度填充所述间隙;及执行两次移除操作,其中一次移除操作移除电介质材料及牺牲材料,且其中一次移除操作选择性移除剩余牺牲材料。在某些实施例中,所述牺牲材料为可流动氧化物材料。
另一方面涉及一种用于填充具有表面的衬底中成形为开口的特征的方法,所述特征具有底部,所述方法涉及将填充材料沉积于所述特征中以部分地填充所述特征且沉积于与所述开口相邻的衬底表面上;用牺牲材料过度填充所述间隙;移除沉积于所述特征或特征开口上方的牺牲材料及填充材料的大部分,使特征用牺牲材料及填充材料填充;及自所述间隙选择性移除牺牲材料,使特征用填充材料部分地填充。间隙填充可通过将填充材料沉积于所述间隙中来完成。在某些实施例中,完全填充的间隙实质上不具有牺牲材料。所述牺牲材料可为可流动氧化物材料。
另一方面涉及一种填充具有凸起特征的衬底上的间隙及所述凸起特征之间的间隙的方法,所述方法涉及经由一个或一个以上高密度等离子体化学气相沉积(HDP-CVD)反应,用电介质材料部分地填充所述间隙,其中电介质材料也沉积在所述特征上;用牺牲材料过度填充所述间隙;及执行两次移除操作,其中一次移除操作移除电介质材料及牺牲材料,且其中一次移除操作选择性移除剩余牺牲材料。与本文中所述的其它方面中一样,所述牺牲材料可为可流动氧化物材料。
另一方面涉及一种填充具有凸起特征的衬底上的间隙及所述凸起特征之间的间隙的方法,所述方法包含用电介质材料部分地填充所述间隙,其中电介质材料也沉积在所述特征上;随后用可流动氧化物材料过度填充所述间隙;及执行两次移除操作,其中一次移除操作移除电介质材料及可流动氧化物材料,且其中一次移除操作选择性移除剩余可流动氧化物材料。
另一方面涉及一种填充半导体衬底上凸起特征之间的间隙的方法,所述方法涉及经由高密度等离子体化学气相沉积反应,将高密度等离子体化学气相沉积(HDP-CVD)电介质膜沉积于所述特征上及所述间隙中以部分地填充所述间隙;在经由HDP-CVD反应部分地填充所述间隙之后,沉积可流动氧化物膜以进一步填充所述间隙;其中在所述可流动氧化物膜的沉积之后,所述间隙仅部分填充;及执行一次或一次以上额外的HDP-CVD沉积以完成所述间隙的填充,其中所述间隙填充有可流动氧化物膜及HDP-CVD电介质膜。在某些实施例中,完全填充的间隙包括由HDP-CVD电介质膜囊封的可流动氧化物膜。在完成间隙的填充之后,可移除沉积于所述特征的顶部上的电介质材料。在某些实施例中,可流动氧化物膜在执行所述一次或一次以上额外的HDP-CVD沉积之前经固化。在某些实施例中,自沉积可流动氧化物膜转变成通过执行一次或一次以上额外的HDP-CVD沉积来进一步填充所述间隙不涉及中间固化操作。在某些实施例中,可流动氧化物膜在执行所述一次或一次以上额外的HDP-CVD沉积之前经固化。
另一方面涉及一种填充半导体衬底上凸起特征之间的间隙的方法,所述方法涉及将固体电介质膜沉积于所述特征上及所述间隙中以用固体电介质材料部分地填充所述间隙;在用固体电介质材料部分地填充所述间隙之后,沉积可流动氧化物膜以进一步填充所述间隙;其中在所述可流动氧化物膜的沉积之后,所述间隙仅部分填充;执行一个或一个以上固体电介质膜沉积操作以完成所述间隙的填充,其中所述间隙填充有可流动氧化物膜及固体电介质膜。完全填充的间隙可包括由固体电介质膜囊封的可流动氧化物膜。在完成间隙的填充之后,在某些实施例中,移除沉积于所述特征的顶部上的电介质材料。所述可流动氧化物膜可在执行一次或一次以上额外的固体电介质沉积之前经固化。
另一方面涉及一种填充具有凸起特征的衬底上的间隙及所述凸起特征之间的间隙的方法,所述方法包含执行固体电介质材料的一次或一次以上沉积及可流动氧化物材料的一次或一次以上沉积,以借此用由固体电介质材料囊封的可流动氧化物材料填充所述间隙。
另一方面涉及一种填充具有凸起特征的衬底上的间隙及所述凸起特征之间的间隙的方法,所述方法涉及执行固体电介质材料的一次或一次以上沉积及可流动氧化物材料的一次或一次以上沉积,以借此用可流动氧化物材料及固体电介质材料填充所述间隙。根据各种实施例,可流动氧化物材料可在固体电介质材料的沉积之前沉积,或固体电介质材料可在可流动氧化物材料的沉积之后沉积。可执行一个或一个以上的可流动氧化物及固体电介质沉积循环。固体电介质材料可顶盖所述填充物。
另一方面涉及一种填充半导体衬底上凸起特征之间的间隙的方法,所述方法涉及经由高密度等离子体化学气相沉积反应,将高密度等离子体化学气相沉积(HDP-CVD)电介质膜沉积于所述特征上及所述间隙中以部分地填充所述间隙;在经由HDP-CVD反应部分地填充所述间隙之后,沉积可流动氧化物膜以过度填充所述间隙;自所述间隙移除可流动氧化物膜以使间隙用HDP-CVD电介质膜及可流动氧化物膜部分地填充;及执行一次或一次以上额外的HDP-CVD沉积以完成所述间隙的填充。
在某些实施例中,自所述间隙移除可流动氧化物膜涉及选择性移除可流动氧化物膜。根据各种实施例,可流动氧化物膜经固化或未经固化。在某些实施例中,自所述间隙移除可流动氧化物膜转变成执行一次或一次以上额外的HDP-CVD沉积不涉及固化操作。可流动氧化物膜可未经固化或未经固化。
另一方面涉及一种填充半导体衬底上凸起特征之间的未经填充的间隙的方法,所述方法涉及经由高密度等离子体化学气相沉积反应,将高密度等离子体化学气相沉积(HDP-CVD)电介质膜沉积于所述特征上及所述间隙中以部分地填充所述间隙;在经由HDP-CVD反应部分地填充所述间隙之后,沉积可流动氧化物膜以过度填充所述间隙;执行蚀刻以移除可流动氧化物膜以使间隙部分地填充;及执行一次或一次以上额外的HDP-CVD沉积以完成所述间隙的填充。
另一方面涉及一种填充半导体衬底上凸起特征之间的未经填充的间隙的方法,所述方法涉及经由高密度等离子体化学气相沉积反应沉积高密度等离子体化学气相沉积(HDP-CVD)固体电介质膜,及沉积可流动氧化物膜,以借此完全或部分地填充所述间隙。
另一方面涉及一种填充半导体衬底上凸起特征之间的未经填充的间隙的方法,所述方法涉及将可流动氧化物膜沉积于所述间隙中达一定高度以部分地填充所述间隙;及在用所述可流动氧化物膜部分地填充所述间隙之后,经由高密度等离子体化学气相沉积反应,将高密度等离子体化学气相沉积(HDP-CVD)电介质膜沉积于所述间隙中以完成所述间隙的填充。
根据各种实施例,所述方法可涉及将可流动电介质膜沉积于间隙中以部分地填充所述间隙;随后在用可流动电介质膜部分地填充所述间隙之后,经由高密度等离子体化学气相沉积反应,将高密度等离子体化学气相沉积(HDP-CVD)电介质膜沉积于所述间隙中以完成所述间隙的填充,其中所述可流动电介质膜在后续HDP-CVD沉积之前未经固化。
在某些实施例中,将可流动电介质膜沉积于所述间隙中涉及引入具有含硅前驱体、氧化剂及任选的溶剂的工艺气体,其中所述工艺气体特征为以下呈任何组合形式的分压(Pp)∶蒸气压(Pvp)比率中的一者或一者以上:含硅前驱体:0.01到1;氧化剂:0.25到2;及溶剂:0.1到1。
在某些实施例中,将可流动电介质膜沉积于所述间隙中涉及引入包括含硅前驱体、氧化剂及溶剂的工艺气体,其中所述工艺气体特征为具有约5到15的氧化剂∶前驱体分压比率。在某些实施例中,将可流动电介质膜沉积于所述间隙中涉及引入工艺气体,包括引入包含含硅前驱体、氧化剂及溶剂的工艺气体,其中所述工艺气体特征为具有约0.1到5的溶剂∶氧化剂前驱体分压比率。
在某些实施例中,所述可流动电介质膜在HDP-CVD电介质膜沉积期间至少部分稠化及/或氧化。
根据各种实施例,所述方法可涉及将所述衬底提供到沉积模块;将可流动电介质膜沉积于所述间隙中以部分地填充所述间隙;使所述间隙中的所述可流动电介质膜氧化;将所述衬底自所述沉积模块转移到高密度等离子体化学气相沉积(HDP-CVD)模块;及沉积HDP电介质膜以完成所述间隙的填充。使所述可流动电介质膜氧化可在所述沉积模块中及/或所述HDP-CVD模块中及/或与所述沉积模块分离的固化模块中执行。根据各种实施例,使所述可流动电介质膜氧化包括以下中的一者:在存在紫外光的情况下将所述膜暴露于氧化剂;及将所述膜暴露于远端产生的氧化等离子体。根据各种实施例,使所述可流动电介质膜氧化涉及将所述膜暴露于直接(原位)等离子体。
另一方面涉及一种填充半导体衬底上的未经填充的间隙的方法,所述方法涉及将可流动电介质膜沉积于所述间隙中以部分地填充所述间隙;使所述间隙中的所述可流动电介质膜部分地稠化;及沉积HDP电介质膜以完成所述间隙的填充。使所述可流动电介质膜部分地稠化可涉及将所述膜暴露于远端或直接等离子体。所述等离子体可为惰性等离子体,或反应性等离子体,例如氧化等离子体或氮化等离子体。
另一方面涉及一种沉积可流动氧化物膜的方法,所述方法涉及引入包含含硅前驱体、氧化剂及任选的溶剂的工艺气体,以借此将可流动膜沉积于所述间隙中以部分地填充所述间隙,其中所述工艺气体特征为以下分压(Pp)∶蒸气压(Pvp)比率中的一者、两者或全部:含硅前驱体:0.01到1;氧化剂:0.25到2;及溶剂:0.1到1。
另一方面涉及一种沉积可流动氧化物膜的方法,所述方法涉及引入包含含硅前驱体、氧化剂及任选的溶剂的工艺气体,以借此将可流动膜沉积于所述间隙中以部分地填充所述间隙,其中所述工艺气体特征为含硅前驱体的Pp∶Pvp在0.01与0.5之间。氧化剂的Pp∶Pvp比率也可在0.5与1之间。在相同或其它实施例中,所述工艺气体进一步特征为具有约5到15的氧化剂∶前驱体分压比率及/或约0.1到5的溶剂∶氧化剂前驱体分压比率。
各种反应物的这些分压(Pp)∶蒸气压(Pvp)比率及/或反应物∶反应物分压比率可用于本文中描述的所述间隙填充工艺中的任一者中。其它方面涉及用可流动氧化物完全或部分地填充硅或SOI衬底中的间隙,及选择性氧化所述可流动氧化物,以致下伏硅未经氧化。
根据各种实施例,本文中所述的可流动电介质膜包括氧化硅膜、氮化硅膜及氮氧化硅膜。可使用可流动氮化物或氮氧化物膜代替本文中所述的方法中的可流动氧化物膜。
以下详细描述将进一步论述本发明的益处及特征。
附图说明
图1A包括浅沟槽隔离(STI)整合工艺中的未经填充间隙的示意性截面描绘。
图1B及1C为根据某些实施例的通过一种方法填充的间隙的示意性截面描绘。
图2A为根据某些实施例的说明用电介质材料来填充沟槽或其它间隙的方法中的操作的工艺流程图。
图2B包括图2A中的操作的示意性截面描绘。
图3A为根据某些实施例的说明用电介质材料来填充沟槽或其它间隙的方法中的操作的工艺流程图。
图3B包括图3A中的操作的示意性截面描绘。
图4A为根据某些实施例的说明用电介质材料来填充沟槽或其它间隙的方法中的操作的工艺流程图。
图4B包括图4A中的操作的示意性截面描绘。
图5A为根据某些实施例的说明用电介质材料来填充沟槽或其它间隙的方法中的操作的工艺流程图。
图5B包括图5A中的操作的示意性截面描绘。
图6A包括引入的纵横比(AR)及在各种大小的特征中沉积可流动氧化物之后的AR的示意性截面描绘。图6A也包括在可流动沉积之前及之后的各种大小的特征的纵横比的标绘图。
图6B为在可流动沉积之前及之后的各种大小的特征的纵横比的另一标绘图。在所述标绘图上展示用可流动氧化物及HDP氧化物顶盖填充的间隙的图像。
图7为根据某些实施例的说明将可流动电介质材料沉积于间隙中的方法中的操作的工艺流程图。
图8A到8D为根据某些实施例的用电介质材料来填充间隙的方法的实例中的反应机制的示意性描绘。
图9A为定性地说明特征填充选择性的可调谐性的图解。
图9B为说明特定特征大小的填充高度对溶剂分压的相依性的标绘图。
图10为根据某些实施例的说明将可流动电介质材料沉积于间隙中的方法中的操作的工艺流程图。
图11为根据某些实施例的说明将可流动氧化物沉积于硅或SOI衬底中的间隙中的方法中的操作的工艺流程图。
图12为根据某些实施例的说明用电介质材料来填充间隙的方法中的操作的工艺流程图。
图13为说明适于实践选定实施例的多站装置的俯视图。
图14为适于实践各种实施例的HDP-CVD模块的简化说明。
图15为适于实践各种实施例的直接等离子体沉积/固化模块的简化说明。
图16为适于实践各种实施例的远端等离子体沉积/固化模块的简化说明。
具体实施方式
前言
本发明涉及填充衬底上的间隙的方法。在某些实施例中,所述方法涉及填充高纵横比(AR)(通常为至少6∶1,例如,7∶1或更高)、窄宽度(例如,低于50nm)的间隙。在某些实施例中,所述方法涉及填充皆低AR的间隙(例如,宽沟槽)。此外,在某些实施例中,变化AR的间隙可在衬底上,其中所述实施例针对填充低AR间隙及高AR间隙。
根据各种实施例,所述方法涉及将可流动氧化物膜及高密度等离子体化学气相沉积氧化物(HDP氧化物)膜两者沉积于间隙中。根据各种实施例,所述可流动氧化物膜可用作牺牲层及/或用作用于自底向上间隙填充的材料。在某些实施例中,经填充间隙的顶部表面为HDP氧化物膜。所得经填充间隙可仅用HDP氧化物膜进行填充,或用HDP氧化物膜与可流动氧化物膜的组合进行填充。所述方法提供改进的顶帽减小且避免界定所述间隙的结构的截割。
如本文中所使用,术语“HDP氧化物膜”是指使用高密度等离子体化学气相沉积工艺沉积的掺杂或无掺杂氧化硅膜。通常,高密度等离子体为具有每立方厘米至少约5×1010个电子(且更典型为每立方厘米1×1011个电子)的电子密度的任何等离子体。在某些实施例中,HDP CVD反应也可以在100毫托或更低的范围内的相对较低的反应器压力为特征。
虽然以下描述主要提及HDP氧化物顶盖膜,但也可使用其它类型的电介质膜,包括通过等离子体增强化学气相沉积(PECVD)、次大气压CVD(sub-atmospheric CVD,SACVD)沉积的TEOS氧化物,或通过任何其它方法沉积的电介质。
如本文中所使用,术语“可流动氧化物膜”为具有提供间隙的一致填充的流动特性的可流动掺杂或无掺杂氧化硅膜。可流动氧化物膜也可被描述为软胶状膜、具有液体流动特性的凝胶、液体膜或可流动膜。不同于HDP-CVD反应,形成可流动膜可涉及使含硅前驱体与氧化剂反应,以在衬底上形成缩合可流动膜。可利用催化剂来辅助膜的形成,例如,如2007年10月26日申请的美国专利申请案第11/925,514号中所描述,所述专利申请案以引用的方式并入本文中。本文中所描述的可流动氧化物沉积方法不限于特定反应机制,例如,反应机制可涉及吸附反应、水解反应、缩合反应、聚合反应、产生缩合的气相产物的气相反应、在反应之前一种或一种以上反应物的缩合,或这些反应的组合。将衬底暴露于工艺气体,持续一段足以沉积可流动膜以填充于一些间隙处的时期。沉积工艺通常形成具有良好流动特性的软胶状膜,由此提供一致填充。在某些实施例中,可流动膜为有机硅膜,例如,非晶形有机硅膜。
沉积态(as deposited)HDP氧化物膜为稠化固体且不可流动,而沉积态可流动氧化物膜未完全地稠化。术语“可流动氧化物膜”在本文中可用以指已经历使膜完全地或部分地稠化的稠化工艺及/或使膜完全地或部分地化学转化的转化工艺的可流动氧化物膜,以及沉积态可流动氧化物膜。下文进一步描述HDP-CVD及可流动氧化物沉积工艺的细节。
虽然以下描述主要提及可流动氧化硅膜,但本文中所描述的整合方案也可用于其它类型的可流动电介质膜。举例来说,沉积态膜可主要为具有Si-N键及N-H键的氮化硅,或氮氧化硅。在某些实施例中,这些膜可通过固化工艺而转化为SiO或SiON网络。
在某些实施例中,提供浅沟槽隔离(STI)整合方法。图1A描绘待在STI工艺中填充的密集间隙(在101处)及隔离间隙(在102处)的截面示意性表示。在101处,间隙104a到104d为形成于硅或绝缘体上覆硅(SOI)衬底101中的沟槽。也描绘衬垫氧化物层105及氮化硅层106。沟槽的侧壁也可涂布有氧化物层或衬里层(未图示),例如SiON或SiN层。在102处,描绘形成于硅或SOI衬底101中的隔离间隙104。尽管未图示,但间隙104的侧壁也可由氧化物、氮化物及其它层界定。虽然图1A中示意性地描绘的间隙具有大体上正方形轮廓,但间隙的侧壁可倾斜,例如,如以下的图6中示意性地描绘。
间隙通常是由底部表面及侧壁界定。可互换地使用术语“侧壁”或“若干侧壁”以指包括圆孔、长窄沟槽等等的任何形状的间隙的侧壁或若干侧壁。界定间隙的侧壁及底部表面可为一种或多种材料。间隙侧壁材料及底部材料的实例包括氮化物、氧化物、碳化物、氮氧化物、碳氧化物、硅化物,以及裸硅或其它半导体材料。特定实例包括SiN、SiO2、SiC、SiON、NiSi,及任何其它含硅材料。在某些实施例中,在可流动电介质沉积之前,间隙具备形成于间隙中的衬里、障壁或其它类型的保形层,以致间隙的底部及/或侧壁的全部或一部分为保形层。
间隙也可以中间存在所述间隙的结构为特征。在某些实施例中,例如在图1A中所描绘的实例中,所述结构为或包括硅衬底的在经蚀刻到所述衬底中的间隙之间的区域。所述结构(在本文中也称为凸起特征或特征)也可为例如硬掩模(hardmask)、金属通孔或沟槽线、晶体管栅极或其它特征。相邻结构界定在所述结构之间的间隙。所述结构可包括形成间隙的侧壁的一个或一个以上衬里层。
在仅仅用HDP氧化物填充的间隙中,除了在间隙的底部处填充HDP氧化物以外,HDP氧化物也沉积于结构(顶帽)的顶部上且突出并装尖头于待填充的间隙的入口区处。突出物的形成由溅镀及再沉积工艺引起。沉积工艺的定向方面产生自间隙内溅镀掉材料的某种高动量带电物质。经溅镀材料倾向于再沉积于高AR结构的侧壁上。如果允许生长,那么沉积于特征的侧壁上的尖头可过早地阻塞间隙。为了移除这些尖头及顶帽形成,执行回蚀工艺。通过暴露于含氟化合物(例如含有氟物质的等离子体)来实现蚀刻。这些物质通常起源于含氟工艺气体组份,例如SiF4、SiH2F2、Si2F6、C2F6、NF3、CF4及其类似物。也可使用其它蚀刻工艺,例如在HF中进行的湿式蚀刻。
如图所示,蚀刻受到利用蚀刻剂通常在结构的顶部隅角或间隙的侧壁处进行的结构截割限制。截割是指因结构暴露于蚀刻剂引起的损害,且可为物理蚀刻工艺或化学蚀刻工艺的结果。截割引起后续工艺步骤中的问题(例如,归因于SiN侵蚀的CMP抛光终止缺乏),及电性能中的问题(例如,到沟槽中的Si侧壁中的截割(侵蚀))。举例来说,归因于薄侧壁覆盖,沉积于与宽间隙(例如沟槽)邻接的高AR间隙中的HDP氧化物的NF3蚀刻可通过NF3的横向化学蚀刻而导致高AR特征的侧壁截割。对于高级结构,每循环的沉积量变得愈来愈薄,从而导致消失的NF3蚀刻工艺窗。
图1B为根据某些实施例填充的间隙104的表示。在所描绘实施例中,每一间隙104用电介质材料(可流动电介质材料110及HDP氧化物材料112)进行填充。根据各种实施例,可流动电介质材料110将间隙填充到低于氮化硅层106水平的水平。根据各种实施例,可流动电介质材料110与氮化硅层106的底部相隔至少50nm。图1B中也描绘用HDP氧化物112填充的宽沟槽114。少量可流动电介质110a存在于沟槽114的所描绘侧壁上;如同窄间隙104中的可流动电介质材料一样,其在所述侧壁的氮化硅层下方约至少50nm。
在某些实施例中,在窄间隙中的侧壁上实质上不存在高于可流动氧化物沉积水平的可流动氧化物沉积;也就是说,实质上不存在对自底向上可流动氧化物沉积的保形组份。图1C描绘用可流动氧化物110部分地填充的间隙的截面,其中间隙的剩余部分用HDP氧化物112进行填充。如图所示,在某些实施例中用以沉积可流动氧化物且在下文进一步描述的毛细缩合反应机制产生具有凹入弯液面的自底向上流动。在弯液面上方,每一可流动氧化物沉积循环侧壁上的沉积不超过约1个单层或小于约4埃;其中侧壁上的总沉积(取决于循环的数目)小于例如二十埃或小于十埃。此情形导致间隙中实质上所有HDP氧化物都高于可流动氧化物水平(例如,在SiN层下方至少50nm)。此有益的大量可流动电介质侧壁沉积(例如100埃或更多)在稍后蚀刻HDP氧化物的工艺期间可导致侧壁处的非想要蚀刻。
根据各种实施例,本发明的方法通过在HDP-CVD间隙填充期间使用可流动氧化物膜作为牺牲膜及/或将可流动氧化物膜结合HDP氧化物而用于自底向上间隙填充来提供改进的间隙填充,如图1B所示。根据各种实施例,提供未经填充的间隙,其中使用HDP氧化物及可流动氧化物沉积工艺将HDP氧化物及可流动氧化物沉积于间隙中。根据各种实施例,可首先沉积HDP氧化物,接着沉积可流动氧化物,或反之亦然。在某些实施例中,最终沉积操作为HDP-CVD操作,使得经填充间隙的顶部表面为HDP氧化物。可在各种沉积操作之后执行一个或一个以上蚀刻操作以回蚀HDP氧化物及/或可流动氧化物。蚀刻操作可为非选择性的(蚀刻HDP氧化物及可流动氧化物材料两者),或选择性的(主要或仅仅蚀刻可流动氧化物或HDP氧化物,同时使另一者实质上未蚀刻)。图1B提供根据一种工艺方案的经填充间隙的实例。下文阐述各种实施例作为工艺方案的实例。
图2A为说明一个实施例的工艺流程图,在所述实施例中,使用可流动氧化物膜作为牺牲材料以减少顶帽形成,同时保护特征侧壁免受化学蚀刻剂的有害作用。所述工艺通过提供具有凸起特征及在凸起特征之间的未经填充间隙的衬底来开始(201)。未经填充通常是指未用待沉积以填充间隙的绝缘材料进行填充;如上文所指示,各种衬里层或其它层可存在于间隙中。将衬底提供到HDP-CVD反应器,下文描述HDP-CVD反应器的额外细节。可接着执行一个或一个以上HDP-CVD沉积操作以用HDP氧化物电介质材料来部分地填充间隙(203)。下文给出HDP-CVD沉积工艺及参数的其它细节。如果打算执行多个沉积操作,那么在某些实施例中,所述沉积操作可穿插有一个或一个以上介入蚀刻操作,及/或可在一个或一个以上HDP-CVD沉积操作之后执行一个蚀刻操作,例如用以移除尖头材料。然而,在某些实施例中,在可流动氧化物沉积之前不执行蚀刻操作。在用以部分地填充间隙的HDP-CVD沉积之后,HDP氧化物是在间隙的底部中、在侧壁上及在凸起特征(顶帽)的顶部上。侧壁沉积通常特征为间隙变窄(自底向上观察),其中大部分沉积发生于到间隙的入口处。可在图2B中的HDP-CVD沉积之后的部分填充的间隙的截面表示中看到此情形,图2B描绘在此实例中所描述的工艺的各种阶段的特征及间隙。在220处,描绘由经沉积HDP氧化物112形成的顶帽221及尖头223。返回到图2A,下一操作涉及沉积可流动氧化物膜以过度填充间隙(205)。也就是说,沉积足够可流动氧化物膜以填充间隙以及覆盖特征。在某些实施例中,也利用可流动氧化物膜覆盖HDP氧化物顶帽。此情形在图2B中被描绘为230,其中可流动氧化物膜110填充间隙且覆盖HDP氧化物顶帽221。下文进一步描述可流动氧化物沉积的细节。根据各种实施例,可流动氧化物沉积可发生于HDP-CVD沉积腔室或单独沉积腔室中。在某些实施例中,可流动氧化物沉积可发生于多站腔室的不同站中。又,在某些实施例中,不同工艺模块附接于一个主机架上。因此,取决于实施例,在HDP-CVD沉积与可流动氧化物沉积之间的转变可能涉及或可能不涉及将衬底转移到不同腔室或工艺模块。也应注意,如果在这些操作之间执行蚀刻操作,那么所述转变可涉及到及自单独蚀刻腔室的转移。一旦可流动氧化物被沉积,随即可执行任选的固化操作(207)。如下文所描述,在固化工艺中,可将膜稠化及/或以化学方式转化为所要的电介质组合物。在某些实施例中,在单独操作中执行稠化及转化;或可执行多个操作,所述多个操作各自将膜稠化及/或使膜固化。又,在其它实施例中,可在未稠化的情况下以化学方式转化沉积态膜,或反之亦然。在某些实施例中,可使用可流动膜的固化来调谐可流动膜的蚀刻特性。在下一操作中,执行HDP氧化物及可流动氧化物的非选择性移除;取决于所使用的移除化学品及工艺以及可流动氧化物及HDP氧化物的特性,执行固化工艺可用于协调这些膜的蚀刻速率。如下文进一步论述,可执行各种固化工艺。这些工艺可将膜稠化,且在某些状况下可使膜完全地凝固。在某些实施例中,仅固化将在随后的操作中蚀刻的顶部部分。以此方式,可向可流动氧化物膜的待非选择性移除的顶部部分及所述膜的待选择性移除的底部部分赋予不同蚀刻特性。在图2B中的240处描绘经部分固化的膜,其中可流动氧化物膜110的在间隙上方的部分110b被固化。可将部分110b稠化及/或以化学方式转化为氧化硅(或其它所要电介质)。在某些实施例中,间隙中剩余的部分110c相较于部分110b具有较低密度,但仍以化学方式转化为SiO网络。在其它实施例中,部分110c在组成上不同于部分110c。在某些实施例中,不执行固化操作,其中蚀刻化学品或条件合适地用以移除所要膜。如所指示,执行HDP氧化物及可流动氧化物的非选择性移除(209)。蚀刻在凸起特征及间隙开口上方终止,但移除顶帽沉积的至少一部分,在某些实施例中,移除顶帽沉积的大部分。在图2B中的250处描绘此情形,图2B中的250展示在特征及间隙开口上方的HDP氧化物薄层112。可接着任选使剩余可流动氧化物膜固化,例如,以改变其蚀刻特性(211)。接着选择性移除剩余可流动氧化物,即,移除剩余可流动氧化物,而不移除显著量的HDP氧化物(213)。在图2B中的260处描绘此情形,其中仅HDP氧化物112剩余。此情形可使用与操作209中所执行的移除工艺相同或不同的移除工艺进行,例如,可使用湿式蚀刻而非等离子体蚀刻。可接着重复操作203到213以进一步用HDP氧化物部分地填充间隙、用可流动氧化物膜牺牲层过度填充间隙、自特征及间隙上方移除HDP氧化物及可流动氧化物,及自间隙选择性移除可流动氧化物(215)。如果不重复这些操作,或在一个或一个以上此类重复之后,那么执行一次或一次以上额外的HDP-CVD沉积以完成用HDP氧化物进行的间隙填充(217)。在执行多次沉积的某些实施例中,可执行介入蚀刻操作。或者,可在无额外蚀刻操作的情况下完成间隙填充。所得间隙是用HDP氧化物进行填充,其中实质上无可流动氧化物。在其它实施例中,可剩余少量可流动氧化物膜填充例如按体积计小于10%的间隙。如图2B中的270处所示,可存在少量顶帽HDP氧化物沉积,然而,归因于先前的一个或一个以上蚀刻操作209,顶帽显著小于在无蚀刻操作的情况下的顶帽。此外,在这些蚀刻操作期间保护特征。在某些实施例中,仅有所执行的蚀刻操作为在操作209及213中所描绘的操作。在完成间隙填充之后,可例如在化学机械平坦化(CMP)工艺中使间隙及特征的顶部平坦化(219)。
图3A为展示间隙填充方法中的某些操作的工艺流程图,在所述方法中,可流动氧化物增大自底向上填充,其中可流动氧化物是由HDP氧化物囊封,使得可流动氧化物不会触碰间隙的侧壁及底部,且不暴露于表面上。所述工艺通过将具有凸起特征及在凸起特征之间的未经填充间隙的衬底提供到HDP-CVD反应器来开始(301)。可接着执行一个或一个以上HDP-CVD沉积操作以用HDP氧化物电介质材料部分地填充间隙(303)。如同上文参看图2A所描述的工艺一样,如果打算执行多个沉积操作,那么在某些实施例中,所述沉积操作可穿插有一个或一个以上介入蚀刻操作,及/或可在一个或一个以上HDP-CVD沉积操作之后执行一个蚀刻操作,例如用以移除尖头材料。然而,在某些实施例中,在可流动氧化物沉积之前不执行蚀刻操作。下一操作涉及沉积可流动氧化物膜以进一步填充间隙(305)。在沉积可流动氧化物膜之后,在某些实施例中仍仅部分地填充间隙,即,可流动氧化物沉积到在相邻特征的顶部表面下方的点。在图3B中描绘此情形,图3B描绘在图3A所描述的工艺的各种阶段的特征及间隙。如同本文中所描述的所有实例一样,根据各种实施例,可流动氧化物沉积可发生于HDP-CVD沉积腔室或单独沉积腔室中。接着任选使可流动氧化物膜固化(307)。如上文描述及下文进一步详述,固化工艺可将膜的全部或部分转化为Si-O网络。可通过固化工艺使膜完全地或部分地凝固。在某些实施例中,在后续HDP氧化物沉积之前膜未经固化。执行一次或一次以上额外的HDP-CVD沉积以完成用HDP氧化物进行的间隙填充(309)。在某些状况下,HDP-CVD工艺可使可流动氧化物膜稠化,且可使可流动氧化物膜完全地或部分地凝固。所得间隙是用HDP氧化物及可流动氧化物进行填充。在某些实施例中,HDP氧化物囊封可流动氧化物,使得可流动氧化物不会接触间隙的侧壁及底部,且不暴露于经填充间隙的表面上。在替代实施例中,HDP氧化物部分地囊封可流动氧化物,从而例如可流动氧化物仅接触间隙的侧壁。在完成间隙填充之后,可例如在化学机械平坦化(CMP)工艺中使间隙及特征的顶部平坦化(319)。
图3B描绘窄沟槽中以及宽沟槽(如图3A所描述)中的沉积的截面示意图。在320处,窄间隙104被描绘为用HDP氧化物112部分地填充,包括顶帽221及尖头223沉积以及自底向上填充。在330处,描绘达到在相邻特征的顶部表面下方的水平的可流动氧化物沉积110。在340处,描绘后续HDP沉积112。在350处,图3B也展示宽沟槽114中的沉积。在通过图3A所示的方法来填充与此沟槽114邻接的高AR间隙的某些实施例中,在操作305期间沉积于所述沟槽中的可流动氧化物的厚度极小,且可为可忽略的。因而,如图3B所描绘,沟槽实质上完全地用HDP氧化物进行填充,且其中未沉积显著量的可流动氧化物。
图4A为说明可流动氧化物膜增大自底向上填充的另一实施例的工艺流程图。所述工艺通过将具有凸起特征及在凸起特征之间的未经填充间隙的衬底提供到HDP-CVD反应器来开始(401)。如在图2A及3A所描述的方法中,接着执行一个或一个以上HDP-CVD沉积操作以用HDP氧化物电介质材料部分地填充间隙(403)。也如上文关于图2A所描述,下一操作涉及沉积可流动氧化物膜以过度填充间隙(405)。一旦可流动氧化物被沉积,随即可执行任选的固化操作(407),所述固化操作可用以调谐经沉积可流动氧化物的蚀刻特性。在某些实施例中,使可流动氧化物膜未固化以显现沉积态HDP氧化物及沉积态可流动氧化物膜的蚀刻性质的差异。接着选择性移除可流动氧化物膜的一部分,其中回蚀在间隙开口下方的点处终止,使间隙用HDP氧化物及可流动氧化物进行部分地填充(409)。在某些实施例中,在选择性移除之前执行HDP氧化物及可流动氧化物的非选择性蚀刻,其中非选择性回蚀在凸起特征及间隙开口上方终止,从而移除顶帽HDP氧化物沉积的至少一部分。在选择性移除之后,任选固化剩余可流动氧化物膜的全部或部分(411)。如同其它实施例一样,在某些实施例中,可执行所描述操作的全部或部分的多个循环。执行一次或一次以上额外的HDP-CVD沉积以完成用HDP氧化物进行的间隙填充(413)。在执行多次沉积的某些实施例中,可执行介入蚀刻操作。所得间隙是用HDP氧化物及可流动氧化物进行填充。在完成间隙填充之后,可例如在化学机械平坦化(CMP)工艺中使间隙及特征的顶部平坦化(415)。
图4B描绘在图4A所描述的工艺的各种阶段的特征及间隙。在420处,窄间隙104被描绘为用HDP氧化物112进行部分地填充,包括顶帽221及尖头223沉积以及自底向上填充。在430处,可流动材料110过度填充间隙,其中在440处描绘经回蚀可流动膜。在450处描绘用HDP氧化物112可流动氧化物110的组合进行的窄间隙完全填充。在460处描绘宽间隙中的沉积。
在某些实施例中,此工艺方案提供相较于图3A及3B所描述的工艺方案更均一的横越间隙的可流动氧化物高度。又,相较于所述工艺方案,在某些实施例中,在此方案中沉积的总HDP厚度较小。结果,CMP工艺更容易。如图4B所示,可流动氧化物沉积在较小间隙中较厚,且在较宽间隙中较薄。应注意,因为至少过度填充高AR间隙,所以沉积于宽间隙中的可流动氧化物的量大于图3B所描绘的可流动氧化物的量。在某些实施例中,HDP氧化物囊封可流动氧化物,使得可流动氧化物不会接触间隙的侧壁及底部,且不暴露于经填充间隙的表面上。在替代实施例中,HDP氧化物部分地囊封可流动氧化物,从而例如可流动氧化物仅接触间隙的侧壁。
图5A为说明将可流动氧化物膜用于自底向上填充的实施例的工艺流程图,其中HDP氧化物用作顶盖层以完成填充。所述工艺通过将具有凸起特征及在凸起特征之间的未经填充间隙的衬底提供到反应器来开始(501)。不同于其它实例,最初未使用HDP氧化物部分地填充未经填充的间隙。确切地说,执行一次或一次以上可流动氧化物沉积以用可流动氧化物电介质材料部分地填充间隙(503)。如果打算执行多个沉积操作,那么在某些实施例中,所述沉积操作可穿插有一个或一个以上介入固化操作。如同本文中所描述的所有实例一样,根据各种实施例,可流动氧化物沉积可发生于HDP-CVD沉积腔室或单独沉积腔室中。接着任选使可流动氧化物膜固化(505)。可通过固化工艺使膜完全地或部分地凝固。在某些实施例中,在后续HDP氧化物沉积之前膜未经固化。执行一次或一次以上额外的HDP-CVD沉积以完成用HDP氧化物进行的间隙填充(507)。在某些状况下,HDP-CVD工艺可将可流动氧化物膜稠化,且可使可流动氧化物膜完全地或部分地凝固。所得间隙是用HDP氧化物及可流动氧化物进行填充,其中仅HDP氧化物暴露于表面处。在完成间隙填充之后,可例如在化学机械平坦化(CMP)工艺中使间隙及特征的顶部平坦化(509)。图5B描绘在此实例中所描述的工艺的各种阶段的特征及间隙。在520处,描绘间隙104中的可流动氧化物沉积110。在530处,描绘用以加顶盖于可流动氧化物沉积的HDP氧化物沉积112。并且在540处,描绘宽间隙中的HDP沉积112。如同图3B所描绘的工艺一样,因为不存在可流动氧化物的过度填充操作,所以宽间隙(沟槽)中的可流动氧化物厚度小得多,且在某些实施例中可为可忽略的。
在某些实施例中,将可流动电介质材料沉积于引入的未经填充间隙中以减小间隙的纵横比以供后续用HDP氧化物的填充。图6A示意性地描绘用可流动氧化物110部分地填充的各种纵横比的间隙的截面。纵横比被定义为沟槽或其它间隙的深度除以其开口的宽度。如在图6A中定性地描绘,纵横比在用可流动氧化物自底向上填充之后减小。又,在图6A中为说明针对各种临界尺寸在用可流动氧化物部分填充之前及之后的纵横比的标绘图。临界尺寸是指间隙开口的最窄尺寸。高达14∶1的间隙纵横比已减小到约4∶1。HDP沉积随着纵横比减小而显著地改良。根据各种实施例,本文中所描述的方法可用以填充具有高达60∶1(例如约30∶1、约20∶1或约10∶1)的纵横比的间隙。临界尺寸可低到10nm、15nm或22nm。在某些实施例中,在HDP沉积之前,将可流动氧化物沉积到使得经部分填充的特征的纵横比为约6∶1或更低的高度。图6B为提供在HDP之前及之后的纵横比的另一标绘图(白色正方形为可流动前纵横比,且黑色菱形为可流动后纵横比)。在某些实施例中,具有不同引入AR的间隙是用沉积工艺进行部分地填充,其中对于最窄特征,可流动氧化物的高度是最大的。
HDP-CVD工艺
如上文所描述,根据本文中所描述的实施例的间隙填充方法包括一个或一个以上操作,在所述一个或一个以上操作中,用通过高密度等离子体(HDP)化学气相沉积(CVD)工艺沉积的电介质(HDP氧化物)部分地填充间隙。通常,高密度等离子体为具有每立方厘米至少约5×1010个电子的电子密度的任何等离子体。通常但非必需,高密度等离子体反应器在100毫托或更低的范围内的相对较低压力下操作。HDP CVD沉积引起间隙的自底向上的有益填充。
可使用任何合适的沉积化学品。一般说来,HDP CVD工艺气体将包括用于沉积层的前驱体。如果电介质为含硅电介质,那么工艺气体将包括载硅化合物,例如硅烷。工艺气体通常也将包括载运气体。载运气体可为惰性气体,例如He及/或其它稀有气体。或者载运气体可为或包括元素氢或分子氢。可通过含硅前驱体自身或由例如元素氧(O2)、氧化氮(NO)及/或氧化亚氮(N2O)的另一工艺气体提供用以形成氧化硅或其它电介质材料的氧气。
沉积工艺气体将具有通过以每分钟标准立方厘米(sccm)为单位的组成气体的流动速率表示的特定组成。工艺气体将包括用于沉积层的前驱体。如果电介质为含硅电介质,那么工艺气体将包括载硅化合物,例如SiH4、SiF4、Si2H6、TEOS(正硅酸四乙酯)、TMCTS(四甲基-环四硅氧烷)、OMCTS(八甲基-环四硅氧烷)、甲基-硅烷、二甲基-硅烷、3MS(三甲基硅烷)、4MS(四甲基-硅烷)、TMDSO(四甲基-二硅氧烷)、TMDDSO(四甲基-二乙氧基-二硅氧烷)、DMDMS(二甲基-二甲氧基-硅烷)及其混合物。在沉积期间,所述工艺使含硅反应物分解以形成含硅气体及等离子体相物质,含硅气体与等离子体相物质可在衬底的表面上反应。
工艺气体通常也将包括载运气体。载运气体可为惰性气体,例如He及/或其它稀有气体(例如Ar)。或者载运气体可为或包括元素氢或分子氢。
下文列出本发明的工艺气体的实例流动速率范围:
气体 流动速率(sccm)
SiH4 10到300
O2 20到1000
He 0到500
H2 0到5000
Ar 0到500
通常,可用其它含氧及含硅化合物来取代此表中所列出的氧气及含硅化合物。取决于前驱体气体中的原子计数,可能必须改变流动速率范围。虽然不存在用于依据分子结构修改流动速率的精确准则,但通常可将含硅前驱体的流动速率减小对应于分子中硅原子的数目的因数。HDP-CVD工艺气体可含有作为唯一载运气体或呈与氢气的混合物形式的稀有气体(例如氩气、氦气或氙气)。
对于掺杂电介质(特别是基于二氧化硅的电介质),工艺气体可包括掺杂剂前驱体,例如含硼气体、含磷气体、含碳气体或其混合物。在一个特定实施例中,所述气体包括一种或一种以上含硼反应物及一种或一种以上含磷反应物,且电介质膜包括掺磷及掺硼氧化硅玻璃(BPSG)。合适硼及磷前驱体气体的实例包括以下各物:B2H6及PH3
如果电介质将含有氟氧化物(例如氟氧化硅),那么工艺气体优选包括含氟反应物,例如六氟化硅(SiF4)。如果电介质将含有氮氧化物(例如氮氧化硅),那么工艺气体优选包括含氮反应物,例如N2、NH3、NF3、NO、N2O及其混合物。
所述方法也适用于由工艺气体混合物沉积(加偏压或未加偏压)掺碳氧化硅,所述工艺气体混合物包括有机硅烷(例如TEOS(正硅酸四乙酯)、TMCTS(四甲基-环四硅氧烷)、OMCTS(八甲基-环四硅氧烷)、甲基-硅烷、二甲基-硅烷、3MS(三甲基硅烷)、4MS(四甲基硅烷)、TMDSO(四甲基-二硅氧烷)、TMDDSO(四甲基-二乙氧基-二硅氧烷)、DMDMS(二甲基-二甲氧基-硅烷)及其混合物)。
将反应器压力保持在支持高密度等离子体所必需的值。在某些实施例中,将工艺容器维持在至多约100毫托的压力。在一些状况下,将工艺腔室压力维持在低于1毫托。然而,对于许多应用,将压力维持在约1毫托与100毫托之间;最优选在约1毫托与30毫托之间。
工艺容器内应维持足够高的温度以确保电介质沉积反应有效率地进行。因此,温度优选在约30℃与1000℃之间的值。取决于在反应中所使用的前驱体的类型,此温度将变化。另外,温度可受到工艺约束(例如,排除高于700℃到750℃的温度的热预算限制)限制。这些约束在先进技术及相应的较小特征大小的情况下变得愈加常见。对于这些应用,可将工艺温度维持在约30℃与750℃之间。
如所指示,为了控制衬底温度,反应器可在衬底的表面与衬底固持器的表面之间供应传热气体,衬底在膜沉积期间被支撑于衬底固持器上。传热气体可包括氦气及氩气中的至少一者。通过工艺的温度要求来设置背面氦气压力(典型范围是在0托到15托之间)。
对于一些应用,可能需要将晶片预加热到预先指定的相对较低温度且接着逐渐升高所述温度。此情形允许等温操作。目标是开始沉积且接着在整个沉积工艺期间将晶片温度维持于窄范围内。
取决于衬底大小(例如200mm或300mm的直径)及所使用的特定工艺的要求,施加到上部电极(用于产生等离子体)的低频功率通常在1kW到20kW变化,且高频功率(用于加偏压于晶片)通常达到至少约0.2W/cm2(优选在约0.5kW到10kW变化)。
如上文所指示,施加到衬底的偏压通常为射频偏压。将射频偏压施加到衬底涉及将衬底支撑于衬底固持器上,衬底固持器具有将射频偏压供应到衬底的电极。对于许多实施例,施加到衬底的射频偏压处于约100kHz与27MHz之间的频率范围。施加到上部等离子体产生电极的频率范围通常是在约300kHz与27MHz之间。
可选择沉积条件以最佳化沉积构形。可通过操控沉积工艺的S/D比率来最佳化沉积构形。S/D比率是指溅镀/沉积比率。通过测量给定电介质沉积工艺的沉积速率且接着测量在无含硅前驱体(例如硅烷)的情况下执行的所述同一工艺的溅镀速率来获得S/D比率。S/D比率是通过以下表达式给出:
S/D=溅镀速率/(溅镀速率+沉积速率)。
可设置条件,使得相对于特征材料(例如加衬里于间隙的氮化硅障壁层),各向同性蚀刻对于经HDP CVD沉积的电介质(例如SiO2)具有选择性。
HDP沉积操作可仅包括单一沉积或单一沉积-蚀刻操作,或可包括多个沉积-蚀刻循环。举例来说,多个SiH4/O2沉积操作可包括介入NF3等离子体蚀刻操作。
虽然上文提供实例HDP-CVD工艺及条件的描述,但本文中所描述的方法不限于这些特定HDP-CVD工艺,而可供其它HDP-CVD工艺应用。
可流动氧化物沉积工艺
如上文所描述,根据本文中所描述的实施例的间隙填充方法包括一个或一个以上操作,在所述一个或一个以上操作中,用电介质可流动氧化物膜部分地填充或过度填充间隙。在许多实施例中,可流动电介质膜为可流动含硅及含氧膜,但本文中所描述的整合方案也可用其它可流动电介质膜实施。根据各种实施例,通过旋涂式玻璃(spin-on glass)技术来形成可流动膜。在替代实施例中,通过在使得可流动膜形成于衬底上以填充间隙的条件下将气相反应物引入到沉积腔室来形成可流动膜。
在将衬底提供到反应腔室之后,引入工艺气体。为了形成氧化硅,工艺气体反应物通常包括含硅化合物及氧化剂,且也可包括催化剂、溶剂及其它添加剂。气体也可包括一种或一种以上掺杂剂前驱体,例如含氟、含磷及/或含硼气体。有时但非必需,存在惰性载运气体。在某些实施例中,使用液体喷射***来引入气体。在某些实施例中,经由单独进口引入含硅化合物及氧化剂,或在即将引入到反应器中之前将含硅化合物及氧化剂组合于混合罐及/或喷头中。可将催化剂及/或任选的掺杂剂并入到一种反应物中、与一种反应物预混合,或作为单独反应物引入。接着在一种操作处将衬底暴露于工艺气体。反应器中的条件使得含硅化合物与氧化剂反应以在衬底上形成缩合可流动膜。可通过催化剂的存在来辅助膜的形成。所述方法不限于特定反应机制,例如,反应机制可涉及缩合反应、产生缩合的气相产物的气相反应、在反应之前一种或一种以上反应物的缩合,或这些反应的组合。将衬底暴露于工艺气体,持续足以沉积可流动膜以根据需要填充至少一些间隙或过度填充间隙的时期。
在某些实施例中,可在两个步骤的情形下描述整个沉积工艺:水解及缩合。第一步骤涉及通过氧化剂使含硅前驱体水解。举例来说,可用羟基(-OH)替代含硅前驱体的烷氧基(-OR)。在缩合步骤中,当自Si移除-OH基团时,可形成Si-O-Si键联。应注意,虽然这些反应步骤提供用于描述本发明的各种方面的有用构架,但本文中所描述的方法未必限于特定反应机制。
图7提供根据某些实施例的用可流动氧化物材料填充间隙的方法中的某些操作。所述工艺通常在将任何氧化物材料沉积于间隙中之前开始,其中间隙是由侧壁及底部表面界定。侧壁及底部表面可为氮化硅、氧化硅、氮氧化硅,或其它含硅材料。
在701处,执行任选的预处理操作。根据各种实施例,预处理操作涉及暴露于含有氧气、氮气、氦气或这些气体的某一组合的等离子体。等离子体可通过远端等离子体产生器(例如, 远端等离子体源)、电感耦合等离子体产生器或电容耦合等离子体产生器在下游或原位产生。预处理气体的实例包括单独或与其它化合物组合的O2、O3、H2O、NO、NO2、N2O、H2、N2、He、Ar及其组合。化学品的实例包括O2、O2/N2、O2/He、O2/Ar、O2/H2。取决于实施方案,特定工艺条件可变化。在替代实施例中,预处理操作涉及在非等离子体环境中将衬底暴露于O2、O2/N2、O2/He、O2/Ar或其它预处理化学品。在这些实施例中,可在存在来自另一能源(包括热能源、紫外线源、微波源等等)的能量的情况下将衬底暴露于预处理化学品。在某些实施例中,对于上文所描述的其它预处理器操作,在暴露于催化剂的情况下预处理衬底。预处理操作(如果执行)可发生于沉积腔室中,或可在将衬底转移到沉积腔室之前于另一腔室中发生。一旦在沉积腔室中发生,且在任选的预处理操作之后,随即引入工艺气体。
沉积化学品
为了形成氧化硅,工艺气体反应物通常包括含硅化合物及氧化剂,且也可包括催化剂、溶剂及其它添加剂。所述气体也可包括一种或一种以上掺杂剂前驱体,例如含氟、含磷及/或含硼气体。有时但非必需,存在惰性载运气体。在某些实施例中,使用液体喷射***来引入气体。在某些实施例中,经由单独进口引入含硅化合物及氧化剂,或在即将引入到反应器中之前将含硅化合物及氧化剂组合于混合罐及/或喷头中。可将催化剂及/或任选的掺杂剂并入到一种反应物中、与一种反应物预混合,或作为单独反应物引入。接着在操作703处将衬底暴露于工艺气体。反应器中的条件使得含硅化合物与氧化剂反应以在衬底上形成缩合可流动膜。可通过催化剂的存在来辅助膜的形成。所述方法不限于特定反应机制,例如,反应机制可涉及缩合反应、产生缩合的气相产物的气相反应、在反应之前一种或一种以上反应物的缩合,或这些反应的组合。将衬底暴露于工艺气体,持续足以沉积可流动膜以根据需要填充至少一些间隙或过度填充间隙的时期。
在某些实施例中,含硅前驱体为烷氧硅烷。可使用的烷氧硅烷包括(但不限于)以下各物:
Hx-Si-(OR)y,其中x=0到3,x+y=4,且R为经取代或未经取代的烷基;
R′x-Si-(OR)y,其中x=0到3,x+y=4,R为经取代或未经取代的烷基,且R′为经取代或未经取代的烷基、烷氧基或烷氧基烷基;及
Hx(RO)y-Si-Si-(OR)yHx,其中x=0到2,x+y=0到2,且R为经取代或未经取代的烷基。
含硅前驱体的实例包括(但不限于)烷氧基硅烷,例如四氧甲基环四硅氧烷(TOMCTS)、八甲基环四硅氧烷(OMCTS)、四乙氧基硅烷(TEOS)、三乙氧基硅烷(TES)、三甲氧基硅烷(TriMOS)、正硅酸甲基三乙氧酯(MTEOS)、正硅酸四甲酯(TMOS)、甲基三甲氧基硅烷(MTMOS)、二甲基二甲氧基硅烷(DMDMOS)、二乙氧基硅烷(DES)、二甲氧基硅烷(DMOS)、三苯基乙氧基硅烷、1-(三乙氧基硅烷基)-2-(二乙氧基甲基硅烷基)乙烷、三-叔丁氧基硅烷醇、六甲氧基二硅烷(HMODS)、六乙氧基二硅烷(HEODS)、四异氰酸硅烷(TICS)及双-叔丁基氨基硅烷(BTBAS)。含硅前驱体的其它实例包括硅烷(SiH4)及烷基硅烷(例如甲基硅烷及乙基硅烷)。
在某些实施例中,除了另一前驱体(例如作为掺杂剂)以外,或单独地,也使用掺碳前驱体。掺碳前驱体包括至少一个Si-C键。可使用的掺碳前驱体包括(但不限于)以下各物:
R′x-Si-Ry,其中x=0到3,x+y=4,R为经取代或未经取代的烷基,且R′为经取代或未经取代的烷基、烷氧基或烷氧基烷基;及
SiHxR′y-Rz,其中x=1到3,y=0到2,x+y+z=4,R为经取代或未经取代的烷基,且R′为经取代或未经取代的烷基、烷氧基或烷氧基烷基。
掺碳前驱体的实例包括(CH3Si(OCH2)3、三甲基硅烷(3MS)、四甲基硅烷(4MS)、二乙氧基甲基硅烷(DEMS)、二甲基二甲氧基硅烷(DMDMOS)、甲基-三乙氧基硅烷(MTES)、甲基-三甲氧基硅烷、甲基-二乙氧基硅烷及甲基-二甲氧基硅烷。上文描述其它掺碳前驱体。在某些实施例中,膜掺杂有额外硅。
合适氧化剂的实例包括(但不限于):臭氧(O3);包括过氧化氢(H2O2)的过氧化物;氧气(O2);水(H2O);例如甲醇、乙醇及异丙醇的醇;氧化氮(NO);二氧化氮(NO2)氧化亚氮(N2O);一氧化碳(CO);及二氧化碳(CO2)。在某些实施例中,远端等离子体产生器可供应活性氧化剂物质。
可引入一种或一种以上掺杂剂前驱体、催化剂、抑制剂、缓冲剂、溶剂及其它化合物。在某些实施例中,使用质子供体催化剂。质子供体催化剂的实例包括:1)酸,包括硝酸、氢氟酸、磷酸、硫酸、氢氯酸及溴酸;2)包括R-COOH及R-C(=O)X的羧酸衍生物以及R-COOC-R羧酸酐,其中R为经取代或未经取代的烷基、芳基、乙酰基或酚,且X为卤基;3)SixXyHz,其中x=1到2,y=1到3,z=1到3,且X为卤基;4)RxSi-Xy,其中其中x=1到3且y=1到3;R为烷基、烷氧基、烷氧基烷烃、芳基、乙酰基或酚;且X为卤基;及5)氨及衍生物,包括氢氧化铵、肼、羟胺,及R-NH2,其中R为经取代或未经取代的烷基、芳基、乙酰基或酚。
除了上文所给出的催化剂的实例以外,可使用的含卤素化合物也包括卤化的有机分子,例如二氯硅烷(SiCl2H2)、三氯硅烷(SiCl3H)、甲基氯硅烷(SiCH3ClH2)、氯三乙氧基硅烷及氯三甲氧基硅烷。可使用的酸可为:无机酸,例如氢氯酸(HCl)、硫酸(H2SO4)及磷酸(H3PO4);有机酸,例如甲酸(HCOOH)、乙酸(CH3COOH)及三氟乙酸(CF3COOH)。可使用的碱包括:氨(NH3)或氢氧化铵(NH4OH);膦(PH3);及其它含氮或含磷有机化合物。催化剂的额外实例为氯-二乙氧基硅烷、甲烷磺酸(CH3SO3H)、三氟甲烷磺酸(“三氟甲磺酸”,CF3SO3H)、氯-二甲氧基硅烷、吡啶、乙酰氯、氯乙酸(CH2ClCO2H)、二氯乙酸(CHCl2CO2H)、三氯乙酸(CCL2CO2H)、草酸(HO2CCO2H)及苯甲酸(C6H5CO2H)。
根据各种实施例,可同时地或以特定顺序引入催化剂及其它反应物。举例来说,在一些实施例中,可在沉积工艺开始时将酸性化合物引入到反应器中以催化水解反应,接着,可在水解步骤接近结束时引入碱性化合物以抑制水解反应且催化缩合反应。可通过正常递送或通过快速递送或“喷出”来引入酸或碱,以在沉积工艺期间快速地催化或抑制水解反应或缩合反应。通过喷出来调整及变更pH值可发生于沉积工艺期间的任何时间,且不同工艺时序及顺序可产生具有不同应用所需的性质的不同膜。上文给出催化剂的一些实例。其它催化剂的实例包括氢氯酸(HCl)、氢氟酸(HF)、乙酸、三氟乙酸、甲酸、二氯硅烷、三氯硅烷、甲基三氯硅烷、乙基三氯硅烷、三甲氧基氯硅烷及三乙氧基氯硅烷。以引用的方式并入本文中的美国申请案第12/566,085号中描述可使用的快速递送方法。在某些实施例中,执行多阶段可流动氧化物沉积,其中根据是正在填充间隙或是沉积平坦层或覆盖层来变化催化剂的存在、身份或量。举例来说,通过未催化的工艺来选择性填充特征,且使用催化剂来沉积覆盖层或毯覆层。
溶剂可为非极性或极性的,且为质子性或非质子性的。可使溶剂与电介质前驱体的选择匹配以改良氧化剂中的可混溶性。非极性溶剂包括烷烃及烯烃;极性非质子性溶剂包括丙酮及醋酸;且极性质子性溶剂包括醇及羧酸化合物。
可引入的溶剂的实例包括可与反应物混溶的醇(例如异丙醇、乙醇及甲醇),或其它化合物(例如醚、羰基化合物、腈)。溶剂是任选的,且在某些实施例中,溶剂可单独地或者与氧化剂或另一工艺气体一起引入。溶剂的实例包括(但不限于)甲醇、乙醇、异丙醇、丙酮、***、乙腈、二甲基甲酰胺及二甲亚砜、四氢呋喃(THF)、二氯甲烷、己烷、苯、甲苯、异庚烷及***。在某些实施例中,可在其它反应物之前通过喷出或正常递送来引入溶剂。在一些实施例中,可通过将溶剂喷入到反应器中来引入溶剂以促进水解,特别是在前驱体及氧化剂具有低可混溶性的状况下。
有时但非必需,存在惰性载运气体。举例来说,可将氮气、氦气及/或氩气与上文所述化合物中的一者一起引入到腔室中。
如上文所指示,单独或与一种或一种以上其它反应物组合的反应物(含硅前驱体、氧化剂、溶剂、催化剂等等)中的任一者可在剩余反应物之前引入。又,在某些实施例中,一种或一种以上反应物可在剩余反应物流已被切断之后继续流到反应腔室中。
在某些实施例中,反应条件使得含硅化合物及氧化剂经历缩合反应,从而在衬底表面上缩合以形成可流动膜。在某些实施例中,反应在黑暗或非等离子体条件下发生。在其它实施例中,反应在存在等离子体的情况下发生。以引用的方式并入本文中的美国专利申请案第12/334,726号中描述经由等离子体增强化学气相沉积(PECVD)反应沉积可流动膜以用于间隙填充的方法。
腔室压力可在约1托到200托之间,在某些实施例中,腔室压力是在10托与75托之间。在一个特定实施例中,腔室压力为约10托。
工艺气体组份的分压可根据组份蒸气压及范围表征如下(其中Pp为反应物的分压,且Pvp为在反应温度下反应物的蒸气压):
前驱体分压比率(Pp/Pvp)=0.01到1,例如0.01到0.5
氧化剂分压比率(Pp/Pvp)=0.25到2,例如0.5到1
溶剂分压比率(Pp/Pvp)=0到1,例如0.1到1
在某些实施例中,工艺气体特征为具有为0.01与0.5的前驱体分压比率、在0.5与1之间的氧化剂分压比率及在0.1与1之间的溶剂(如果存在)分压比率。在相同或其它实施例中,工艺气体特征为以下各项:
氧化剂∶前驱体分压比率(Pp氧化剂/Pp前驱体)=1到30,例如5到15
溶剂∶氧化剂分压比率(Pp溶剂/Pp氧化剂)=0到10,例如0.1到5
在某些实施例中,工艺气体特征为在约5与15之间的氧化剂∶前驱体分压比率及在约0.1与5之间的溶剂∶氧化剂分压比率;以及进一步特征为上文所描述的比率。
在某些实施例中,衬底温度在约-20℃与100℃之间。在某些实施例中,温度在约-20℃与30℃之间,例如在-10℃与10℃之间。可变化压力及温度以调整沉积时间;高压力及低温度通常有利于快速沉积。高温度及低压力将导致较慢沉积时间。因此,增大温度可能需要增大的压力。在一个实施例中,温度为约5℃且压力为约10托。暴露时间取决于反应条件以及所要膜厚度。根据各种实施例,沉积速率是约100埃/分钟到1微米/分钟。在某些实施例中,沉积时间为0.1秒到180秒,例如1秒到90秒。在某些实施例中,沉积时间小于在毯覆膜上同一沉积工艺的凝核延迟。
在这些条件下将衬底暴露于反应物,持续足够长以将可流动膜沉积于间隙中的时期。在所描绘实施例中,由于沉积为单一循环沉积,故在操作703中沉积膜的整个所要厚度。在使用多个沉积操作的其它实施例中,在一个特定循环中仅沉积所要膜厚度的一部分。在某些实施例中,在操作703期间将衬底持续地暴露于反应物,但在其它实施例中,可脉冲或以其它方式间歇地引入一种或一种以上反应物。又,如上文所述,在某些实施例中,包括电介质前驱体、氧化剂、催化剂或溶剂的反应物中的一者或一者以上可在引入剩余反应物之前被引入,及/或在剩余反应物流被切断之后继续流到反应器中。
暴露时间取决于反应条件以及所要膜厚度。沉积速率通常为约100埃/分钟到1微米/分钟。在某些实施例中,沉积可为使用电容耦合等离子体源的等离子体增强化学气相沉积(PECVD)反应。PECVD反应具有比HDP等离子体低的等离子体密度,例如108个电子/cm3且最高为1010个电子/cm3
沉积后处理
在沉积之后,根据各种实施例,处理沉积态膜(框705)。根据各种实施例,执行一个或一个以上处理操作以进行以下各者中的一者或一者以上:掺杂剂的引入、沉积态膜的化学转化,及稠化。在某些实施例中,可进行单一处理或这些处理中的多者。可原位(即,在沉积腔室中)或在另一腔室中执行沉积后处理。稠化操作可为基于等离子体的、单纯热的,或通过曝露于例如紫外线、红外线或微波辐射等辐射。
可在沉积腔室原位或腔室外(ex situ)进行可流动氧化物膜的沉积后处理(如果执行的话)。沉积后稠化处理操作可涉及一个或一个以上操作,所述一个或一个以上操作中的任一者或全部也可导致以化学方式转化沉积态膜。在其它实施例中,稠化操作中的任一者或全部可在无转化的情况下进行稠化。在某些实施例中,一个转化操作可单独地执行,或根本不执行。如果单独地执行,那么可在稠化操作之前或之后执行转化操作。在一个实例中,膜是通过暴露于反应性等离子体,接着通过在惰性环境中热退火进一步稠化来转化且部分地稠化。
根据各种实施例,膜可通过单纯热退火、暴露于等离子体、曝露于紫外线或微波辐射或暴露于另一能源来稠化。热退火温度可为300℃或更大(取决于热预算)。可在惰性环境(Ar、He等等)中或在潜在反应性环境中执行处理。可使用氧化环境(使用O2、N2O、O3、H2O、H2O2、NO、NO2、CO、CO2等等),但在某些情形下,将避免含氮化合物以防止在膜中并入氮。在其它实施例中,使用氮化环境(使用N2、N2O、NH3、NO、NO2等等)。在一些实施例中,使用氧化环境与氮化环境的混合。可使用含碳化学品以将某一量的碳并入到经沉积膜中。根据各种实施例,经稠化膜的组成取决于沉积态膜的组成及处理化学品。举例来说,在某些实施例中,使用氧化等离子体固化将Si(OH)x沉积态凝胶转化为SiO网络。在其它实施例中,将Si(OH)x沉积态凝胶转化为SiON网络。
在某些实施例中,通过暴露于等离子体(远端或直接(电感性或电容性))来处理膜。此情形可导致可流动膜向经稠化固体膜的自顶向下转化。等离子体可为惰性或反应性的。氦及氩等离子体为惰性等离子体的实例;氧及蒸气等离子体为氧化等离子体的实例(例如,用于根据需要移除碳)。也可使用氢等离子体。在等离子体暴露期间的温度通常为约200℃或更高。在某些实施例中,使用氧或含氧等离子体来移除碳。
温度可在0℃到600℃的范围内,其中温度范围的上限是由在特定处理阶段的热预算确定。举例来说,在某些实施例中,在小于约400℃的温度下进行图3所示的整个工艺。此温度型态与NiSi触点相容。在某些实施例中,温度在约200℃到550℃的范围内。压力可为0.1托到10托,其中将高氧化剂压力用于移除碳。
也可使用包括快速热处理(RTP)的其它退火工艺以使膜凝固及收缩。如果使用腔室外工艺,那么可使用较高温度及其它能源。腔室外处理包括在例如N2、O2、H2O及He等环境中的高温退火(700℃到1000℃)。在某些实施例中,腔室处理涉及例如在紫外线热处理(UVTP)工艺中将膜曝露于紫外线辐射。举例来说,可使用400℃或400℃以上的温度结合UV曝露来使膜固化。也可将包括RTP的其它快速固化工艺用于腔室外处理。
在某些实施例中,通过相同工艺操作使膜稠化及转化。使膜转化涉及使用反应性化学品。根据各种实施例,膜的组成取决于沉积态膜的组成及固化化学品。举例来说,在某些实施例中,使用氧化等离子体固化将Si(OH)x沉积态凝胶转化为SiO网络。在其它实施例中,通过暴露于氧化及氮化等离子体将Si(OH)x沉积态凝胶转化为SiON网络。
在其它实施例中,可流动电介质膜可为含硅及含氮膜,例如氮化硅或氮氧化硅。可通过在使得气相反应物反应以形成可流动膜的条件下将气相反应物引入沉积腔室来沉积可流动电介质膜。气相反应物可包括由等离子体产生的物质。可在远端或在沉积腔室中产生此类等离子体。并入膜中的氮可来自一个或一个以上来源,例如含硅及含氮前驱体(例如三硅烷基胺(TSA)或二硅烷基胺(DSA))、氮前驱体(例如氨(NH3)或肼(N2H4)),或馈入等离子体中的含氮气体(N2、NH3、NO、NO2、N2O)。在沉积之后,可处理可流动电介质膜以进行以下各者中的一者或一者以上:沉积态膜的化学转化、稠化。化学转化可包括移除氮组份中的一些或全部,从而将Si(ON)x膜转化为主要SiO网络。化学转化也可包括自膜移除-H、-OH、-CH及-NH物质中的一者或一者以上。沉积后处理可包括暴露于热、等离子体、UV、IR或微波能量。
反应机制
如上文所指示,取决于特定实施方案,可流动电介质沉积可涉及各种反应机制。下文描述根据某些实施例的沉积可流动氧化物膜的方法中的反应机制的实例。应注意,虽然这些反应步骤提供用于描述本发明的各种方面的有用构架,但本文中所描述的方法未必限于特定反应机制。
可在两个步骤的情形下描述整个沉积工艺:水解及缩合。第一步骤涉及通过氧化剂使含硅前驱体水解。举例来说,可用羟基(-OH)替代含硅前驱体的烷氧基(-OR)。-OH基及残余烷氧基参与缩合反应,所述缩合反应引起水及醇分子的释放及Si-O-Si键联的形成。在此机制中,沉积态膜不具有明显的碳含量,即使烷氧基硅烷前驱体含有碳。在某些实施例中,控制反应物分压以促进自底向上填充。液体缩合在低于饱和压力的情况下于窄间隙中发生;反应物分压控制毛细缩合。在某些实施例中,将反应物分压设置为略低于饱和压力。在水解介质中,含硅前驱体在晶片表面上形成流体状膜,所述流体状膜归因于毛细缩合及表面张力而优先沉积于沟槽中,从而引起自底向上填充工艺。
图8A到8D提供根据一个实施例的沉积及退火反应机制的简化示意图。应注意,本文中所描述的方法不限于所描绘的特定反应物、产物及反应机制,而可供产生可流动电介质膜的其它反应物及反应机制使用。也应理解,沉积及退火可涉及多个不同的同时发生或依序的反应机制。
图8A描绘在保持于例如-5℃等较低温度的晶片801上进行的反应物缩合、水解及可流动膜的起始。反应物包括电介质前驱体802、氧化剂804、任选的催化剂803及任选的溶剂805。电介质前驱体吸附802于表面上。在前驱体与氧化剂之间的液相反应引起前驱体的水解,从而形成附接到晶片表面的硅烷醇Si(OH)x以起始膜的生长。在某些实施例中,溶剂的存在改良可混溶性及表面可湿性。
图8B描绘产物的聚合(参见Si(OH)x链808)以及硅烷醇的缩合以形成交联的Si-O链。缩合反应的结果为凝胶809。在此阶段,可自凝胶809实质上消除有机基团,其中醇及水作为副产物而被释放,但如所描绘的Si-H基团811残留于凝胶中,羟基也残留于凝胶中。在一些状况下,微小但可检测量的碳基团残留于凝胶中。总碳含量可小于1%(原子)。在一些实施例中,基本上无碳基团残留,使得Si-C基团不能通过FTIR检测。图8C描绘在此状况下在存在活性氧物质O*(810)(例如氧自由基、离子等等)的情况下退火期间的反应机制。在某些实施例中,退火具有两种效应:1)凝胶的氧化,用以将SiOH及SiH转化为SiO且将凝胶转化为SiO网络813;及2)膜稠化或收缩。氧使Si-H键氧化,且促进实质上无Si-H基团的SiOx网络的形成。衬底温度可升高例如到375℃以促进膜收缩及氧化。在其它实施例中,单独地进行氧化及收缩操作。在一些实施例中,氧化可发生于第一温度(例如300℃),其中进一步稠化发生于较高温度(例如375℃)。图8D展示经稠化的SiO膜814的示意性描绘。
填充高度选择性
可流动氧化物的填充高度为临界尺寸的函数。也就是说,到宽特征中的沉积引起极小填充高度直到无填充高度,其中高度随着特征尺寸变窄而增大。已发现,在某些实施例中,填充高度相对于临界尺寸的曲线的斜率可通过调谐反应物分压来调谐。图9A呈现定性实例,其中通过降低溶剂分压来获得曲线902,且通过升高溶剂分压来获得曲线903。以此方式,可针对特定沉积调谐横越各种临界尺寸的特征的填充选择性,例如,以增大窄特征中的填充高度且减小宽特征中的填充高度。
图9B为展示两个数据序列的图解:由正方形表示的数据点是来自具有较高溶剂(乙醇)分压的工艺;由菱形表示的数据点使用同一工艺但具有较低溶剂(乙醇)分压。可看出,较低溶剂分压改变曲线的斜率,使得较窄特征的填充选择性增大。在不受特定理论限制的情况下,相信填充高度选择性的可调谐性是涉及毛细缩合机制的沉积的特征。举例来说,溶剂的量对已经在特征中的填充物的表面张力具有较大影响,且因此对毛细缩合机制具有较大影响。上文提供反应物分压比率的范围。在上文及在以引用的方式并入上文中的美国专利第7,074,690号中描述根据某些实施例的毛细缩合机制。
工艺程序
图10到12提供根据各种实施例的工艺程序的实例。首先,在图10中,工艺通过预处理晶片例如以使晶片具有亲水性或以其它方式进行处理以用于沉积来开始。(框1001)。上文描述预处理的实例。在一些实施例中,可能不执行此操作,也就是说,可将晶片直接提供到可流动氧化物沉积模块以用于沉积。如图10所指示,如果在可流动氧化物沉积模块外部执行预处理,那么接着将晶片转移到可流动氧化物沉积模块(1003)。此转移通常在惰性氛围或真空下发生,以保持预处理的效应。接着用可流动氧化物膜部分地填充一个或一个以上间隙。(框1005)。根据各种实施例,此填充可涉及单一沉积或多个沉积循环。如果打算执行原位沉积后处理,那么在沉积模块中进行原位沉积后处理(框1007)。在执行原位沉积后处理之后,或在不执行原位处理时则紧接地在沉积之后,如果打算执行单独处理,那么将晶片转移到单独固化模块且进行处理(框1009)。举例来说,在某些实施例中,将晶片转移到远端固化模块以暴露于远端产生的氧化等离子体。接着将晶片转移到HDP沉积模块以用于HDP沉积。(框1011)。接着沉积HDP氧化物。(框1013)。尽管未指示,但在一些实施例中,暴露于直接电感耦合氧化等离子体或其它处理在沉积HDP氧化物之前可发生于HDP模块中。在一些实施例中,在HDP氧化物沉积期间使可流动膜氧化。
可在图10所示的工艺中进行各种修改。举例来说,在某些实施例中,预处理及/或可流动氧化物沉积可发生于HDP沉积模块中,从而消除某些转移操作。应注意,在操作1001之前,晶片上的间隙可为未经填充的(例如,如图1A所描绘),或可用如上文所描述的一次或一次以上前述可流动氧化物或HDP沉积部分地填充。
一旦在HDP腔室中,随即可使晶片经受预加热操作。根据各种实施例,可通过在HDP氧化物沉积之前暴露于氧化剂以使可流动膜氧化来实现预加热。在其它实施例中,在无氧化剂的环境中执行预加热。后者可例如在远端等离子体固化模块中的处理之后进行。
图11为根据某些实施例的工艺程序,在所述工艺程序中,将可流动电介质材料沉积于形成于硅或SOI表面中的间隙中。一个实例为在STI整合工艺中的间隙填充。举例来说,可结合图10所描述的工艺来使用图11所描述的工艺。首先,提供包括形成于硅或SOI中的间隙的硅或SOI晶片。(框1101)。接着将可流动电介质材料沉积于间隙中。(框1103)。可在沉积之前执行如上文所描述的各种预处理。接着选择性氧化可流动电介质材料,即,在不使下伏硅氧化的情况下氧化可流动电介质材料。(框1105)。
选择性氧化可流动电介质材料可涉及暴露于远端产生的氧化等离子体、在存在蒸气或另一氧化剂的情况下曝露于紫外线辐射,或在热退火(例如,蒸气退火)中暴露于氧化剂。如上文所描述,可在沉积腔室中或在另一腔室中执行这些操作。在某些实施例中,使用直接(非远端)电感耦合或电容耦合氧化等离子体选择性氧化可流动电介质材料。在这些情况下,选择性氧化可涉及不将偏压施加到衬底及/或在相对较低温度下执行氧化。在一个实例中,在沉积温度下在腔室中原位施加等离子体。降低等离子体密度也可改良选择性。又,在某些实施例中,在无氧化剂环境中进行HDP腔室中的预加热以防止下伏层的氧化。
如上文所提及,在某些实施例中,可流动电介质膜的沉积涉及多个沉积-固化循环。图12说明涉及此操作的工艺程序。首先,提供包括间隙的衬底。(框1201)。接着,将一定量的可流动氧化物膜沉积于间隙中。(框1203)。在某些实施例中,在操作1203中沉积的可流动氧化物的量小于间隙中所需的可流动氧化物的总所要量。接着处理经沉积可流动电介质材料以使在先前操作中沉积的材料完全地或部分地凝固。(框1205)。此处,所执行的处理未使膜明显地收缩,而使膜干燥或凝固,使得膜向间隙的侧壁提供结构加强。在某些实施例中,通过在沉积温度下原位惰性或反应性等离子体暴露于氧化剂或非等离子体暴露于氧化剂,可实现无实质收缩的凝固或干燥。虽然可能存在某一量的交联及稠化,但此操作不同于完全稠化及交联。在某些实施例中,处理也充当用于后续沉积操作的预处理。在一个实例中,在沉积温度下将膜暴露于含氮及含氧等离子体。在其它实施例中,凝固操作可继之以在额外可流动氧化物沉积之前的预处理操作。举例来说,此操作可涉及暴露于惰性等离子体,接着暴露于氧化预处理等离子体。重复可流动氧化物沉积及处理操作,直到沉积所要量的可流动氧化物为止。在所描绘实施例中,此操作仅足以部分地填充间隙,但在其它实施例中,此操作可完全地填充间隙。在所描绘实施例中,接着通过HDP氧化物沉积完成间隙填充。(框1207)。可在HDP氧化物沉积之前执行稠化或其它处理。
装置
可在广泛范围的装置上执行本发明的方法。可在以下各物上实施沉积操作:经配备以用于沉积电介质膜的任何腔室,包括HDP-CVD反应器、PECVD反应器、次大气压CVD反应器;经配备以用于CVD反应的任何腔室;及用于PDL(脉冲式沉积层)的腔室,其中处理操作是使用这些或其它腔室执行。
通常,装置将包括一个或一个以上腔室或“反应器”(有时包括多个站),所述一个或一个以上腔室或“反应器”容纳一个或一个以上晶片且适于晶片处理。每一腔室可容纳一个或一个以上晶片以供处理。一个或一个以上腔室将晶片维持于一个或一个以上指定位置(在所述位置内有或没有运动,例如旋转、振动或其它搅动)。同时在工艺中,每一晶片都通过底座、晶片夹盘及/或其它晶片固持装置固持于适当位置。对于打算加热晶片的某些操作,所述装置可包括加热器,例如加热板。
图13描绘实例工具配置1300,其中所述工具包括两个高密度等离子体化学气相沉积(HDP-CVD)模块1310、可流动间隙填充模块1320、晶片转移***(WTS)1340、加载锁1350(在一些实施例中包括晶片冷却站)及真空转移模块1335。HDP-CVD模块1310可例如为诺发(Novellus)SPEED MAX模块。可流动间隙填充模块1320可例如为诺发可流动氧化物(Novellus Flowable Oxide)模块。
图14为根据各种实施例的HDP-CVD装置的各种组件的简化说明,所述HDP-CVD装置可用于沉积HDP氧化物及沉积前及/或沉积后处理或固化。又,在某些实施例中,HDP-CVD装置可用于可流动氧化物沉积。如图所示,反应器1401包括工艺腔室1403,工艺腔室1403封闭所述反应器的其它组件且用来容纳等离子体。在一个实例中,工艺腔室壁是由铝、氧化铝及/或其它合适材料制成。图14所示的实施例具有两个等离子体源:顶部RF线圈1405及侧面RF线圈1407。顶部RF线圈1405为中频或MFRF线圈,且侧面RF线圈1407为低频或LFRF线圈。在图14所示的实施例中,MFRF频率可为430kHz到470kHz,且LFRF频率可为340kHz到370kHz。然而,可使用具有单一来源及/或非RF等离子体源的装置。
在反应器内,晶片底座1409支撑衬底1411。包括用于供应传热流体的管线1413的传热子***控制衬底1411的温度。晶片夹盘及传热流体***可促进维持适当晶片温度。
HFRF源1415的高频RF用来在电学上加偏压于衬底1411,且将带电前驱体物质牵引到衬底上以用于预处理或固化操作。举例来说,来自源1415的电能经由电极或电容耦合而耦合到衬底1411。应注意,施加到衬底的偏压不需要为RF偏压。也可使用其它频率及DC偏压。
经由一个或一个以上进口1417引入工艺气体。所述气体可经预混合或未经预混合。可自主要气体环1421引入气体或气体混合物,主要气体环1421可能将或可能不将气体引导朝向衬底表面。喷射器可连接到主要气体环1421以将至少一些气体或气体混合物引导到腔室中且朝向衬底。在某些实施例中不存在喷射器、气体环或用于将工艺气体引导朝向晶片的其它机构。工艺气体经由出口1422离开腔室1403。真空泵通常抽出工艺气体,且在反应器内维持合适的低压。虽然在沉积前及/或沉积后处理或固化的情形下描述HDP腔室,但在某些实施例中,可使用HDP腔室作为沉积反应器而用于沉积可流动膜。举例来说,在热(非等离子体)沉积中,可在不撞击等离子体的情况下使用此腔室。
图15展示可根据本发明的某些实施例使用的反应器的实例。图15所示的反应器适于黑暗(非等离子体)或等离子体增强沉积,以及例如通过电容耦合等离子体退火进行的固化。如图所示,反应器1500包括工艺腔室1524,工艺腔室1524封闭所述反应器的其它组件且用来容纳通过电容器类型***产生的等离子体,所述电容器类型***包括结合接地加热器块1520工作的喷头1514。低频RF产生器1502及高频RF产生器1504连接到喷头1514。功率及频率足以自工艺气体产生等离子体,例如400W到700W的总能量。在本发明的实施方案中,在可流动膜的黑暗沉积期间不使用所述产生器。在等离子体退火步骤期间,可使用一个或两个产生器。举例来说,在一种典型工艺中,高频RF分量通常在2MHz到60MHz之间;在一个优选实施例中,所述分量为13.56MHz。
在反应器内,晶片底座1518支撑衬底1516。所述底座通常包括夹盘、叉状物或起模顶杆以在沉积及/或等离子体处理反应期间以及在沉积及/或等离子体处理反应之间固持及转移衬底。夹盘可为静电夹盘、机械夹盘,或如在工业及/或研究中可用的各种其它类型的夹盘。
经由进口1512引入工艺气体。多个源气体管线1510连接到歧管1508。气体可经预混合或未经预混合。应将混合罐/歧管管线的温度应维持在高于反应温度的水平。在约20托或小于约20托的压力下约80℃或高于约80℃的温度通常足够。使用适当阀装设及质量流量控制机构来确保在工艺的沉积及等离子体处理阶段期间递送正确气体。在递送呈液体形式的化学前驱体的状况下,使用液体流量控制机构。接着,在液体到达沉积腔室之前,在液体于加热到高于具汽化点的歧管中输送期间,使液体汽化且与其它工艺气体混合。
工艺气体经由出口1522离开腔室1500。真空泵1526(例如,一级或二级机械干式泵及/或涡轮分子泵)通常抽出工艺气体,且通过闭合回路控制流量限制器件(例如节流阀或钟摆阀)在反应器内维持合适的低压。
图16说明根据某些实施例的远端等离子体预处理及/或固化模块的简化示意图。装置1600具有通过喷头组合件或面板1617分离的等离子体产生部分1611及暴露腔室1601。在暴露腔室1601内部,压板(或载物台)1605提供晶片支撑。压板1605是与加热/冷却元件配合。在一些实施例中,压板1605也经配置以用于施加偏压到晶片1603。经由真空泵通路管道1607在暴露腔室1601中达到低压力。气态处理气体源经由进口1609将气体流提供到所述装置的等离子体产生部分1611中。等离子体产生部分1611可由感应线圈(未图示)环绕。在操作期间,将气体混合物引入到等离子体产生部分1611中,向感应线圈供给能量,且在等离子体产生部分1611中产生等离子体。喷头组合件1617可具有施加的电压,且终止一些离子的流动并允许中性物质流入暴露腔室1601中。
蚀刻
如所指示,本发明的实施例包括非选择性移除(蚀刻)操作及选择性移除(蚀刻)操作。根据各种实施例,HDP氧化物及可流动氧化物的非选择性蚀刻涉及将电介质层暴露于含有氟物质的等离子体。这些物质可起源于含氟工艺气体组份,例如SiF4、SiH2F2、Si2F6、C2F6、NF3、CF4及其类似物。
可流动氧化物材料的选择性蚀刻也可涉及将电介质层暴露于含有氟物质的等离子体。在其它实施例中,可经由湿式蚀刻(例如HF湿式蚀刻)实现可流动氧化物材料的选择性蚀刻。
在某些实施例中,蚀刻操作中的一者或一者以上涉及下游蚀刻工艺,在所述下游蚀刻工艺中,不将衬底直接暴露于等离子体。可使用远端等离子体产生器来产生等离子体。在其它实施例中,使用通过使用非氟化学品进行的溅镀蚀刻。溅镀蚀刻化学品可包括He、Ar、O2或H2中的一者或一者以上。在某些实施例中,将这些气体中的一者或一者以上馈送到远端等离子体产生器或原位等离子体产生器。可在与前述沉积工艺相同或不同的腔室中执行蚀刻工艺。
图13到16提供可用以实施本文中所描述的预处理的装置的实例。然而,所属领域技术人员应理解,可由所述描述进行各种修改。
在某些实施例中,使用***控制器来控制工艺参数。***控制器存储器件及一个或一个以上处理器。处理器可包括CPU或计算机、模拟(analog)及/或数字输入/输出连接、步进电动机控制器板等等。通常,将存在与***控制器相关联的用户界面。用户界面可包括显示屏、装置及/或工艺条件的图形软件显示器,及用户输入器件(例如指示器件、键盘、触摸屏、麦克风等等)。***控制器可连接到图13所示工具的组件中的任一者或全部;***控制器的放置及连接性可基于特定实施方案而变化。
在某些实施例中,***控制器控制工艺腔室中的压力。***控制器也可通过调节递送***中的阀、液体递送控制器及MFC以及排放管线中的流量限制阀来控制腔室中各种工艺气体的浓度。***控制器执行***控制软件,包括用于控制特定工艺的时序、气体及液体流动速率、腔室压力、衬底温度及其它参数的指令集合。在一些实施例中,可使用存储于与控制器相关联的存储器件上的其它计算机程序。在某些实施例中,***控制器控制将衬底转移进及转移出图13所示装置的各种组件。
可以任何常规计算机可读程序设计语言来撰写用于控制工艺程序中的工艺的计算机程序代码:例如,汇编语言(assembly language)、C、C++、Pascal、Fortran或其它语言。由处理器执行经编译的目标代码或指令码以执行在程序中所标识的任务。可以许多不同的方式来设计或配置***软件。举例来说,可撰写各种腔室组件子程序(subroutine)或控制目标以控制进行所述工艺所必需的腔室组件操作。用于此目的的程序或程序片段的实例包括工艺气体控制代码、压力控制代码及等离子体控制代码。
控制器参数涉及工艺条件,例如每一操作的时序、腔室内部的压力、衬底温度、工艺气体流动速率、RF功率,以及上文所描述的其它条件。这些参数是以配方(recipe)的形式提供给用户,且可利用用户界面来键入。可通过***控制器的模拟及/或数字输入连接来提供用于监测工艺的信号。在装置的模拟及数字输出连接上输出用于控制工艺的信号。
上述工艺及装置可将电介质沉积于需要薄电介质层的任何类型的衬底上。通常,衬底将为具有需要电介质填充的间隙的半导体晶片。然而,本发明不限于这些应用。可在例如用于制造平板显示器的无数其它制造工艺中使用本发明。
如上文所指示,本发明可用于集成电路制造中。对使用半导体衬底部分地制造的集成电路执行间隙填充工艺。在特定实例中,使用本发明的间隙填充工艺形成浅沟槽隔离(STI)、金属层间电介质(ILD)层、钝化层等等。在某些实施例中,本文中所描述的方法可适用于使用可流动氧化物沉积及任何固体电介质沉积技术(包括原子层沉积(ALD)及脉冲式沉积层(PDL)技术)的整合工艺。所揭示的方法及装置也可在包括光刻及/或图案化硬件的用于半导体制造的***中实施。另外,所揭示的方法可于在所揭示方法之前或之后进行光刻及/或图案化工艺的工艺中实施。
尽管已出于理解清楚的目的而在某种程度上详细描述本发明,但将显而易知,可在附加权利要求书的范围内实践某些改变及修改。应注意,存在实施本发明的工艺、***及装置的许多替代方式。因而,本发明的实施例应被视为说明性而非限制性的,且本发明不应限于本文中所给出的细节。

Claims (23)

1.一种填充半导体衬底上的未经填充的间隙的方法,所述方法包含:
将可流动电介质膜沉积于所述间隙中以部分地填充所述间隙;
在用所述可流动电介质膜部分地填充所述间隙之后,经由高密度等离子体化学气相沉积反应将高密度等离子体化学气相沉积HDP-CVD电介质膜沉积于所述间隙中以完成所述间隙的填充,其中在所述后续HDP-CVD沉积之前所述可流动电介质膜未经固化,其中将可流动电介质膜沉积于所述间隙中包括引入包括含硅前驱体、氧化剂及溶剂的工艺气体,其中所述工艺气体特征为以下分压Pp:蒸气压Pvp比率:含硅前驱体:0.01到1;氧化剂:0.25到2;及溶剂:0.1到1。
2.根据权利要求1所述的方法,其中所述可流动电介质膜为氧化硅膜、氮化硅膜或氮氧化硅膜。
3.根据权利要求1所述的方法,其中所述工艺气体特征为具有5到15的氧化剂:前驱体分压比率。
4.根据权利要求1所述的方法,其中所述工艺气体特征为具有0.1到5的溶剂:氧化剂前驱体分压比率。
5.根据权利要求1所述的方法,其中使可流动电介质膜在所述HDP-CVD电介质膜的沉积期间至少部分地稠化及/或氧化。
6.一种填充半导体衬底上的未经填充的间隙的方法,所述方法包含:
将所述衬底提供到沉积模块;
将可流动电介质膜沉积于所述间隙中以部分地填充所述间隙;
使所述间隙中的所述可流动电介质膜氧化;
将所述衬底自所述沉积模块转移到高密度等离子体化学气相沉积HDP-CVD模块;及
沉积HDP电介质膜以完成所述间隙的填充,其中将可流动电介质膜沉积于所述间隙中包括引入包括含硅前驱体、氧化剂及溶剂的工艺气体到所述沉积模块,其中所述工艺气体特征为以下分压Pp:蒸气压Pvp比率:含硅前驱体:0.01到1;氧化剂:0.25到0.2;及溶剂:0.1到1。
7.根据权利要求6所述的方法,其中在所述沉积模块中执行所述可流动电介质膜的氧化。
8.根据权利要求6所述的方法,其中在所述HDP-CVD模块中执行所述可流动电介质膜的氧化。
9.根据权利要求6所述的方法,其中在与所述沉积模块分离的固化模块中执行所述可流动电介质膜的氧化。
10.根据权利要求6所述的方法,其中使所述可流动电介质膜氧化包含以下中的一者:在存在紫外光的情况下将所述膜暴露于氧化剂;及将所述膜暴露于远端产生的氧化等离子体。
11.根据权利要求6所述的方法,其中使所述可流动电介质膜氧化包含将所述膜暴露于直接等离子体。
12.根据权利要求1到11中任一权利要求所述的方法,其中所述间隙在光刻工艺后进行填充。
13.一种填充半导体衬底上的未经填充的间隙的方法,所述方法包含:
将可流动电介质膜沉积于所述间隙中以部分地填充所述间隙;
使所述间隙中的所述可流动电介质膜部分地稠化;及
沉积HDP电介质膜以完成所述间隙的填充,其中将可流动电介质膜沉积于所述间隙中包括引入包括含硅前驱体、氧化剂及溶剂的工艺气体,其中所述工艺气体特征为以下分压Pp:蒸气压Pvp比率:含硅前驱体:0.01到1;氧化剂:0.25到2;及溶剂:0.1到1。
14.根据权利要求13所述的方法,其中使所述可流动电介质膜部分地稠化包含将所述膜暴露于远端或直接等离子体。
15.根据权利要求14所述的方法,其中所述等离子体为氧化等离子体。
16.根据权利要求14所述的方法,其中所述等离子体为惰性等离子体。
17.一种填充衬底上的未经填充的间隙的方法,所述方法包含:
引入包含含硅前驱体、氧化剂及任选的溶剂的工艺气体,以借此将可流动膜沉积于所述间隙中以部分地填充所述间隙,其中所述工艺气体特征为以下分压Pp:蒸气压Pvp比率:
含硅前驱体:0.01到1;
氧化剂:0.25到2;及
溶剂:0.1到1;
在用所述可流动氧化物膜部分地填充所述间隙之后,沉积HDP电介质膜以完成所述间隙的填充。
18.根据权利要求17所述的方法,其中所述含硅前驱体的所述Pp:Pvp比率是在0.01与0.5之间。
19.根据权利要求17所述的方法,其中所述氧化剂的所述Pp:Pvp比率是在0.5与1之间。
20.根据权利要求17所述的方法,其中所述氧化剂的所述Pp:Pvp比率是在0.1与1之间。
21.根据权利要求17所述的方法,其中所述工艺气体进一步特征为具有5到15的氧化剂:前驱体分压比率。
22.根据权利要求17所述的方法,其中所述工艺气体进一步特征为具有0.1到5的溶剂:氧化剂前驱体分压比率。
23.一种装置,其包含:
可流动氧化物沉积腔室,其经配置以沉积可流动氧化物膜;
高密度等离子体化学气相沉积HDP-CVD沉积腔室,其经配置以沉积HDP氧化物膜;及
控制器,所述控制器包含用于将包括含硅前驱体、氧化剂及任选的溶剂的工艺气体引入所述可流动氧化物沉积腔室的指令,其中所述工艺气体特征为以下分压Pp:蒸气压Pvp比率:含硅前驱体:0.01到1,氧化剂:0.25到2;及溶剂:0.1到1。
CN201080055670.3A 2009-12-09 2010-12-09 新颖间隙填充整合 Active CN102652353B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US28509109P 2009-12-09 2009-12-09
US61/285,091 2009-12-09
PCT/US2010/059721 WO2011072143A2 (en) 2009-12-09 2010-12-09 Novel gap fill integration

Publications (2)

Publication Number Publication Date
CN102652353A CN102652353A (zh) 2012-08-29
CN102652353B true CN102652353B (zh) 2016-12-07

Family

ID=44146182

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201080055670.3A Active CN102652353B (zh) 2009-12-09 2010-12-09 新颖间隙填充整合

Country Status (5)

Country Link
US (2) US8728958B2 (zh)
KR (1) KR101758944B1 (zh)
CN (1) CN102652353B (zh)
TW (1) TWI579916B (zh)
WO (1) WO2011072143A2 (zh)

Families Citing this family (241)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9257302B1 (en) 2004-03-25 2016-02-09 Novellus Systems, Inc. CVD flowable gap fill
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US7888273B1 (en) 2006-11-01 2011-02-15 Novellus Systems, Inc. Density gradient-free gap fill
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
TWI579916B (zh) 2009-12-09 2017-04-21 諾菲勒斯系統公司 整合可流動氧化物及頂蓋氧化物之新穎間隙填充
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9685320B2 (en) * 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8685867B1 (en) 2010-12-09 2014-04-01 Novellus Systems, Inc. Premetal dielectric integration process
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8569143B2 (en) * 2011-06-23 2013-10-29 GlobalFoundries, Inc. Methods of fabricating a semiconductor IC having a hardened shallow trench isolation (STI)
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US20130260564A1 (en) * 2011-09-26 2013-10-03 Applied Materials, Inc. Insensitive dry removal process for semiconductor integration
WO2013048872A1 (en) * 2011-09-26 2013-04-04 Applied Materials, Inc. Pretreatment and improved dielectric coverage
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US8846536B2 (en) 2012-03-05 2014-09-30 Novellus Systems, Inc. Flowable oxide film with tunable wet etch rate
SG195494A1 (en) * 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US8889566B2 (en) * 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US10279959B2 (en) 2012-12-11 2019-05-07 Versum Materials Us, Llc Alkoxysilylamine compounds and applications thereof
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
KR20150102993A (ko) * 2012-12-31 2015-09-09 에프이아이 컴파니 높은 종횡비 구조들로의 물질 증착
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9536771B2 (en) 2013-04-11 2017-01-03 Taiwan Semiconductor Manufacturing Co., Ltd. Gap fill self planarization on post EPI
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
KR102053350B1 (ko) 2013-06-13 2019-12-06 삼성전자주식회사 저유전율 절연층을 가진 반도체 소자를 형성하는 방법
US9698454B1 (en) * 2013-07-09 2017-07-04 Calabazas Creek Research, Inc. High power RF window deposition apparatus, method, and device
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
KR102049569B1 (ko) 2013-08-01 2019-11-28 삼성디스플레이 주식회사 미세 패턴을 포함한 구조물의 형성 방법, 나노 패턴 형성 방법 및 액정 표시 장치용 표시판의 제조 방법
US20150048477A1 (en) * 2013-08-16 2015-02-19 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US20150187563A1 (en) 2013-12-26 2015-07-02 Applied Materials, Inc. Photo-assisted deposition of flowable films
US9721828B2 (en) * 2013-12-30 2017-08-01 Texas Instruments Incorporated Method to reduce particles during STI fill and reduce CMP scratches
US9786542B2 (en) 2014-01-13 2017-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for forming semiconductor device having isolation structure
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9997396B2 (en) * 2014-05-21 2018-06-12 Newport Fab, Llc Deep trench isolation structure and method for improved product yield
CN105097500B (zh) * 2014-05-23 2020-02-11 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法、电子装置
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
KR102174336B1 (ko) 2014-07-08 2020-11-04 삼성전자주식회사 반도체 패키지 및 그 제조 방법
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
CN104465402B (zh) * 2014-12-25 2018-03-06 中航(重庆)微电子有限公司 一种半导体器件制备工艺
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US10354860B2 (en) 2015-01-29 2019-07-16 Versum Materials Us, Llc Method and precursors for manufacturing 3D devices
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
CN107430991A (zh) * 2015-02-23 2017-12-01 应用材料公司 用于形成高质量薄膜的循环连续工艺
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9871100B2 (en) 2015-07-29 2018-01-16 Taiwan Semiconductor Manufacturing Co., Ltd. Trench structure of semiconductor device having uneven nitrogen distribution liner
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
WO2017048268A1 (en) * 2015-09-17 2017-03-23 Intel Corporation Gap filling material and process for semiconductor devices
JP6929279B2 (ja) * 2015-10-22 2021-09-01 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated SiOおよびSiNを含む流動性膜を堆積させる方法
US9916977B2 (en) 2015-11-16 2018-03-13 Lam Research Corporation Low k dielectric deposition via UV driven photopolymerization
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
KR102312824B1 (ko) 2016-03-17 2021-10-13 어플라이드 머티어리얼스, 인코포레이티드 고 종횡비 구조들에서의 갭충전을 위한 방법들
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
CN109417048A (zh) * 2016-06-25 2019-03-01 应用材料公司 用于间隙填充应用的可流动非晶硅膜
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US9960074B2 (en) 2016-06-30 2018-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated bi-layer STI deposition
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10246774B2 (en) * 2016-08-12 2019-04-02 Lam Research Corporation Additive for ALD deposition profile tuning in gap features
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10580642B2 (en) * 2017-04-04 2020-03-03 Applied Materials, Inc. Two-step process for silicon gapfill
US10483102B2 (en) * 2017-04-07 2019-11-19 Applied Materials, Inc. Surface modification to improve amorphous silicon gapfill
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10017856B1 (en) 2017-04-17 2018-07-10 Applied Materials, Inc. Flowable gapfill using solvents
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
KR20180134048A (ko) 2017-06-08 2018-12-18 에스케이하이닉스 주식회사 전자 장치 및 그 제조방법
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US11482412B2 (en) * 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
JP7319288B2 (ja) * 2018-03-09 2023-08-01 アプライド マテリアルズ インコーポレイテッド Pecvdによるsiギャップ充填の方法
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10332746B1 (en) * 2018-03-14 2019-06-25 Taiwan Semiconductor Manufacturing Co., Ltd. Post UV cure for gapfill improvement
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10727046B2 (en) * 2018-07-06 2020-07-28 Lam Research Corporation Surface modified depth controlled deposition for plasma based deposition
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11387138B2 (en) * 2018-09-25 2022-07-12 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit isolation feature and method of forming the same
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
JP7487189B2 (ja) 2018-10-19 2024-05-20 ラム リサーチ コーポレーション 間隙充填のためのドープまたは非ドープシリコン炭化物および遠隔水素プラズマ曝露
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
CN111424259B (zh) * 2019-01-09 2022-06-10 联芯集成电路制造(厦门)有限公司 高密度等离子体化学气相沉积制程形成氧化硅层的方法
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR102642977B1 (ko) 2019-02-13 2024-03-05 에스케이하이닉스 주식회사 이미지 센싱 장치 및 그 제조 방법
CN110137132A (zh) * 2019-05-09 2019-08-16 德淮半导体有限公司 沟槽隔离结构的形成方法、化学气相沉积工艺
US11469100B2 (en) 2019-05-30 2022-10-11 Applied Materials, Inc. Methods of post treating dielectric films with microwave radiation
TWI792005B (zh) * 2019-07-23 2023-02-11 美商應用材料股份有限公司 可流動cvd薄膜之表面粗糙度
US11133178B2 (en) 2019-09-20 2021-09-28 Applied Materials, Inc. Seamless gapfill with dielectric ALD films
US11532475B2 (en) 2019-12-24 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Deposition process for forming semiconductor device and system
US11562923B2 (en) 2020-05-05 2023-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor arrangement including a first electrical insulator layer and a second electrical insulator layer and method of making
US11615966B2 (en) 2020-07-19 2023-03-28 Applied Materials, Inc. Flowable film formation and treatments
US11699571B2 (en) 2020-09-08 2023-07-11 Applied Materials, Inc. Semiconductor processing chambers for deposition and etch
US11887811B2 (en) 2020-09-08 2024-01-30 Applied Materials, Inc. Semiconductor processing chambers for deposition and etch
US11655537B2 (en) 2020-10-26 2023-05-23 Applied Materials, Inc. HDP sacrificial carbon gapfill
US20230065234A1 (en) * 2021-08-27 2023-03-02 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation structures of semiconductor devices

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1722403A (zh) * 2004-07-13 2006-01-18 海力士半导体有限公司 制造半导体器件的器件隔离膜的方法

Family Cites Families (79)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1483144A (en) * 1975-04-07 1977-08-17 British Petroleum Co Protective films
JPS618945A (ja) 1984-06-25 1986-01-16 Nec Corp 半導体集積回路装置
CA2009518C (en) 1990-02-07 2000-10-17 Luc Ouellet Spin-on glass processing technique for the fabrication of semiconductor device
US5932289A (en) 1991-05-28 1999-08-03 Trikon Technologies Limited Method for filling substrate recesses using pressure and heat treatment
US5387546A (en) * 1992-06-22 1995-02-07 Canon Sales Co., Inc. Method for manufacturing a semiconductor device
CA2137928C (en) 1992-07-04 2002-01-29 Christopher David Dobson A method of treating a semiconductor wafer
CA2131668C (en) 1993-12-23 1999-03-02 Carol Galli Isolation structure using liquid phase oxide deposition
US5858880A (en) 1994-05-14 1999-01-12 Trikon Equipment Limited Method of treating a semi-conductor wafer
US5534731A (en) * 1994-10-28 1996-07-09 Advanced Micro Devices, Incorporated Layered low dielectric constant technology
JPH08153784A (ja) * 1994-11-28 1996-06-11 Nec Corp 半導体装置の製造方法
US5960300A (en) 1994-12-20 1999-09-28 Matsushita Electric Industrial Co., Ltd. Method of manufacturing semiconductor device
KR0183886B1 (ko) 1996-06-17 1999-04-15 김광호 반도체장치의 트렌치 소자분리 방법
WO1998008249A1 (en) 1996-08-24 1998-02-26 Trikon Equipments Limited Method and apparatus for depositing a planarized dielectric layer on a semiconductor substrate
US5866945A (en) * 1997-10-16 1999-02-02 Advanced Micro Devices Borderless vias with HSQ gap filled patterned metal layers
TW354417B (en) * 1997-10-18 1999-03-11 United Microelectronics Corp A method for forming a planarized dielectric layer
GB9801359D0 (en) 1998-01-23 1998-03-18 Poulton Limited Methods and apparatus for treating a substrate
GB9801655D0 (en) 1998-01-28 1998-03-25 Trikon Equip Ltd Method and apparatus for treating a substrate
US6413583B1 (en) 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6593247B1 (en) 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6218268B1 (en) 1998-05-05 2001-04-17 Applied Materials, Inc. Two-step borophosphosilicate glass deposition process and related devices and apparatus
TW405223B (en) * 1998-07-28 2000-09-11 United Microelectronics Corp Method for avoiding the poisoning at the trench of the dual damascene structure and the dielectric hole
US6316052B1 (en) * 1998-08-19 2001-11-13 Anelva Corporation Method for the surface treatment of vacuum materials and surface treated vacuum
US6383951B1 (en) 1998-09-03 2002-05-07 Micron Technology, Inc. Low dielectric constant material for integrated circuit fabrication
JP2002538604A (ja) 1999-02-26 2002-11-12 トリコン ホールディングス リミティド ポリマー層の処理方法
EP1202719B1 (en) * 1999-06-21 2006-05-31 Kuhnil Pharmaceutical Co., Ltd. Anesthetic composition for intravenous injection comprising propofol
GB2354528B (en) 1999-09-25 2004-03-10 Trikon Holdings Ltd Delivery of liquid precursors to semiconductor processing reactors
US6114259A (en) * 1999-07-27 2000-09-05 Lsi Logic Corporation Process for treating exposed surfaces of a low dielectric constant carbon doped silicon oxide dielectric material to protect the material from damage
US6300219B1 (en) 1999-08-30 2001-10-09 Micron Technology, Inc. Method of forming trench isolation regions
TW439194B (en) * 2000-01-24 2001-06-07 United Microelectronics Corp Manufacturing method of shallow trench isolation region
EP1139404A1 (en) 2000-03-31 2001-10-04 Applied Materials, Inc. Low thermal budget solution for PMD application using SACVD layer
US6309933B1 (en) * 2000-06-05 2001-10-30 Chartered Semiconductor Manufacturing Ltd. Method of fabricating T-shaped recessed polysilicon gate transistors
JP4654544B2 (ja) 2000-07-12 2011-03-23 日産化学工業株式会社 リソグラフィー用ギャップフィル材形成組成物
US7001778B2 (en) 2001-03-09 2006-02-21 Symetrix Corporation Method of making layered superlattice material with improved microstructure
US6902947B2 (en) 2001-05-07 2005-06-07 Applied Materials, Inc. Integrated method for release and passivation of MEMS structures
US6828162B1 (en) 2001-06-28 2004-12-07 Advanced Micro Devices, Inc. System and method for active control of BPSG deposition
US6620745B2 (en) * 2001-10-19 2003-09-16 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming a blocking layer
TW538461B (en) 2002-02-26 2003-06-21 Silicon Integrated Sys Corp Device for depositing an insulating layer in a trench
DE10211544C1 (de) 2002-03-15 2003-11-27 Infineon Technologies Ag Verfahren zur Herstellung einer Kondensatorelektrode eines Grabenkondensators aus flüssiger Phase
CN100360710C (zh) 2002-03-28 2008-01-09 哈佛学院院长等 二氧化硅纳米层压材料的气相沉积
US6743736B2 (en) * 2002-04-11 2004-06-01 Micron Technology, Inc. Reactive gaseous deposition precursor feed apparatus
US7056560B2 (en) 2002-05-08 2006-06-06 Applies Materials Inc. Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD)
US6909300B2 (en) * 2002-05-09 2005-06-21 Taiwan Semiconductor Manufacturing Co., Ltd Method for fabricating microelectronic fabrication electrical test apparatus electrical probe tip having pointed tips
US20060014384A1 (en) * 2002-06-05 2006-01-19 Jong-Cheol Lee Method of forming a layer and forming a capacitor of a semiconductor device having the same layer
AU2003296988A1 (en) 2002-12-19 2004-07-29 Matrix Semiconductor, Inc An improved method for making high-density nonvolatile memory
US7205248B2 (en) * 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
US7238604B2 (en) * 2003-04-24 2007-07-03 Intel Corporation Forming thin hard mask over air gap or porous dielectric
US6693050B1 (en) * 2003-05-06 2004-02-17 Applied Materials Inc. Gapfill process using a combination of spin-on-glass deposition and chemical vapor deposition techniques
US20040229452A1 (en) * 2003-05-15 2004-11-18 Johnston Steven W. Densifying a relatively porous material
US20050136684A1 (en) 2003-12-23 2005-06-23 Applied Materials, Inc. Gap-fill techniques
JP2005260040A (ja) * 2004-02-12 2005-09-22 Sony Corp ドーピング方法、半導体装置の製造方法および電子応用装置の製造方法
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US7074690B1 (en) * 2004-03-25 2006-07-11 Novellus Systems, Inc. Selective gap-fill process
US7033945B2 (en) * 2004-06-01 2006-04-25 Applied Materials Gap filling with a composite layer
US7521378B2 (en) * 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
US7153783B2 (en) * 2004-07-07 2006-12-26 Honeywell International Inc. Materials with enhanced properties for shallow trench isolation/premetal dielectric applications
US7271112B1 (en) * 2004-12-30 2007-09-18 Novellus Systems, Inc. Methods for forming high density, conformal, silica nanolaminate films via pulsed deposition layer in structures of confined geometry
US8598044B2 (en) * 2005-03-25 2013-12-03 Renesas Electronics Corporation Method of fabricating a semiconductor device
US7585704B2 (en) * 2005-04-01 2009-09-08 International Business Machines Corporation Method of producing highly strained PECVD silicon nitride thin films at low temperature
US8129290B2 (en) * 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
US7566655B2 (en) * 2005-05-26 2009-07-28 Applied Materials, Inc. Integration process for fabricating stressed transistor structure
US8138104B2 (en) * 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
DE102005026695A1 (de) 2005-06-09 2006-12-21 Schott Ag Leuchtvorrichtung mit einem Außenkolben, insbesondere Hochdruck-Entladungslampe
US20070054505A1 (en) 2005-09-02 2007-03-08 Antonelli George A PECVD processes for silicon dioxide films
KR100933374B1 (ko) * 2006-01-13 2009-12-22 도쿄엘렉트론가부시키가이샤 다공질 막의 성막 방법 및 컴퓨터 판독가능한 기록 매체
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US8232176B2 (en) * 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
KR100839529B1 (ko) * 2006-09-29 2008-06-19 주식회사 하이닉스반도체 반도체소자의 소자분리막 형성 방법
US7888273B1 (en) * 2006-11-01 2011-02-15 Novellus Systems, Inc. Density gradient-free gap fill
KR101284146B1 (ko) * 2007-07-19 2013-07-10 삼성전자주식회사 트렌치 소자분리 영역을 갖는 반도체소자 및 그 제조방법
US7745352B2 (en) * 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US7803722B2 (en) * 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7541297B2 (en) * 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7622369B1 (en) * 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US20100109155A1 (en) 2008-11-05 2010-05-06 Chartered Semiconductor Manufacturing, Ltd. Reliable interconnect integration
KR101561862B1 (ko) * 2008-12-26 2015-10-21 삼성전자 주식회사 반도체 집적 회로 장치의 제조 방법
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
TWI579916B (zh) 2009-12-09 2017-04-21 諾菲勒斯系統公司 整合可流動氧化物及頂蓋氧化物之新穎間隙填充
US20120149213A1 (en) * 2010-12-09 2012-06-14 Lakshminarayana Nittala Bottom up fill in high aspect ratio trenches

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1722403A (zh) * 2004-07-13 2006-01-18 海力士半导体有限公司 制造半导体器件的器件隔离膜的方法

Also Published As

Publication number Publication date
CN102652353A (zh) 2012-08-29
KR101758944B1 (ko) 2017-07-18
KR20120099243A (ko) 2012-09-07
WO2011072143A2 (en) 2011-06-16
US8728958B2 (en) 2014-05-20
TW201128700A (en) 2011-08-16
US20110151678A1 (en) 2011-06-23
US20140302689A1 (en) 2014-10-09
WO2011072143A3 (en) 2011-10-06
TWI579916B (zh) 2017-04-21

Similar Documents

Publication Publication Date Title
CN102652353B (zh) 新颖间隙填充整合
KR102572641B1 (ko) 기판 표면들 상에 유동성 유전체 디포지션 처리
CN102569165B (zh) 高纵横比沟槽中的颠倒填充
KR102492259B1 (ko) 복합 peald 및 pecvd 방법을 사용하여 가변 애스팩트 비 피처들의 갭충진
US9299559B2 (en) Flowable oxide film with tunable wet etch rate
US8685867B1 (en) Premetal dielectric integration process
US7629227B1 (en) CVD flowable gap fill
CN103975419B (zh) 等离子体活化保形电介质膜沉积
KR101161074B1 (ko) 기판상에 실리콘 옥사이드 층을 형성시키는 방법
TWI389251B (zh) 處理薄膜之方法
KR20150048085A (ko) 저 기공율을 갖는 유동성 유전체 막들을 형성하기 위한 방법들 및 장치
CN105390437A (zh) 用于选择性超低k孔密封的可流动电介质
CN101418438A (zh) 通过来自乙硅烷前体的远程等离子体cvd的高质量氧化硅膜
CN115428122A (zh) 接缝减轻和用于间隙填充的整合式衬垫
WO2015073188A1 (en) Method of depositing a low-temperature, no-damage hdp sic-like film with high wet etch resistance
KR20120089792A (ko) 고 종횡비 트렌치의 바텀 업 충전
WO2022006010A1 (en) Reducing intralevel capacitance in semiconductor devices

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant