CN105390437A - 用于选择性超低k孔密封的可流动电介质 - Google Patents

用于选择性超低k孔密封的可流动电介质 Download PDF

Info

Publication number
CN105390437A
CN105390437A CN201510516169.7A CN201510516169A CN105390437A CN 105390437 A CN105390437 A CN 105390437A CN 201510516169 A CN201510516169 A CN 201510516169A CN 105390437 A CN105390437 A CN 105390437A
Authority
CN
China
Prior art keywords
dielectric
deposition
film
hole
porous
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201510516169.7A
Other languages
English (en)
Inventor
尼莉莎·苏·德雷格
凯寒·阿比迪·阿施蒂尼
迪伊奈斯·帕德希
德里克·B·王
巴特·J·范施拉芬迪克
乔治·安德鲁·安东内利
阿图尔·科利奇
赵烈
帕特里克·A·范克利蒙布特
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN105390437A publication Critical patent/CN105390437A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明涉及选择性超低k孔密封的可流动电介质,公开的方法和设备的实现涉及到用可流动的电介质材料对多孔电介质膜进行孔密封。该方法涉及在一定的条件下将上面具有暴露的多孔电介质膜的衬底暴露于气相电介质前体,使得在多孔电介质材料的孔中选择性地沉积可流动电介质材料。在任何暴露的金属表面上没有沉积连续膜的情况下可用所沉积的可流动电介质材料填充孔。

Description

用于选择性超低k孔密封的可流动电介质
技术领域
本发明总体上涉及半导体处理领域,更具体涉及用于选择性超低k孔密封的可流动电介质。
背景技术
随着集成电路(IC)特征尺寸的减小,增加的电阻和电阻-电容(RC)耦合的问题抵消了从小器件尺寸得到的任何速度优势,从而限制了器件性能的提高。提高器件性能和可靠性的方式包括使用高导电性金属(例如,铜),以及采用低电介质常数(低k)材料。
低k材料是电介质常数(k)低于二氧化硅SiO2的电介质常数(k)(即,3.9)的半导体等级的绝缘材料。由于越来越先进的技术需求,使用了k小于2.5的超低k电介质(ULK)材料。ULK电介质可以通过在低k电介质中并入或形成空隙从而形成多孔电介质材料来获得。ULK电介质的应用包括后段制程(BEOL)层间电介质(ILD)。
发明内容
本公开的主题的一个方面可以用密封多孔电介质层中的孔的方法来实现。多孔电介质层可具有外表面和开口通向所述外表面的孔。该方法可以包括:引入气相电介质前体到处理室中,其中所述电介质前体的分压在所述电介质前体的饱和压以下,从而在所述多孔电介质层的孔的至少所述开口中选择性地沉积可流动电介质膜。所述可流动电介质膜可以通过所述孔中的毛细凝聚沉积。
在一些实施方式中,所述多孔电介质层包括形成在其中的凹陷区域,使得所述外表面包括凹陷区域的表面。凹陷区域的实施例包括形成在所述电介质中的通孔和沟槽。从所述凹陷区域表面凹陷的孔通过所沉积的所述可流动电介质膜密封。可以在所述凹陷区域没有实质性沉积的情况下执行所述可流动电介质膜的沉积。在某些实施方式中,所述凹陷区域的底部包括暴露的金属、金属氮化物或金属氧化物表面。在这样的实施方式中,可以在所述金属、金属氮化物或金属氧化物表面上没有沉积的情况下,执行所述可流动电介质膜的沉积。
在一些实施方式中,所述方法进一步包括在所述凹陷区域表面上形成含金属层。在一些实施方式中,可以用金属填充所述凹陷区域。
可流动电介质膜的实施例包括掺杂的或未掺杂的氧化硅、碳掺杂的氧化硅、氧氮化硅、和氮化硅材料。在一些实施方式中,所述方法涉及将氧化剂引入所述处理室中。在一些实施方案中,所述方法涉及将含氮共反应物引入所述处理室。电介质前体的实施例包括烷氧基硅烷、烷基硅烷、氨基硅烷。在一些实施方案中,使所述多孔电介质层暴露于所述电介质前体,从而替换所述多孔电介质层中的键。
本公开的主题的一个方面可以用一种方法来实现,所述方法包括:提供多孔的层间电介质(ILD)层,在所述多孔的层间电介质(ILD)层中形成有暴露金属表面的凹陷区域;引入在气相电介质前体的饱和压以下的所述气相电介质前体到处理室中,从而在所述多孔ILD层的孔的至少所述开口中选择性地凝聚所述电介质前体;在所述凹陷区域中形成含金属的阻挡层;以及用导电材料填充所述沟槽。
本公开的主题的一个方面可以实现一种装置,该装置包括:可流动电介质沉积室,该可流动电介质沉积室被配置成在设置在该可流动电介质沉积室内的工件上沉积可流动电介质膜;以及控制器,该控制器包括用于以下操作的指令:保持工件温度在介于-20℃和100℃之间;以及将电介质前体和共反应物引入所述沉积室持续5秒或5秒以下的时间段,从而在所述工件的孔中选择性地沉积可流动电介质膜。所述控制器还可以包括引入气相电介质前体的饱和压以下的电介质的指令。
这些以及其它的方面将在下文参照附图进一步描述。
附图说明
图1是描绘密封在多孔电介质中的孔的方法的实施例的处理流程图。
图2A-2D是示出在后段制程(BEOL)处理中孔密封的实施例中的阶段的横截面图。
图3示出了图解金属渗透到层间电介质(ILD)中的机制的实施例的剖面图。
图4是描绘根据某些实施方式的密封多孔电介质的孔的方法的处理流程图。
图5A-5C是示出在BEOL处理中的在孔密封的实施例中的阶段的横截面图。
图6是显示关键尺寸-分压沉积曲线的实施例的曲线图的示意图。
图7A是说明在超低k(ULK)层中钴的扩散量的图表,该扩散量以具有不同程度的孔密封的ULK膜的ULK层厚度相对于对照的百分比表示。
图7B是示出各种衬底上的可流动氧化物沉积厚度与沉积时间的函数关系曲线图。
图8A、8B和图9是适于实施本文描述的方法的装置的示意图。
具体实施方式
在本文中,在半导体器件的后道制程(BEOL)处理中的多孔超低电介质常数(ULK)膜的孔密封的背景下,描述本公开的实现方案。本领域技术人员将认识到,以下的详细描述只是说明性的,不旨在以任何方式进行限制。受益于本公开的这样的技术人员将容易地联想到本公开的其他实施例本身。例如,可以使用本文中所公开的方法和装置来实现包括多孔非电介质膜在内的任何多孔膜的密封。而且,这些方法和装置可以应用于半导体器件的前道制程(FEOL)处理中或包括显示器器件等的其他类型的器件的制作中。
如本文中所使用的术语“半导体器件”指的是形成于半导体衬底上的任何器件或具有半导体材料的任何器件。在许多情况下,半导体器件参与电子逻辑或存储器,或参与能量转换。术语“半导体器件”不但将部分地制作的器件(诸如部分地制成的集成电路)包括在内,而且还将可供出售或安装于特定的装置中的完整的器件包括在内。简而言之,半导体器件可以以采用本文中所公开的主题的方法或具有本文中所公开的主题的结构的任何制造状态存在。
本文中所公开的方法和装置的实现方案涉及使用可流动电介质材料来对多孔电介质膜进行孔密封。方法涉及有条件地使在上面具有暴露的多孔电介质膜的衬底暴露于电介质前体,以致于可流动电介质材料优先地沉积于多孔电介质材料的孔中。在多孔电介质膜的表面上或任何暴露的金属表面上未沉积连续膜的情况下,孔能够用所沉积的可流动电介质材料填充。
本文中所描述的方法和装置的实现方案可以具有各种优点。例如,这些方法在孔内侧会具有优于孔外侧的关于沉积的良好的选择性,并且,如果沉积,则在密封的多孔电介质上会具有优于金属表面的关于覆盖膜的良好的选择性。这使得能不在暴露的Cu、Co或其他金属表面上沉积或也不使暴露的Cu、Co或其他金属表面改性的情况下进行孔密封,其中,于暴露的Cu、Co或其他金属表面上沉积或使暴露的Cu、Co或其他金属表面改性会导致不能接受的接触电阻增大。在一些实现方案中,可以在多孔电介质表面上不具有连续改性层的情况下将孔密封,在多孔电介质表面上具有连续改性层可能导致不能接受的k变化和阻容(RC)延迟。这些方法还使得能在不损伤附近的多孔电介质表面的情况下进行孔密封。这样的有意的损伤,在能够将孔堵塞的同时,可能引起不能接受的k变化。
将气相反应物引入至沉积室,以使可流动电介质膜沉积。所沉积的可流动电介质膜通常具有能够提供孔的至少开口的一致的填充的流动特性。术语“所沉积的可流动电介质膜”指的是任何后沉积处理、致密化、固化或退火之前的可流动电介质膜。所沉积的可流动电介质膜可以被表征为软果冻状膜、凝胶、溶胶或可流动膜。在一些实施例中,所沉积的膜是固体而非液体膜,其仅在沉积处理期间为液体且可流动。沉积处理一停止,所沉积的膜就是固体膜。
本文中所描述的可流动电介质沉积方法不限于特定的反应机理;反应机理会涉及吸附反应、水解反应、缩合反应、聚合反应、生成浓缩的气相产物的气相反应、反应之前的一个或更多反应物的缩合或这些反应的组合。术语“可流动电介质膜”能够包括由气相反应物形成且在沉积的期间可流动的任何电介质膜,包括已发生反应、干燥或被处理,以致于不再可流动的膜。
图1是描绘根据本公开的实现方案的方法的示例中的操作的处理流程图。在框102,提供上面具有多孔电介质膜的衬底。多孔电介质膜可以是例如电介质常数为2.4或更小的ULK膜。ULK膜的示例包括碳掺杂的氧化物(CDO)膜、沸石膜以及聚合物膜。
电介质膜的多孔结构可以是连通的,并且,可以包括通过从电介质基质移除致孔剂而引入的孔和/或电介质基质所固有的孔。例如,由于将甲基或其他有机基团并入而导致CDO基质可以具有多孔结构。多孔电介质膜可以包括中孔结构和/或微孔结构。中孔结构通常指的是孔径为2nm–50nm,并且,微孔结构指的是孔径小于2nm。在具有连通式多孔结构的电介质中,至少一些连通孔的尺寸可以在连续体上,其中具有约几埃至约几纳米的尺寸的微孔与具有约几纳米至约几十纳米的尺寸的中孔连通。虽然这些方法还可以用于将非连通孔密封并提供光滑的沉积表面,但是可以特别地用于将未密封以提供通过膜的扩散通路的连通孔密封。
在框102中设置的多孔电介质膜可以是蚀刻多孔电介质膜,例如蚀刻层间电介质(ILD)层。暴露的表面处的多孔结构特性可能不但取决于蚀刻过程,而且还取决于具体的膜和沉积的方法。
图2A示出了第一电介质层203中包括嵌入式金属线201的结构的示例。经蚀刻的多孔电介质层205覆盖第一电介质层203,任选地覆盖蚀刻停止层211,诸如碳化硅、碳氧化硅、氮化硅或氮氧化硅蚀刻停止层。在先前的处理中对经蚀刻的多孔电介质层205进行蚀刻,以定义凹陷207,并且,使金属线201暴露。经蚀刻的多孔电介质层205的暴露的表面212包括凹陷207的表面。
经蚀刻的多孔电介质层205是具有连通式多孔结构的多孔电介质。一部分经蚀刻的多孔电介质层205的横截面的放大的示意图被描绘。经蚀刻的第二电介质层包括孔210,孔210是连通的(在横截面的平面内外),并因而在表面212处暴露于环境条件。
随后的操作可以包含任选地清洁或处理金属线201的表面、沉积阻挡层以及用导电材料填充凹陷207。如果孔未被密封,则这些操作中的任何操作都可能导致前体和/或金属渗透至孔210中,前体和/或金属渗透至孔210中可能导致较低的击穿电压和故障。图3示出了一种可能的集成处理,该处理可能导致金属渗透至ILD中。首先,在301,示出了图2A中所描绘的经蚀刻的多孔电介质层205的放大的横截面示意图。由于孔210的存在而导致经蚀刻的多孔电介质层205的暴露的表面212是不连续的。在303,经蚀刻的多孔电介质层205包括沉积于暴露的表面212上的物理气相沉积(PVD)氮化钽(TaN)阻挡层213。由于上面形成有阻挡层213的多孔且不连续的表面212而导致阻挡层213是不连续的。如果PVD沉积的TaN层被沉积至足够大的厚度,则该层可以是连续的,并提供充分保护,避免于随后材料渗透至孔中。然而,随着特征尺寸减小,沉积厚阻挡层并不那么理想。结果,阻挡层沉积可能涉及相对较薄的且不连续的TaN子层的PVD沉积,随后是第二阻挡子层的化学气相沉积(CVD)或原子层沉积(ALD),从而形成阻挡层。
在一些实现方案中,可以通过CVD或ALD于阻挡层上沉积用于随后的金属化的晶种层。这在305处图示,305示出在TaN阻挡层213上的CVD沉积式钴(Co)晶种层214。因为TaN阻挡层213是不连续的,所以CoCVD前体能够经由连通孔210而渗透第二电介质膜205。前体可以发生反应,从而形成Co并允许Co扩散至经蚀刻的多孔电介质层205中。参见307。
除了图3中所描绘的可能的通道之外,在阻挡层沉积只包含ALD沉积和/或CVD沉积,或除了不连续的PVD层的沉积之外,还包含ALD沉积和/或CVD沉积的集成方案中,前体和/或金属会渗透至多孔电介质材料中。而且,如果最终的阻挡层或阻挡/晶种本身不够厚且不够连续,则随后的形成接触器的铜或其他金属会渗透至多孔电介质层中。如在下文中进一步讨论的,本文中所公开的方法的实现方案通过将孔密封来防止前体和金属渗透至经蚀刻的多孔电介质层205中。结果,这些方法使薄阻挡层的集成方案变得简单,而不损害多孔电介质层的完整性。
返回至图1,在提供多孔电介质层之后,在框104,可以执行任选的k恢复操作。针对含碳的电介质膜的低k恢复操作的示例能够包括暴露于紫外线(UV)辐射和/或暴露于用于修复处理所引起的电介质损伤的化学硅烷化剂。在例如美国专利No.7851232和No.8465991以及美国专利公布No.2011/0111533中更详细地讨论低k恢复操作,通过引用将所有的这些专利并入本文中。如在下文中进一步讨论的,在一些实现方案中,框104可以与框106的孔密封操作重叠或同时地执行。
在框106中,利用可流动的电介质材料来将多孔电介质膜密封。框106通常涉及在例如-20℃至100℃的相对地较低的温度下由气相电介质前体和任选的共反应物沉积可流动的电介质膜。在一些实现方案中,沉积涉及毛细凝聚反应机理。在下文中参照图4进一步对此进行描述。
在一些实现方案中,框106涉及在多孔电介质层的孔中的可流动的电介质材料的选择性的沉积。选择性的沉积指的是在不沉积于其他位置的情况下或在沉积于其他位置之前优先地沉积于某位置的过程。在框106中,例如,在多孔电介质的不连续的外表面上以及在暴露的金属表面上,与多孔电介质材料的孔的外侧相比,可流动的电介质材料优先地沉积于多孔电介质材料的孔的至少开口的内侧。就这点而论,可流动的电介质材料在多孔电介质表面(例如,图2A中的表面212)上和在诸如图2A中的金属线201的表面之类的其他暴露的表面上的沉积可能不存在或大体上不存在,本领域普通技术人员理解,可能存在一些于这些表面上核化的小范围的膜。
根据各种实现方案,框106可以具有以下的选择性的方面中的一个或两者:1)可能涉及如下机理:优先地沉积于最小的特征中,以致于在未将连续膜形成于多孔电介质或暴露的金属的表面上的情况下或将连续膜形成于多孔电介质或暴露的金属的表面上之前,填充多孔电介质中的孔或孔的小的开口;和2)与金属表面相比,在电介质表面上具有较短的核化延迟,以致于在金属表面上未形成连续膜的情况下或在金属表面上形成连续膜之前,至少部分地填充多孔电介质中的孔。即使对于覆盖膜,方面(2)也允许选择性的沉积的时间窗。
在一些实现方案中,框106利用热力学效应,在该热力学效应中,可流动的电介质材料依然选择性地凝聚于作为可用于形成可流动的电介质材料的最小的空间的孔中。就这点而论,可流动的电介质材料选择性地沉积于孔中。在一些实现方案中,可利用的最小的空间是孔的开口,以致于可流动的电介质材料沉积于开口中,但未完全地填充孔。(在一些实现方案中,能够利用热力学效应来使沉积于孔外侧的可流动的电介质材料蒸发,而孔中的可流动的材料依然凝聚。)在孔中的选择性的沉积之后,可流动的电介质材料能够物理地和/或化学地转化成固体电介质材料。通过根据各种实现方案的后沉积处理而可能促进转化或可能不促进转化。在一些实现方案中,可流动的电介质膜经过后沉积处理,以物理地或化学地使膜改性。当膜仍然处于可流动的状态时和/或在膜不再处于可流动的状态之后,可以执行这样的操作。
在一些实现方案中,框106涉及将处于气相的前体设在低于其饱和压力的分压下。优先使液体在低于饱和压力的压力下依然凝聚于小的空间中(即,毛细凝聚)使得能于孔中进行选择性的沉积。在一些实施方式中,分压可以逐渐地增大,直到接近材料开始于孔中凝聚为液体或在该压力下可以将前体引入的点为止。而且,在一些实现方案中,可以设置或增大前体的分压,以便能于孔外侧在多孔电介质层的外表面上沉积薄的覆盖层。
框106中的孔密封操作渗透至孔中,而未完全地填充互连孔。就这点而论,该孔密封操作与可以完全地填充所有的互连孔的沉积和刚好将暴露的表面密封,而不渗透至孔中的沉积截然不同。最低限度,可以填充暴露于表面上的孔的开口。另外,还可能存在超出开口的部分渗透。然而,未完全地填充开放的互连孔,因为这会导致显著的k值增大。
将多孔电介质密封指的是防止金属原子扩散或渗透至多孔电介质中。然而,较小的物质(例如,H自由基)可能能够渗透密封的孔。
图2B示出了孔密封操作之后的图2A中所描绘的结构。经蚀刻的多孔电介质层205的部分215包括通过可流动电介质沉积处理而沉积的密封剂材料216。描绘密封的经蚀刻的多孔电介质层205的一部分的横截面的放大的示意图。利用通过可流动电介质沉积处理而沉积的密封剂材料216来将先前对环境开放的孔210密封。取决于经蚀刻的多孔电介质层205的场区是否盖有另一材料(例如,这样的蚀刻停止或硬掩模层),除了密封对凹陷207开放的孔之外,还可以将对场区开放的孔密封。
返回至图1,一旦将孔密封,就可以在框108执行进一步的集成操作。这些操作能够包括阻挡层沉积、化学机械平坦化(CMP)以及例如利用铜的金属化。如在上文中所讨论的,在一些实施例中,阻挡层沉积可能涉及电介质材料上或PVD沉积的非连续层上的CVD和/或ALD沉积。阻挡层的材料的示例包括钽(Ta)、氮化钽(TaN)、氮化钛(TiN)以及Co。如果铜用于填充特征,则可以沉积铜晶种层,以促进电化学镀层处理期间的膜生长。如果使用另一金属,则可使用晶种层或可以不使用晶种层。CMP可以用于形成多孔电介质层中的导电特征的暴露的图案。
图2C示出了在阻挡层213的沉积后,图2B中所描绘的结构。由于被沉积在光滑连续的表面,阻挡层213是连续的,所述表面由密封剂材料216单独形成,或者,如果密封材料216不在第二电介质层205的表面212上形成连续的膜212的话,则所述表面由密封剂材料216与表面212形成。图2D示出了在钴种子层214沉积、铜填充221、CMP以及用电介质顶盖层(例如碳化硅(SiC)层230)封盖之后,图2C中所描绘的结构。
图1的处理可以用附加的多孔电介质材料重复,以达到该装置的金属特征所期望的水平。应当指出的是,上面的描述只是使用可被用来实现所述方法的处理的一个例子。包括通孔优先和沟槽优先工艺的单镶嵌或双镶嵌工艺可以被执行。该方法也基本上适用于任何使用多孔电介质薄膜的半导体处理环境。
图4是处理流程图,它描述了根据某些实施方式密封孔的方法。首先,在402中执行沉积表面的可选的预处理。如上所述,本文所公开的密封工艺可具有选择性的几个方面中的一个或多个。由于可流动电介质材料相比金属表面在电介质表面上具有较短的核延迟,因此预处理工艺可用于一些实施方式中以提高选择性。可使用一或更多的预处理来控制衬底上的表面终止,以增强或阻止随后的可流动的电介质沉积。在一些实现方式中,使用与待密封的多孔电介质材料和暴露的金属表面(如果存在)不同地互相作用的预处理。以这种方式,可流动电介质沉积进入孔的选择性增大。
框402可涉及将衬底暴露于一或更多处理中,所述处理提高在多孔电介质的孔中的沉积和/或阻止在暴露的金属表面上的沉积。预处理可取决于特定的表面,在该特定的表面上可流动电介质材料是待沉积或被防止沉积的。对于在氮化硅和氧化硅材料上的沉积,硅醇(Si-OH)封端的建立可以为可流动氧化物沉积提供良好的润湿性。在一些实现方式中,已经经历k恢复过程以恢复在蚀刻期间被去除的Si-C键的多孔电介质膜,可被预处理以改变被恢复的键中的一些为Si-OH封端。这可以通过吸附-OH封端的自组装单层、与含有-OH的例如蒸气或酒精之类的气体的反应,或用(如从下游等离子体来的)活性氧和氢物质处理来完成。
预处理来创建亲水表面以促进润湿(特别是对于疏水性电介质前体,如含碳电介质前体)已在美国临时专利申请号61/895,676中公开,其通过引用并入本文。在一些实现方式中,该方法涉及将所述多孔电介质暴露于氧化气体,在一些实现方式中,暴露于含氢的氧化气体。然而,对于许多孔密封应用,可能有不应被氧化的暴露的金属表面。因此,虽然氧化处理可以被用在一些实施方式中以增加某些多孔材料的润湿性,但它们可被避免用于比如那些在图2A-2D中描绘的处理,其中金属线201有发生氧化的风险。金属氧化的风险随着较低的温度和较低反应性的预处理而减小。
在一些实现方式中,框402可涉及暴露于还原化学物,如暴露于下列气体或由其产生的等离子体中的一个:氢分子(H2)、与氮(N2)混合的H2、氨(NH3)、联氨(N2H2)和类似物。这样的处理可减少金属氧化物,如可能已经形成在金属上的氧化铜(CuOx),由此使金属表面对可流动电介质沉积较不适宜。
在一些实现方式中,含氮化学物,如含氨或含胺气体或等离子体可以用于形成薄的金属氮化物,其可以防止或最小化可流动氧化物沉积。氮化物层,和任何沉积在上面的可流动氧化物,可以随后通过温和的热处理或使用弱蚀刻或清洁被除去。
更进一步地,预处理可涉及在金属表面形成双官能化合物层,例如自组装单层,该双官能化合物包括二个官能部分,一个键合到该金属表面上,以及一个用来防止可流动电介质沉积。所述层选择性地形成在金属上,使得在所述多孔电介质的孔中的可流动的电介质沉积不会受到影响。
根据各种实施例,预处理操作可涉及暴露于等离子体,如含有氢、氧、氟、氯、氮、氦、氩物质,或这些的组合的等离子体。等离子体可以是下游或原位的,通过远程等离子体发生器产生的,远程等离子体发生器如远程等离子体源、感应耦合等离子体发生器或电容耦合等离子体发生器。在一些实现方式中,预处理可以避免原位等离子体,以避免损坏多孔电介质层。在替代实施方式中,预处理操作涉及将衬底暴露于在非等离子体环境中的预处理化学物。特定的工艺条件可以因为实现方式的不同而不同。在一些这样的实施方式中,衬底可以在来自另一个能量源的能量存在的情况下暴露于预处理化学物,另一个能量源包括热能量源、紫外光源、微波源,等等。在某些实施方式中,除了上述预处理操作或代替上述预处理操作,衬底通过暴露于催化剂、表面活性剂或粘合促进化学制品被预处理。预处理工艺还可以包括暴露于如下面进一步讨论的低-k恢复剂。预处理操作,如果执行,可能会发生在沉积室中,或者可以发生在衬底转移到沉积室之前的另一个腔室中。
接着,在框404,多孔电介质材料的孔被暴露于处理气体,所述处理气体包括电介质前体和通常(尽管不是必须)的共反应物。可流动氧化物膜的沉积,例如,可以涉及将衬底暴露于气态反应物,其包括:电介质前体和氧化剂,使得凝聚的可流动膜形成在间隙中。沉积一般发生在非等离子体的环境下,虽然在某些实施方式中,等离子体增强的环境可以使用。在其他实施方式中,即使孔不直接暴露于等离子体,来自下游等离子体的反应性物质也可以存在。
下面描述根据各种实施例的沉积化学物的示例;然而,方法不限于特定的化学物。在一些沉积氧化硅膜的实施例中,电介质前体是含硅化合物和氧化剂化合物,氧化剂化合物如过氧化氢、臭氧、氧气、水等。在一些实施方式中,氧化剂是一种非羟基形成的氧化剂,例如臭氧或氧。如下面进一步描述的,沉积化学物可以包括催化剂与溶剂中的一种或多种。在一些实施方式中,形成SiCOH膜,使用例如电介质前体,其包括一或多个Si-C键。在一些实施方式中,可流动电介质膜是含硅和氮膜,如氮化硅或氮氧化硅,所述氮氧化硅通过在使得它们反应以形成可流动膜的环境下引入气相反应物至沉积腔室而沉积的。在膜中掺入的氮可以来自一个或多个来源,如含硅和氮前体(例如,三硅烷基胺(TSA)或二硅烷基胺(DSA)),氮前体(例如,氨(NH3)或联氨(N2H4)),或含氮气体(例如N2,NH3,NO,NO2或N2O)。
该处理气体可被同时引入到反应器,或一个或多个部分的气体可先于其他被引入。美国专利申请No.12/566,085,通过引用并入本文,提供了根据某些实施例可使用的反应物气体的顺序的描述。框404通常继续进行直到孔被密封。
如上所述,框404可涉及毛细凝聚机构,其中可流动介质材料优先地沉积在最小的特征,不在多孔电介质或金属的表面上沉积连续的覆盖膜或在多孔电介质或金属的表面上沉积连续的覆盖薄膜之前,允许多孔介质膜的孔至少部分地填充和密封。由于毛细凝聚,即使孔或孔的开口的分压低于饱和蒸气压,可流动处理反应物也可以在孔或孔的开口中凝聚。这是由于毛细管的密闭空间(即,孔)内的蒸气相分子间的范德华相互作用的数量增加。这允许孔在没有表面上的连续的膜沉积的情况下密封。
在一个可流动氧化物的沉积的示例中,整体沉积处理可以在两个步骤中描述:水解和凝聚,后者有时被称为聚合。第一步涉及用氧化剂水解含硅前体。例如,含硅前体的烷氧基团(-OR)可以被替换为羟基团(-OH)。-OH基团和剩余的烷氧基团参与缩合反应,其导致水和醇分子的释放和Si-O-Si连接的形成。
在可流动氧化物沉积机构沉积低-k膜的一个例子中,下述反应可以采用,使烷氧基硅烷电介质前体R’-Si(OR)3反应,其中R’和R是有机配体,在低k膜掺入有机配位体R’来降低电介质常数。首先,它涉及到电介质前体通过水的水解:
R’-Si(OR)3+H2O→R’-Si(OH)3+ROH(副产物)
随后凝聚和聚合反应形成Si-O-Si链:
R’-Si(OH)3+R’-Si(OH)3→R’(OH)xSi-O-Si(OH)xR’+H2O(副产物)
未掺杂的硅玻璃(USG)也可以例如,使用没有Si-C键的前体沉积。
其他反应的示例,以及可能在某些实施方式中采用以沉积硅的氧化物(包括SiCOH膜)的反应机理的示例在美国专利申请No.14/464,196(代理人案卷号:LAMRP109/3408-1US),标题为“LOW-KOXIDEDEPOSITIONBYHYDROLYSISANDCONDENSATION”中给出,该申请同时提交并且通过引用并入本文。
在某些实施方案中,反应物的分压被控制为促进孔的毛细管凝聚和内向外填充。液体凝聚可能会在饱和压力之下、在狭小的空间(如孔)中发生;反应物的分压控制毛细凝聚。在某些实施方式中,反应物的分压设定在饱和蒸气压以下。在一个水解介质中,含硅前体在晶片表面形成类流体膜,由于毛细凝聚和表面张力,其优先沉积在孔中,导致内向外(例如,自下而上)的填充过程。
框404可以或可以不涉及在多孔电介质膜的表面上形成连续膜。在连续膜被允许在多孔电介质膜的表面上形成的实施方式中,它可在一些条件下并在有限的时间完成,使得连续的膜不会在任何暴露的金属表面形成。
选择性沉积后,所沉积的可流动电介质材料是可选处理的。框406。所沉积的膜可以进行处理,以物理上致密化和/或化学上将所沉积的膜转化为所需的电介质材料。如本文所用,术语“使可流动电介质膜致密”指的是已被物理地致密化和/或化学转换以增加其密度或改善其材料的性质的可流动电介质膜。在一些实施例中,物理上使膜致密可涉及使膜热收缩;根据各种实施例,致密可流动电介质膜相比所沉积的电介质膜可以或可以不被收缩。在某些情况下,物理上使膜致密可以涉及替换膜中的化学制品,其可导致更致密、更高容量的膜。在一些实施方式中,膜可在沉积本身期间经受一定程度的致密化。
图5A-5C提供了一种处理的一个实施例的示意图,该处理涉及前文说过的选择性的两个方面:由于孔中的毛细凝聚和在金属表面上的核化延迟,优先沉积。首先转向图5A,经蚀刻的ULK膜505在Cu表面501上。示出了包括孔510的经蚀刻的ULK膜505的放大部分,其具有末端-OH基团。所述经蚀刻的ULK薄膜505可以或可以不经历先前的预处理过程以形成-OH基团;即使没有这样的预处理,ULK薄膜可具有导致很短的核化延迟的大量的-OH封端。
图5B示出在反应物能在孔510中毛细凝聚的条件下暴露到电介质前体和氧化剂后的图5A中的结构。反应条件将在下面进一步讨论,并涉及通常在相对较低的温度,例如-20℃至100℃,适当地控制反应物相对于其饱和蒸气压的分压。在孔510中的毛细凝聚可以是自限性的,当孔510用密封材料516密封时就停止。在这一阶段,可能有不连续的密封层512。由于沉积是优先于发生在孔中的毛细凝聚,因此密封剂材料516在这个阶段不在ULK膜505的表面上或在Cu表面501上形成。在一些实施方式中,该沉积可以在此时停止。可替代地,随着反应物在ULK薄膜505的表面上形成密封剂材料的缩合的可流动膜,沉积可被允许继续进行。这在图5C中被示出。因为在Cu表面501上比在ULK膜505上有一个较长的核化延迟(由于在ULK薄膜上-OH封端的相对富裕),因此存在一个时间窗,其中密封剂材料沉积在ULK膜505表面上,而不沉积在铜表面501。
集成过程的进一步的实例描述如下,在该集成过程中多孔电介质的孔可以根据某些实施方式密封。在金属化之前孔密封的另一个例子中,孔密封操作可被用于锰(Mn)的自形成阻挡方法之前使用。基于Mn的自形成阻挡可通过降低通孔与线电阻被用于进一步互连缩放。通过CVD或ALD进行的保形锰沉积可用于形成小尺寸(<30纳米)的自形成阻挡,而不会引起金属化的空隙。然而,用于保形锰沉积的前体可以渗透到多孔电介质中。在集成过程的一个示例中,采用以下顺序:1)ULK电介质中的双镶嵌图案化,2)选择性电介质沉积,以密封ULK电介质中的孔;3)保形CVD或ALD锰沉积;4)可选的衬垫沉积诸如PVD钽、CVD钴或CVD钌(Ru);5)铜晶种沉积;6)电镀铜以填充双镶嵌结构;7)高温退火以创建硅锰氧化物自形成阻挡;和8)铜CMP。硅锰氧化物膜可以是MnSixOy,其中x是1-3且y是3-5。
在一些实施方式中,孔密封操作可在后CMP表面处理之前进行。例如,后铜CMP表面处理用于减少CuO和提高Cu和盖层之间的界面粘合性以提高电迁移性能。然而,表面处理可通过等离子体处理进行,等离子体处理可破坏多孔ULK材料。如本文所述的孔密封处理可以在铜CMP后执行以密封孔并减少或消除来自等离子体处理的ULK损坏。选择性电介质沉积可以通过选择CVD、ALD或无电沉积处理来改进盖的沉积选择性。例如,钴(Co)或钴钨磷化物(CoWP)盖可以有利地选择性地沉积于金属上,选择性通过孔密封操作的改善。在集成工艺的一个例子中,采用以下顺序:1)ULK电介质中的双镶嵌图案化;2)金属化处理以填补沟槽和通孔;3)后铜CMP;4)选择性电介质沉积以密封ULK电介质中的孔;5)盖沉积之前的等离子体处理;和6)电介质或金属盖沉积。
K-恢复
如上面参照图1所述,在一些实施方式中,可选的k-恢复操作可被执行。根据各种实施方式,k-恢复可以在密封操作之前执行,或者可以是该操作的一部分。k-恢复操作可涉及暴露于k-恢复剂。根据该实施方式,k恢复剂可以是与可流动电介质前体相同或不同的化合物。在一些实施方式中,可流动电介质前体用于形成,例如含碳可流动电介质材料,可以有利地在如上所述的可流动电介质沉积过程之前或在其间用作k-恢复剂。这些可流动电介质前体可能含有甲基化的和/或其他碳氢成分,ULKCDO在集成步骤被通过去除一些碳被“破坏”之后,可添加含碳物质变回ULKCDO。这种前体的例子将在下文讨论沉积化学物中给出。
按照本文描述的方法的处理对于过程诱导损伤的多孔电介质的修复是有效的,该过程诱导损伤例如,由等离子体蚀刻或CMP造成的含碳的低-k电介质(例如,ULKCDO)的打破的Si-CH3键。可应用的含低-k电介质的碳通常具有掺杂有碳的SiO基主链,特别是CDO(例如,那些从八甲基环四硅氧烷(OMCTS)、四甲基环四硅氧烷(TMCTS)、二甲基二甲氧基硅烷(DMDMOS)和二乙氧基甲基硅烷(DEMS)和其它已知的CDO前体中形成的),但也可包括在主链中结合C、Si和O的杂化聚合物。
在一些实施方式中,电介质前体包括Si-CHx基团,其可以修复损坏的多孔电介质表面和近表面区域。在替代的实施方式中,k-恢复可能涉及第一操作以将多孔电介质膜暴露于k恢复剂,所述k恢复剂在孔内凝聚并处理孔的表面,但不会导致显著的密封。应当指出的是,对于一些沉积反应,甲基终止表面的Si-CHx处理不利于可流动电介质沉积。
在一些实施方式中,可能会在密封剂材料沉积(例如,图1中的框106)的时候发生k-恢复,暴露于可流动电介质前体会导致多孔电介质的这些区域的甲硅烷基化。应该不使用不利于流动电介质沉积的基团终止表面的K-恢复剂。例如,如果甲基封端的表面是不利于可流动电介质沉积的,则也可以被用作用于可流动的电介质沉积的电介质前体和/或催化剂的k-恢复剂的示例为氨基硅烷,其包括具有仲胺的氨基硅烷。标题为“LOW-KOXIDEDEPOSITIONBYHYDROLYSISANDCONDENSATION”的美国专利申请No.14/464,196(代理人案卷号:LAMRP109/3408-1US)中提供了这些化合物的例子,该申请同时提交并且通过引用并入本文。
在催化剂或电介质前体被用作k-恢复剂的操作中,衬底可以或可以不在k-恢复期间被暴露于沉积化学物(下面将进一步描述)的其他成分。在k-恢复后密封孔的第二操作随后可被执行。毛细凝聚可被有利地使用于k-恢复以及密封剂沉积,因为它可被用来选择性地在孔中凝聚并与孔反应。在一些实施方式中,k-恢复之后可以接表面处理,其创建Si-OH封端以促进可流动电介质沉积。
在一些实施方式中,k-恢复操作可以包括暴露于紫外线辐射而不暴露于化学k-恢复剂,或除了暴露于化学k-恢复剂还暴露于紫外线辐射。在后一种情况下,暴露于UV辐射可以或可以不被暴露于k-恢复剂中。
沉积化学
为了形成氧化硅,处理气体反应物通常包括含硅化合物和氧化剂,并且也可以包括催化剂、溶剂(和/或其它表面活性剂)和其它添加剂。这些气体还可以包括一种或多种掺杂剂前体,例如,含碳气体、含氮气体、含氟气体、含磷气体和/或含硼气体。虽然不一定,但有时存在惰性载气。在某些实施方式中,使用液体注入***引入这些气体。在某些实施方式中,经由独立的入口引入含硅化合物和氧化剂,或者刚好在将含硅化合物和氧化剂引入混合碗和/或喷头中的反应器中之前将它们混合。可以将催化剂和/或任选的掺杂剂掺入到反应物之一中、使催化剂和/或任选的掺杂剂与反应物之一预混合或者将催化剂和/或任选的掺杂剂作为单独的反应物引入。然后将衬底暴露于处理气体,例如,图1的方块106。如以下进一步讨论的,反应器中的条件使得含硅化合物和氧化剂反应以在该衬底上形成凝聚的可流动的膜。膜的形成可以通过催化剂的存在得以辅助。
在某些实施方式中,含硅前体是烷氧基硅烷。可以使用的烷氧基硅烷包括,但不限于,以下通式所表示的物质:
HX-Si-(OR)y,其中x=0-3,x+y=4,并且R是经取代的或未经取代的烷基;
R’X-Si-(OR)y,其中x=0-3,x+y=4,R是经取代的或未经取代的烷基,并且R’是经取代的或未经取代的烷基、烷氧基或烷氧基烷烃基;以及
HX(RO)ySi-Si-(OR)yHX,其中x=0-2,x+y=3,并且R是经取代的或未经取代的烷基。
含硅前体的实例包括,但不限于,烷氧基硅烷,例如,四氧甲基环四硅氧烷(TOMCTS)、八甲基环四硅氧烷(OMCTS)、四乙氧基硅烷(TEOS)、三乙氧基硅烷(TES)、三甲氧基硅烷(TriMOS)、甲基三乙氧基原硅酸盐(MTEOS)、四甲基原硅酸盐(TMOS)、甲基三甲氧基硅烷(MTMOS)、二甲基二甲氧基硅烷(DMDMOS)、二乙氧基硅烷(DES)、二甲氧基硅烷(DMOS)、三苯基乙氧基硅烷、1-(三乙氧基甲硅烷基)-2-(二乙氧基甲基甲硅烷基)乙烷、三叔丁氧基硅烷醇、六甲氧基二硅烷(HMODS)、六乙氧基二硅烷(HEODS)、四异氰酸酯硅烷(TICS)、双叔丁基氨基硅烷(BTBAS)、氢倍半硅氧烷、叔丁氧基二硅烷、T8-笼形氢基倍半硅氧烷(T8-hydridospherosiloxane)、八氢POSSTM(多面体低聚倍半硅氧烷)和1,2-二甲氧基-1,1,2,2-四甲基二硅烷。含硅前体的其它实例包括,但不限于,硅烷(SiH4)、乙硅烷、丙硅烷、六硅烷、环己硅烷、以及烷基硅烷,例如,甲基硅烷和乙基硅烷。
在某些实施方式中,或者除了另一种前体(例如,作为掺杂剂)之外还使用碳掺杂的硅前体,或者单独使用碳掺杂的硅前体。碳掺杂的前体可包括至少一个Si-C键。可以使用的碳掺杂的前体包括,但不限于,以下通式所表示的物质:
R’X-Si-Ry,其中x=0-3,x+y=4,R是经取代的或未经取代的烷基,并且R’是经取代的或经未取代的烷基、烷氧基或烷氧基烷烃基;以及
SiHXR’y-RZ,其中x=1-3,y=0-2,x+y+z=4,R是经取代的或未经取代的烷基,并且R’是经取代的或经未取代的烷基、烷氧基或烷氧基烷烃基。
上面给出了碳掺杂的前体的实例,进一步的实例包括,但不限于,三甲基硅烷(3MS)、四甲基硅烷(4MS)、二乙氧基甲基硅烷(DEMS)、二甲基二甲氧基硅烷(DMDMOS)、甲基-三乙氧基硅烷(MTES)、甲基-三甲氧基硅烷、甲基-二乙氧基硅烷、甲基-二甲氧基硅烷、三甲氧基甲基硅烷(TMOMS)、二甲氧基甲基硅烷、以及双(三甲基甲硅烷基)碳化二亚胺。
在某些实施方式中,使用氨基硅烷前体。氨基硅烷前体包括,但不限于,以下通式所表示的物质:
HX-Si-(NR)y,其中x=0-3,x+y=4以及R是有机氢化物基团。
上面给出了氨基硅烷前体的实例,进一步的实例包括,但不限于,双叔丁基氨基硅烷(BTBAS)或三(二甲基氨基)硅烷。
可以根据多孔电介质膜的孔径定制前体的大小:它应该足够小以适合孔,但又足够大以不会在多孔电介质内渗透太深。
合适的氧化剂的实例包括,但不限于,臭氧(O3),包括过氧化氢(H2O2)的过氧化物,氧(O2),水(H2O),诸如甲醇、乙醇和异丙醇之类的醇类,一氧化氮(NO),二氧化氮(NO2),一氧化二氮(N2O),一氧化碳(CO)和二氧化碳(CO2)。在某些实施方式中,远程等离子体发生器可以提供活化的氧化剂物种。
在某些实施方式中,使过氧化物与诸如烷基硅烷之类的含硅前体反应,以形成包括含碳硅烷醇的可流动的膜。在其它实施方式中,可以使用含Si-C或Si-N的电介质前体作为主要的电介质前体或作为掺杂剂前体以将碳或氮引入到通过如上所述的水解和缩合反应形成的凝胶中。例如,可以用甲基-三乙氧基硅烷(CH3Si(OCH2)3)掺杂三乙氧基硅烷以将碳引入所沉积的膜中。更进一步,在某些实施方式中,所沉积的膜是氮化硅膜,其主要包括Si-N键与N-H键。
在某些实施方式中,可流动的电介质膜可以是含硅和氮的膜,例如,氮化硅或氮氧化硅。可以通过引入气相反应物至沉积室在使得它们反应以形成可流动的膜的条件下来沉积含硅和氮的膜。气相反应物可以包括由等离子体产生的物质。这样的等离子体可以远程产生或在沉积室中产生。在膜中掺入的氮可以来自一种或多种源,例如,含硅和氮的前体(例如,三甲硅烷基胺(TSA)或二甲硅烷基胺(DSA))、氮前体(例如,氨(NH3)或肼(N2H4)),或供应到等离子体的含氮气体(N2、NH3、NO、NO2、N2O)。沉积后,可以对可流动的电介质膜进行处理以完成以下操作中的一种或多种:所沉积的膜的化学转化和稠化。化学转化可以包括除去部分或所有的氮成分,将Si(ON)x膜转化成主要为SiO网状物。化学转化也可以包括除去膜中的-H、-OH、-CH和–NH物质中的一种或多种。可以将这样的膜暴露于如以下进一步描述的后沉积处理。在某些实施方式中,处理后这样的膜可以主要为SiN;或可以被氧化而形成SiO网状物或SiON网状物。后沉积转化处理可以除去氮和/或胺基团。后沉积处理可以包括暴露于热能、化学能、等离子体、紫外线、红外线或微波能。
可以引入一种或多种掺杂剂前体、催化剂、抑制剂、缓冲剂、表面活性剂、溶剂和其它化合物。在某些实施方式中,使用质子供体催化剂。质子供体催化剂的实例包括:1)酸类,其包括硝酸、氢氟酸、磷酸、硫酸、盐酸和溴酸;2)羧酸衍生物类,其包括R-COOH和R-C(=O)X,其中R是经取代的或未经取代的烷基、芳基、乙酰基或苯酚以及X是卤素,并且R-COOC-R是羧酸酐类;3)SixXyHz,其中x=1-2,y=1-3,z=1-3以及X是卤素;4)RxSi-Xy,其中x=1-3和y=1-3,R是烷基、烷氧基、烷氧基烷烃、芳基、乙酰基或苯酚,并且X是卤素;以及5)氨和衍生物,其包括氢氧化铵、肼、羟胺、和R-NH2,其中R是经取代的或未经取代的烷基、芳基、乙酰基、或苯酚。
除了上面给出的催化剂的实例,可以使用的含卤素的化合物包括卤代的分子,其包括卤代的有机分子,例如,二氯硅烷(SiCl2H2)、三氯硅烷(SiCl3H)、甲基氯代硅烷(SiCH3ClH2)、氯代三乙氧基硅烷、氯代三甲氧基硅烷、氯甲基二乙氧基硅烷、氯甲基二甲氧基硅烷、乙烯基三氯硅烷、二乙氧基二氯硅烷和六氯二硅氧烷。可以使用的酸类可以是无机酸类,例如,盐酸(HCl)、硫酸(H2SO4)、和磷酸(H3PO4);有机酸类,例如,甲酸(HCOOH)、乙酸(CH3COOH)和三氟乙酸(CF3COOH)。可以使用的碱类包括氨(NH3)或氢氧化铵(NH4OH)、磷化氢(PH3);以及其它含氮或含磷的有机化合物。催化剂的其它实例是氯二乙氧基硅烷、甲磺酸(CH3SO3H)、三氟甲磺酸(“三氟甲烷磺酸”,CF3SO3H)、氯二甲氧基硅烷、吡啶、乙酰氯、氯乙酸(CH2ClCO2H)、二氯乙酸(CHCl2CO2H)、三氯乙酸(CCl3CO2H)、草酸(HO2CCO2H)、苯甲酸(C6H5CO2H)、以及三乙胺。
根据不同的实施方式,可以同时引入或以特定的次序引入催化剂和其它反应物。例如,在一些实施方式中,在沉积处理的开始,可以将酸性化合物引入到反应器中以催化水解反应,然后在接近水解步骤结束时将碱性化合物引入以抑制水解反应并催化缩合反应。在沉积处理过程中,可以通过正常转移或通过快速转移或“膨化”引入酸类或碱类以迅速催化或抑制水解或缩合反应。可以在沉积处理过程中的任何时间通过膨化调整并改变PH,并且不同处理时序和次序可以得到具有不同应用所需要的性质的不同的膜。上面给出了催化剂的一些实例。其它催化剂的实例包括盐酸(HCl)、氢氟酸(HF)、乙酸、三氟乙酸、甲酸、二氯硅烷、三氯硅烷、甲基三氯硅烷、乙基三氯硅烷、三甲氧基氯硅烷和三乙氧基氯硅烷。美国专利No.8,278,224中描述了可以采用的快速转移的方法,其通过引用并入本文。
除了上述的催化剂,在一些实现方式中,可以使用配制用于BEOL处理应用的催化剂。这样的催化剂在名称为“通过水解和缩合的低k氧化物沉积”的美国专利申请No.14/464,196(代理案卷号:LAMRP109/3408-1US)中公开,特此同时提交并通过引用并入本文。含卤素的催化剂可以与暴露于衬底的某些区域的金属化层反应-特别是,氯化物和溴化物可能更易于与金属化层反应。在一个实例中,氟化物在氧化状态或环境中会腐蚀铜,所以用于填充衬底上的间隙或孔的含氟硅前体可引起衬底的区域降级。衬底上这些电性能的降级可能最终导致微电子器件的失效。此外,卤素阴离子可以保留在沉积的膜中并离开低k电介质层进入集成结构的其它部分,导致在集成过程中的腐蚀、较长的处理时间和进一步的处理步骤。卤素阴离子也会导致电介质上的移动电荷,这降低了电介质的电绝缘性能。
在一些实施方式中,可以使用无卤素的酸催化剂,其实例包括1)酸类,其包括硝酸、磷酸、硫酸;和2)羧酸衍生物,其包括R-COOH,其中R是经取代的或未经取代的烷基、芳基、乙酰基或苯酚,以及R-COOC-R是羧酸酐。
此外,在一些实现方式中,可以使用包括氨基硅烷的自催化硅烷电介质前体。可以使用的氨基硅烷包括,但不限于,以下通式所表示的物质:(1)Hx-Si-(NR)y,其中x=0-3,x+y=4以及R是有机氢化物基团。自催化电介质前体的其它实例在名称为“通过水解和缩合的低k氧化物沉积”的美国专利申请No.14/464,196(代理案卷号:LAMRP109/3408-1US)中提供,特此同时提交并通过引用并入本文。
可以使用表面活性剂以缓解表面张力并提高在衬底表面上的反应物的润湿。表面活性剂也可以提高电介质前体与其它反应物的混溶性,尤其是当在液相中浓缩时。表面活性剂的实例包括溶剂类、醇类、乙二醇和聚乙二醇。不同表面活性剂可用于碳掺杂的硅前体,因为该含碳部分往往使得前体更疏水。
溶剂可以是非极性溶剂或极性溶剂以及可以是质子溶剂或非质子溶剂。可以使溶剂与电介质前体的选择相匹配以提高在氧化剂中的混溶性。非极性溶剂包括烷烃和烯烃;极性非质子溶剂包括丙酮和乙酸盐;以及极性质子溶剂包括醇类和羧酸化合物。
可以引入的溶剂的实例包括醇类,例如,异丙醇、乙醇和甲醇,或其它化合物,例如,与反应物混溶的醚类、羰基化合物、腈类。溶剂是可选的,并且在某些实施方式中可以单独地或与氧化剂或其它处理气体一起引入。溶剂的实例包括,但不限于,甲醇、乙醇、异丙醇、丙酮、二***、乙腈、二甲基甲酰胺和二甲亚砜、四氢呋喃(THF)、二氯甲烷、己烷、苯、甲苯、异庚烷和二***。在某些实施方式中,可以在其它反应物之前通过膨化或正常转移引入溶剂。在一些实施方式中,尤其是在前体和氧化剂具有低混溶性的情况下,可以通过将溶剂膨化入反应器中引入溶剂以促进水解。
虽然不一定,但有时存在惰性载气。例如,氮气、氦气和/或氩气可以与上述化合物中的一种一起引入室。
如上所示,在引入剩余的反应物之前,可以单独或者与一种或多种其它反应物一起引入任何反应物(含硅前体、氧化剂或其它共反应物、溶剂、催化剂等)。此外,在某些实施方式中,在剩余反应物流已经关闭之后,可以使一种或多种反应物继续流入反应室。在某些实施方式中,在引入用于多孔电介质的低k恢复的其它反应物之前,可以引入也用于k恢复的含硅前体或催化剂。
反应条件可以是这样的,其使含硅化合物和共反应物进行缩合反应,从而在衬底表面上缩合以形成可流动的膜。在某些实施方式中,反应发生在暗的或非等离子体条件下。在其它实施方式中,反应发生在等离子体的存在下,该等离子体或者远程产生或者在沉积室中产生。在美国专利申请No.12/334,726中描述了通过等离子体增强化学气相沉积(PECVD)反应沉积用于间隙填充的可流动的膜的方法,该申请通过引用并入本文。
室压强可以介于约1托和200托之间,在某些实施方式中,室压强介于10托和75托之间。在一个具体的实施方式中,腔室压强为约10托。
处理气体组分的分压可以表征为组分的蒸气压并且范围如下,Pp为反应物的分压以及Pvp为反应物在反应温度下的蒸气压。
前体分压比(Pp/Pvp)=0.01-1,例如,0.01-0.5
氧化剂分压比(Pp/Pvp)=0.25-2,例如,0.5-1
溶剂分压比(Pp/Pvp)=0-1,例如,0.1-1
在某些实施方式中,处理气体的特征在于:前体分压比为0.01和0.5,氧化剂分压比介于0.5和1之间,以及溶剂(如果存在的话)分压比介于0.1和1之间。在相同或其它实施方式中,处理气体的特征在于:
氧化剂:前体分压比(Ppoxidant/Ppprecursor)=0.2-30,例如,5-15
溶剂:氧化剂分压比(Ppsolvent/Ppoxidant)=0-30,例如,0.1-5
在某些实施方式中,处理气体的特征在于,氧化剂:前体分压比介于约5和15之间,并且溶剂:氧化剂分压比介于约0.1和5之间。
在某些实施方式中,衬底温度介于约-20℃和100℃之间。在某些实施方式中,温度介于约-20℃和30℃之间,例如,介于-10℃和10℃之间。可以改变压强和温度以调整沉积时间;高压和低温通常有利于快速沉积。高温和低压将导致较慢的沉积时间。因此,增大温度可能涉及增大压强。在一个实施方式中,温度为约5℃以及压强为约10托。暴露时间取决于反应条件以及孔径。根据不同的实施方式,沉积速率为约100埃/分钟至1微米/分钟。在这些条件下将衬底暴露于反应物持续足够长的时间以在孔中沉积可流动的膜。在某些实施方式中,沉积时间为0.1-5秒。
缩合的量通过反应物相对于它们的饱和蒸气压(其在给定的沉积温度下是恒定的)的分压来控制。填充速率对关键尺寸的依赖性可以通过改变分压来调节。以这种方式,可以调整选择性,提高仅在孔中的沉积的能力或另有所希望的能力。这在显示分压-关键尺寸沉积曲线的图6中得以定性地说明。在电介质前体的分压足够低时,在任何大小的特征中没有缩合或沉积。随着分压的增大,电介质前体在小特征中缩合,随着分压的增大,在逐渐较大的特征尺寸发生沉积。因此,例如,为了防止在ULK膜的20nm的经蚀刻的沟槽中沉积同时允许在ULK膜的孔中沉积,需要使电介质前体的分压维持在曲线的交叉阴影部分内。
在一些实现方式中,可以使得连续的膜沉积在多孔电介质膜的表面上。例如,这示意性地示于图5C中。这样,控制分压以使得膜在关键尺寸的沟槽中形成。根据不同的实施方式,分压可以在适当的水平保持不变或在孔中沉积后升高。在一些实现方式中,可以引入诸如硅烷偶联剂之类的终止剂以终止表面并停止反应。实例包括(二甲氨基)三甲基硅烷,其可甲基终止表面并停止反应。
后沉积处理
如上所述,参照图4的框406,在一些实现方式中,可以对可流动的电介质膜进行后沉积处理。在一些实现方式中,在膜仍然处于可流动的反应性状态时将膜暴露于等离子体物质。如在美国临时专利申请No.61/895,883中所讨论的,暴露于例如从具有主要组分为氢气(H2)、氦气(He)、氮气(N2)或氩气(Ar)的处理气体产生的等离子体。在这种情况下,等离子体暴露通常将与沉积在基本上相同的温度下发生,使得膜不会发生热活化固化。如果该膜仍然处于可流动的状态,则这样的等离子体暴露可以有效地使孔中的可流动的膜致密化。在一些实施方式中,等离子体暴露能够有效地驱动较接近完成的整体沉积反应以形成可流动的膜。
附加或者代替在膜处于热反应状态时暴露该膜,图4的框406可以包括后沉积固化,该后沉积固化可以在比沉积温度高得多的温度下进行。这样的固化可以进一步交联,并除去诸如-OH和-H基团之类的末端基团,进一步提高膜的密度和硬度。固化也可以使膜收缩,具体取决于膜组合物。
该膜可以通过纯粹热退火、暴露于下游等离子体或直接等离子体、暴露于紫外线或微波辐射或暴露于另一种能源固化。热退火温度可以是300℃或更高(取决于所允许的热预算)。处理可以在惰性环境(Ar、He等)中或在可能的反应环境中进行。可以使用氧化环境(利用O2、N2O、O3、H2O、H2O2、NO、NO2、CO、CO2等),但在某些情况下,应避免使用含氮化合物以防止氮掺入膜中。在其它实施方式中,可以使用氮化环境(利用N2、N2O、NH3、NO、NO2等)并可以掺入一定量的氮至膜中。在一些实施方式中,使用氧化环境和氮化环境的混合。可以使用含碳化学物以将一定量的碳掺入至所沉积的膜中。根据不同的实施方式,致密膜的组成取决于所沉积的膜组成和处理化学过程。例如,在某些实施方式中,使用氧化等离子体固化将Si(OH)x所沉积的凝胶转化成SiO网状物。在其它实施方式中,使Si(OH)x所沉积的凝胶转化成SiON网状物。在其它实施方式中,使Si(NH)x所沉积的凝胶转化成SiON网状物。
在某些实施方式中,通过使膜暴露于或者远程或者直接(电感性的或电容性的)等离子体而使膜固化。这可能导致可流动的膜转化为致密的固体膜的自上而下的转化。等离子体可以是惰性的或反应性的。惰性等离子体的实例是氦气等离子体和氩气等离子体;氧化等离子体(用于举例,根据需要除去碳)的实例是氧气等离子体和蒸气等离子体。也可以使用含氢等离子体。含氢等离子体的实例是从氢气(H2)和诸如惰性气体之类的稀释剂的混合物产生的等离子体。等离子体暴露期间的温度通常为约25℃或更高。在某些实施方式中,氧气等离子体或含氧等离子体用于除去碳。在一些实施方式中,等离子体暴露期间的温度可以更低,例如,-15℃至25℃。
在固化期间的温度范围可以为0-600℃,其中温度范围的上限是由在具体的处理阶段的热预算决定的。在某些实施方式中,温度范围为约200℃-550℃。压强可以为0.1-10托,其中高的氧化剂压强用于除去碳。
也可以使用其它退火处理来使膜固化和收缩,包括快速热处理(RTP)。如果使用非原位方法,则可以采用较高的温度和其它能源。非原位处理包括在诸如N2、O2、H2O、Ar和He之类的环境中高温退火(700-1000℃)。在某些实施方式中,例如,在紫外线热处理(UVTP)过程中,非原位处理包括使膜暴露于紫外线辐射。例如,可以使用100℃的温度,或更高(例如,100℃-400℃)的温度与UV暴露一起来固化膜。也可以使用其他闪光固化处理,包括RTP或激光退火。
试验
实施例1
在硅(Si)衬底内的25纳米的CD沟槽(25纳米开口)中以及在覆盖(blanket)Si衬底上沉积一种可流动氧化物膜。可流动氧化物沉积涉及在含有氯化物的催化剂(氯-甲基二乙氧基硅烷)的存在下,使甲基三乙氧基硅烷与水/IPA溶液反应。在0℃和45Torr,H2O:IPA:前体的流量比为19:27:1的条件下进行沉积。在不同的沉积时间测量在沟槽内和在覆盖衬底上的膜厚度,如下表中所示:
沉积时间 在25nm沟槽中的厚度 在覆盖Si上的厚度
5秒 0 0
10秒 20nm 1.4nm
25秒 225nm(完全填充) 10.3nm
上述结果证明相对于覆盖膜,优先填充间隔小的沟槽。这种效果可用于本文所公开的方法以与在沟槽上沉积相比优先在孔内沉积。
实施例2
通过等离子体蚀刻和清洁,破坏多孔ULK衬底,然后进行类似于图2A-2D中所示的集成方案,在沉积氮化钽(TaN)扩散阻挡层、沉积ALD钴盖层并且随后退火之后,进行可流动低k孔密封处理。可流动氧化物沉积涉及在含有氯化物的催化剂(氯-甲基二乙氧基硅烷)的存在下,使甲基三乙氧基硅烷与水/IPA溶液反应。在0℃和45Torr,H2O:IPA:前体的流量比为19:27:1的条件下进行沉积。
实施例3
执行背面SIMS分析,以确定Co穿过氮化钽的扩散阻挡层的扩散性与以任意单位(a.u.)表示的低k可流动氧化物孔密封厚度的函数关系,结果示于图7中。对照(0a.u.)示出了Co向下扩散通过约50%的ULK厚度。对于可流动的低k孔密封的***1,该深度被减少到约22%。相比于***1,对于***2,其中,让沉积反应进行一段较长的时间,Co扩散被减少到约6%,从而有效地刚好将Co抑制到ULK表面的顶部。这表明,孔密封处理防止金属ALD前体扩散到多孔ULK中。
实施例4
对于三种处理A、B和C,在关键尺寸(CD)不同的沟槽中沉积可流动氧化物。仅改变室压(并因此改变反应物的分压);沉积时间对于所有的处理是恒定的。可流动氧化物沉积涉及在含有氯化物的催化剂(氯-甲基二乙氧基硅烷)的存在下,使甲基三乙氧基硅烷与水/IPA溶液反应。对于处理A、B和C,总压为分别如下:20、30和40Torr。
下表显示各种CD和处理的填充高度,选择比按照10纳米特征填充高度与60纳米的填充高度的比率计算。这些结果表明,特征中的填充的选择比可以根据CD进行控制。
实施例5
在不同表面上存在含有氯化物的催化剂(氯-甲基二乙氧基硅烷)的情况下使甲基三乙氧基硅烷与水/IPA溶液反应从而沉积可流动氧化物膜,不同表面包括硅衬底、两种类型的ULK膜、Cu层和Co层。图7B示出了结果,这些结果表明,相比于金属膜,沉积对ULK膜更具选择性。
装置
在此公开的方法可以通过宽范围的模块来执行。这些方法可以通过被配备用于沉积电介质膜的任何装置实施,这些装置包括HDP-CVD反应器、PECVD反应器、亚大气压CVD反应器、配备用于CVD反应的任何室、以及用于PDL(脉冲沉积层)的室。
这种装置可采取许多不同的形式。通常,该装置将包括一个或多个模块,每个模块包括室或反应器(有时还包括多个站),该室或反应器容纳一个或多个晶片,并适合用于晶片处理。每个室可以容纳用于处理的一个或多个晶片。所述一个或多个室将晶片保持在确定的一个位置或多个位置(在该位置有或没有例如旋转、振动或其它搅动等运动)。在处理时,由基座、晶片卡盘和/或其它晶片保持装置将每个晶片保持在合适位置。对于将加热晶片的某些操作,该装置可包括加热器,例如加热板。
在执行时,可以与可流动电介质沉积在相同或不同的模块中进行预处理。图8A示出了示例性工具配置1060,工具配置1060包括晶片传送***1095和装载锁1090、可流动的沉积模块1070、以及预沉积处理模块1080。也可包括其他模块,如后沉积处理模块、和/或一个或多个附加的沉积模块1070或固化模块1080。
可用于预处理或固化的模块包括:SPEED或SPEEDMax、INOVA反应预清洁模块(RPM)、AltusExtremeFill(EFx)模块、VectorExtremePre-treatmentModule((用于等离子体、紫外线或红外线预处理或固化)、SOLA(用于UV预处理或固化)、以及Vector或VectorExtreme模块。这些模块可与可流动沉积模块一样连接到相同的主链。此外,这些模块中的任何一些可以在不同的主链上。***控制器可以被连接到工具中的任何或所有的部件;它的位置和连接可基于特定实施方案而变化。***控制器的例子在下文参照图9进行描述。
图8B示出了用于可流动电介质沉积的沉积室的实施例。沉积室800(也称为反应器,或反应器室)包括室外壳802、顶板804、裙部806、喷头808、基座柱824和密封件826,以提供用于可流动的电介质沉积的密封容积。晶片810通过卡盘812和绝缘环814支持。卡盘812包括射频电极816和电阻加热器元件818。由基座820支持卡盘812和绝缘环814,基座820包括台板822和基座柱824。基座柱824穿过密封件826以与基座驱动器连接(未示出)。基座柱824包括台板冷却剂线路828和基座吹扫线路830。喷头808包括共反应物充气腔832和前体充气腔834,共反应物充气腔832和前体充气腔834分别由共反应物气体线路836和前体气体线路838进给。可以加热到达区840内的喷头808之前的共反应物气体线路836和前体气体线路838。尽管本文中描述了双流充气腔,但可以用单流充气腔来引导气体进入室。例如,反应物可以被提供给喷头,并且可以在被引入到反应器之前在单个充气腔内混合。820'和820指的是基座,但是是在降低(820)和升高(820')的位置。
室配备有气体输送***,或连接到气体输送***,该气体输送***用于输送反应物到反应器室800。气体输送***可以供给一种或多种共反应物给室810,一种或多种共反应物如氧化剂,包括水、氧、臭氧、过氧化物、醇等,它们可以单独或与惰性载气混合供给。气体输送***也可供给一种或多种电介质前体给室,一种或多种电介质前体例如三乙氧基硅烷(TES),其可以单独或与惰性载气混合供给。气体输送***也被配置为输送一种或多种处理试剂,用于如在本文描述的反应器清洁中的等离子体处理。例如,可输送氢气、氩气、氮气、氧气或其它气体,用于等离子体处理。
沉积室800用作其中可进行可流动电介质沉积的密封环境。在许多实施方式中,沉积室800设有径向对称的内部。从径向对称内部减少或消除偏离有助于确保反应物的流以径向平衡的方式在晶片810上存在。径向不对称造成对反应物流的干扰可能会导致在晶片810的某些区域比在其它区域有更多或更少的沉积,这在晶片均匀性上可能产生不期望的变化。
沉积室800包括若干主要部件。在结构上,沉积室800可以包括室外壳802和顶板804。顶板804被构造成连接到室外壳802上并提供室外壳802和气体分配歧管/喷头、电极、或其他模块设备之间的密封界面。根据工艺的特定设备的需求,不同顶板804可与相同的室外壳802一起使用。
室外壳802和顶板804可以由铝(如6061-T6)制成,但也可以使用其它材料,包括其它牌号的铝、氧化铝和其他非铝材料。使用铝使得加工和处理容易,可以使铝的热传导性能提高。
顶板804可以配备有电阻加热覆盖物以保持顶板804在所需温度下。例如,顶板804可以配备有被配置为保持顶板804在介于-20℃和100℃之间的温度下的电阻加热覆盖物。可除了使用电阻加热覆盖物外还使用本替代热源或使用作为替代电阻加热覆盖物的替代热源,如通过顶板804循环加热的液体或用电阻加热器盒供给顶板804。
室外壳802可以配备有被配置为保持室外壳802在期望的温度下的电阻加热器盒。也可以使用其他的温度控制***,如通过在室壁中的孔的循环加热流体。在可流动的电介质沉积过程中,室的内壁的温度可以受控以达到介于-20℃和100℃的温度。在一些实施方案中,顶板804可以不包括加热元件,并且可以反而依靠来自室电阻加热器盒的热量的热传导来维持所需的温度。各种实施方式可以被配置为温度控制室内壁和上面不希望有沉积的其他表面(如基座、裙部、和喷头)达到比目标沉积工艺温度高约10℃至40℃的温度。在一些实施方案中,这些部件可以被保持在高于此范围的温度。
通过主动加热和维持处理期间沉积室800的温度,内部反应器壁可以被保持在相对于晶片810所保持的温度升高的温度。在可流动膜的沉积过程中,相对于晶片温度升高内部反应器壁的温度可以最小化沉积室800的内壁上的反应物的凝聚。如果沉积室800的内壁上的反应物发生凝聚,则凝聚物可以在内壁上形成沉积层,这是不希望的。
附加于或替代加热室外壳802和/或顶板804,疏水涂层可被施加到沉积室800的湿润表面和具有湿润表面的其它部件的部分或全部上以防止凝聚,具有湿润表面的其它部件如基座820、绝缘环814或台板822。这样的疏水涂层可耐受处理化学物和处理温度范围,例如,-20℃至100℃的处理温度范围。一些硅氧烷基和碳氟基的疏水性涂层,如聚乙烯,可能与氧化(例如,等离子体)的环境不兼容,可能不适合使用。可以使用具有超疏水性的纳米技术基涂层;这种涂层可以是超薄的,并且其除了疏水性还可以具有疏油性质,这可以允许在可流动膜的沉积中使用该涂层以防止凝聚以及许多反应物的沉积。合适的超疏水涂层的实例是二氧化钛(TiO2)。
沉积室800还可以包括可用于将等离子处理气体引入沉积室800的远程等离子体源端口。例如,可以提供远程等离子体源端口作为将处理气体导入反应区域而不要求将处理气体通过喷头808输送的装置。在一些实施方式中,远程等离子体物质可通过喷头808输送。
在等离子体处理的情况下,可以采用直接等离子体或远程等离子体。在前者的情况下,处理气体可通过喷头输送。喷头808可以包括在处理过程中可将喷头温度保持在可接受的工艺参数内的加热器元件或热传导路径。如果要采用直接等离子体,喷头808也可以包括用于在反应区域内产生等离子体环境的RF电极。基座820还可以包括用于在反应区域内产生等离子体环境的RF电极。这种等离子体环境可使用供电电极和接地电极之间的电容耦合而产生;供电电极,它可与等离子体发生器相连,可对应于在喷头808中的RF电极。接地电极可对应于基座RF电极。替代的配置也是可行的。电极可以被配置为产生在13.56MHz范围,27MHz范围,或更一般地,介于50kHz和60MHz之间的RF能量。在一些实施方式中,可以提供多个电极,每个都配置为产生特定频率范围的RF能量。在其中喷头808包括供电RF电极的多个实施方式中,卡盘812可包括或充当接地RF电极。例如,卡盘812可以是接地的铝板,由于铝相对于其它材料(如陶瓷)有更高的导热率,这可能会导致在整个基座-卡盘-晶片界面增强的冷却。
图9是适合于实施本文所公开的方法的装置900的另一例子的示意图。在本实施例中,装置900可被用于可流动电介质的沉积和原位或远程等离子体预处理或后处理。装置900包括处理室918和远程等离子体发生器906。处理室918包括基座920、喷头914、控制***922和如下所述的其它部件。在图9的实施例中,装置900还包括RF发生器916,但在一些实施方式中可不存在RF发生器。
可以将诸如H2、Ar、N2之类处理试剂从各种处理试剂源(如源902)供给至远程等离子体产生器906。处理试剂源可以是含有一种试剂或试剂混合物的储存罐。此外,可以使用大范围试剂源的设施。处理试剂混合物然后可通过连接管线908流入处理室918,其中混合物通过喷头914分配以处理基座920上的晶片或其它衬底。
室918可包括传感器924,传感器924用于感测各种材料和它们各自的浓度、压力、温度、和其它工艺参数并将处理期间反应器状态的信息提供给***控制器922。处理期间可用于监测的室传感器的实例包括质量流量控制器、压力传感器(例如压力计)、和设在基座中的热电偶。传感器924还可包括红外检测器或光学检测器以监测在室中存在的气体。从反应器918经由出口926去除挥发性副产物和其它多余的气体,出口926可包括真空泵和阀。
在某些实施方式中,***控制器922用于控制处理条件沉积和/或预处理或后处理。***控制器922通常会包括一个或多个存储装置和一个或多个处理器。处理器可以包括CPU或计算机、模拟和/或数字输入/输出连接、步进电机控制板等。通常会有与***控制器922相关联的用户界面。用户界面可以包括显示屏、设备和/或处理条件的图像软件显示器以及用户输入设备,例如,指向设备、键盘、触屏、麦克风等。
在某些实施方式中,***控制器922也可以控制工艺期间的所有活动,包括气流速率、室压、发电机的工艺参数。***控制器922执行包括指令集的***控制软件,指令集用于控制计时、气体的混合、室压、基座(和衬底)温度、和特定工艺的其他参数。***控制器也可以通过调节输送***中的阀门、液体输送控制器和MFC以及限流阀和排出线来控制室中各种工艺气体的浓度。***控制器执行包括指令集的***控制软件,指令集用于控制计时、气体和液体的流率、室压、衬底温度、以及特定工艺的其它参数。在一些实施方式中可以实施存储在与控制器相关联的存储设备上的其他计算机程序。在某些实施方式中,***控制器控制转移衬底到设备的多个组件中以及转到这些组件外。
用于控制工艺步骤的过程的计算机程序代码可以编写成任何常规的计算机可读的编程语言,例如,汇编语言、C、C++、Pascal、Fortran等。由处理器执行编译后的目标代码或脚本以执行程序中指定的任务。***软件可以被设计或配置成许多不同的方式。例如,可以编写多种室部件的子程序或控制对象以控制进行所述工艺所需的室部件的操作。用于该目的的程序或程序段的实例包括工艺气体控制代码和压强控制代码。
控制参数涉及工艺状态,例如每个操作的时序、室内的压强、衬底温度和工艺气体流率。这些参数通过配方的形式提供给使用者,并且可以利用用户界面来输入。用于监测过程的信号可以通过***控制器的模拟和/或数字输入连接来提供。用于控制工艺的信号可以通过设备的模拟和数字输出连接输出。
在一些实现方式中,控制器是***的一部分,该***可以是上述实例的一部分。这种***可以包括半导体加工设备,包括一个或多个加工工具、一个或多个室、用于加工的一个或多个平台和/或具体的加工组件(晶片基座、气流***等)。这些***可以与用于控制它们在加工半导体晶片或衬底之前、期间和之后的操作的电子器件一体化。电子器件可以称为“控制器”,该控制器可以控制一个或多个***的各种元件或子部件。根据处理要求和/或***的类型,控制器可以被编程以控制本文公开的任何工艺,包括控制工艺气体输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、射频(RF)发生器设置、RF匹配电路设置、频率设置、流速设置、流体输送设置、位置及操作设置、晶片转移进出工具和其他转移工具和/或与具体***连接或通过接口连接的装载锁。
宽泛地讲,控制器可以定义为接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的具有各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置的形式(或程序文件)通信到控制器、定义用于在半导体晶片或***上或针对半导体晶片或***执行特定过程的操作参数的指令。在一些实施方式中,操作参数可以是由工艺工程师定义的用于在制备晶片的一个或多个层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯期间完成一个或多个加工步骤的配方(recipe)的一部分。
在一些实现方式中,控制器可以是与***集成、耦接或者说是通过网络连接***、或它们的组合的计算机的一部分或者与该计算机耦接。例如,控制器可以在“云端”或者fab主机***的全部或一部分,它们可以允许远程访问晶片加工。计算机可以启用对***的远程访问以监测制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,改变当前加工的参数,设置加工步骤以跟随当前的加工或者开始新的工艺。在一些实例中,远程计算机(例如,服务器)可以通过网络给***提供工艺配方,网络可以包括本地网络或互联网。远程计算机可以包括允许输入或编程参数和/或设置的用户界面,该用户界面然后从远程计算机通信到***。在一些实例中,控制器接收数据形式的指令,该指令指明在一个或多个操作期间将要执行的每个加工步骤的参数。应当理解,参数可以针对将要执行的工艺类型以及工具类型,控制器被配置成连接或控制该工具类型。因此,如上所述,控制器可以例如通过包括一个或多个分立的控制器而分布,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的工艺和控制)工作。用于这些目的的分布式控制器的实例可以是与结合以控制室内工艺的一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室内的一个或多个集成电路。
不希望限制,示例的***可以包括等离子体蚀刻室或模块、沉积室或模块、旋转清洗室或模块、金属电镀室或模块、清洁室或模块、倒角蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其他的半导体加工***。
如上所述,根据工具将要执行的一个或多个工艺步骤,控制器可以与一个或多个其他的工具电路或模块、其他工具组件、组合工具、其他工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者将晶片的容器搬运到半导体制造工厂中的工具位置和/或装载口以及从工具位置和/或装载口搬运晶片的容器的材料搬运中使用的工具。
本文公开的方法和设备也可以在包括光刻法的***和/或用于半导体制造的图案化硬件中实施。另外,本文公开的方法可以在利用光刻法的工艺和/或在本文公开的方法之前或之后的图案化工艺中实施。上述设备/过程可以与光刻图案化工具或过程结合使用,例如,用于制备或制造半导体器件、显示器、LED、光伏电池板等。通常,虽然不是必要地,这些工具/过程将在共同的制造设施中一起使用或操作。膜的光刻图案化通常包括以下步骤中的一些或所有,每个步骤启用多个可行的工具:(1)使用旋涂或喷涂工具在工件,即,衬底上涂覆光致抗蚀剂;(2)使用热板或加热炉或紫外线固化工具固化光致抗蚀剂;(3)使用例如晶片步进曝光机之类的工具使光致抗蚀剂暴露于可见光或紫外线或X射线;(4)使抗蚀剂显影以便选择性地去除抗蚀剂并且从而使用例如湿式清洗台之类的工具将其图案化;(5)通过使用干法或等离子体辅助蚀刻工具将抗蚀剂图案转移到下方的膜或工件上;并且(6)使用例如射频或微波等离子体抗蚀剂剥离器之类的工具去除抗蚀剂。
虽然为了清楚理解的目的上文已经描述了一些细节,但是将显而易见的是在所附权利要求书的范围内可以进行某些变化和修改。应该指出的是,存在实施本文公开的方法、***和设备的许多替代方式。因此,本文的实施方式应当被理解为说明性的而不是限制性的,并且本发明应当不限于本文中给出的细节。

Claims (22)

1.一种密封具有外表面和开口通向所述外表面的孔的多孔电介质层中的孔的方法,其包括:
引入气相电介质前体到处理室中,其中所述电介质前体的分压在所述电介质前体的饱和压以下,从而在所述多孔电介质层的所述孔的至少所述开口中选择性地沉积可流动电介质膜。
2.根据权利要求1所述的方法,其中所述多孔电介质层包括形成在其中的凹陷区域,使得所述外表面包括所述凹陷区域的表面,其中从所述凹陷区域表面凹陷的孔通过所沉积的所述可流动电介质膜密封。
3.根据权利要求2所述的方法,其中在所述凹陷区域没有实质性沉积的情况下执行所述可流动电介质膜的所述沉积。
4.根据权利要求1所述的方法,其进一步包括将氧化剂引入所述处理室中。
5.根据权利要求1所述的方法,其中所述可流动电介质膜是通过所述孔中的毛细凝聚沉积的。
6.根据权利要求1所述的方法,其还包括执行所述可流动电介质膜的沉积后处理。
7.根据权利要求1所述的方法,其中所述可流动电介质膜是掺杂的或未掺杂的氧化硅、碳掺杂的氧化硅、氧氮化硅、或氮化硅材料。
8.根据权利要求2所述的方法,其还包括在所述凹陷区域表面上形成含金属层。
9.根据权利要求8所述的方法,其中所述含金属层是氧化锰硅。
10.根据权利要求2所述的方法,其还包括用金属填充所述凹陷区域。
11.根据权利要求1所述的方法,其中在所述处理室内的温度为介于约-20℃和100℃之间。
12.根据权利要求2所述的方法,其中所述凹陷区域的底部包括暴露的金属、金属氮化物或金属氧化物表面。
13.根据权利要求12所述的方法,其中在所述金属、金属氮化物或金属氧化物表面上没有沉积的情况下,执行所述可流动电介质膜的沉积。
14.根据权利要求1所述的方法,其进一步包括使所述多孔电介质层暴露于所述电介质前体,从而替换所述多孔电介质层中的键。
15.根据权利要求1所述的方法,其中所述电介质前体是烷氧基硅烷。
16.根据权利要求1所述的方法,其中所述电介质前体是烷基硅烷。
17.根据权利要求1所述的方法,其中所述电介质前体是氨基硅烷。
18.根据权利要求1所述的方法,其进一步包括将非卤化催化剂引入所述处理室中。
19.根据权利要求1所述的方法,其中所述可流动电介质膜是碳掺杂的可流动电介质膜。
20.根据权利要求1所述的方法,其还包括在所述孔中选择性沉积后并在金属覆盖沉积前执行等离子体处理。
21.一种方法,其包括:
提供多孔的层间电介质(ILD)层,在所述多孔的层间电介质(ILD)层中形成有暴露金属表面的沟槽、通孔或其它凹陷区域;
引入在气相电介质前体的饱和压以下的所述气相电介质前体以在所述多孔ILD层的孔的至少所述开口中选择性地凝聚所述电介质前体;
在所述沟槽中形成含金属的阻挡层;以及
用导电材料填充所述沟槽。
22.一种装置,其包括:
可流动电介质沉积室,该可流动电介质沉积室被配置成在设置在该可流动电介质沉积室内的工件上沉积可流动电介质膜;以及控制器,该控制器包括用于以下操作的指令:
保持工件温度在介于-20℃和100℃之间;
将电介质前体和共反应物引入所述沉积室持续5秒或5秒以下的时间段,从而在所述工件的孔中选择性地沉积可流动电介质膜。
CN201510516169.7A 2014-08-20 2015-08-20 用于选择性超低k孔密封的可流动电介质 Pending CN105390437A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/464,071 2014-08-20
US14/464,071 US10049921B2 (en) 2014-08-20 2014-08-20 Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor

Publications (1)

Publication Number Publication Date
CN105390437A true CN105390437A (zh) 2016-03-09

Family

ID=55348897

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201510516169.7A Pending CN105390437A (zh) 2014-08-20 2015-08-20 用于选择性超低k孔密封的可流动电介质

Country Status (4)

Country Link
US (1) US10049921B2 (zh)
KR (1) KR20160022788A (zh)
CN (1) CN105390437A (zh)
TW (1) TW201623669A (zh)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107452671A (zh) * 2016-05-05 2017-12-08 朗姆研究公司 使用电感耦合高密度等离子体进行介电膜的致密化
CN107644835A (zh) * 2016-07-22 2018-01-30 朗姆研究公司 用于增强自底向上特征填充的原子层蚀刻
CN108504996A (zh) * 2017-02-28 2018-09-07 东京毅力科创株式会社 成膜方法和等离子体处理装置
CN112204715A (zh) * 2018-06-06 2021-01-08 东京毅力科创株式会社 使用原子层沉积法在基片上形成薄膜的方法或装置
US11069535B2 (en) 2015-08-07 2021-07-20 Lam Research Corporation Atomic layer etch of tungsten for enhanced tungsten deposition fill
US11239094B2 (en) 2016-12-19 2022-02-01 Lam Research Corporation Designer atomic layer etching
US11450513B2 (en) 2018-03-30 2022-09-20 Lam Research Corporation Atomic layer etching and smoothing of refractory metals and other high surface binding energy materials

Families Citing this family (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
EP2824699A1 (en) * 2013-07-08 2015-01-14 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Providing a chip die with electrically conductive elements
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9502255B2 (en) 2014-10-17 2016-11-22 Lam Research Corporation Low-k damage repair and pore sealing agents with photosensitive end groups
EP3067439B1 (en) * 2015-03-13 2018-05-09 IMEC vzw Electroless metal deposition on a Mn or MnNx barrier
US9793108B2 (en) * 2015-06-25 2017-10-17 Applied Material, Inc. Interconnect integration for sidewall pore seal and via cleanliness
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US9916977B2 (en) 2015-11-16 2018-03-13 Lam Research Corporation Low k dielectric deposition via UV driven photopolymerization
US9837309B2 (en) 2015-11-19 2017-12-05 International Business Machines Corporation Semiconductor via structure with lower electrical resistance
CN109075021B (zh) 2016-03-03 2023-09-05 应用材料公司 利用间歇性空气-水暴露的改良自组装单层阻挡
US11066747B2 (en) * 2016-04-25 2021-07-20 Applied Materials, Inc. Chemical delivery chamber for self-assembled monolayer processes
US10358715B2 (en) 2016-06-03 2019-07-23 Applied Materials, Inc. Integrated cluster tool for selective area deposition
KR101894904B1 (ko) * 2016-06-10 2018-09-04 한국과학기술원 다공성 절연물질 표면의 열린 기공 실링 방법
US11515149B2 (en) * 2016-07-19 2022-11-29 Applied Materials, Inc. Deposition of flowable silicon-containing films
US9824982B1 (en) 2016-08-09 2017-11-21 International Business Machines Corporation Structure and fabrication method for enhanced mechanical strength crack stop
US9716063B1 (en) * 2016-08-17 2017-07-25 International Business Machines Corporation Cobalt top layer advanced metallization for interconnects
US10115670B2 (en) 2016-08-17 2018-10-30 International Business Machines Corporation Formation of advanced interconnects including set of metal conductor structures in patterned dielectric layer
US9859215B1 (en) 2016-08-17 2018-01-02 International Business Machines Corporation Formation of advanced interconnects
US9941212B2 (en) 2016-08-17 2018-04-10 International Business Machines Corporation Nitridized ruthenium layer for formation of cobalt interconnects
US9852990B1 (en) 2016-08-17 2017-12-26 International Business Machines Corporation Cobalt first layer advanced metallization for interconnects
US10062561B2 (en) 2016-11-01 2018-08-28 Applied Materials, Inc. High-pressure annealing and reducing wet etch rates
US10867843B2 (en) * 2016-12-05 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for fabrication semiconductor device
TWI767964B (zh) * 2016-12-16 2022-06-21 日商東京威力科創股份有限公司 後段介電質蝕刻用之選擇性沉積方法
JP6742287B2 (ja) * 2017-02-28 2020-08-19 東京エレクトロン株式会社 半導体製造方法及びプラズマ処理装置
US10504741B2 (en) * 2017-02-28 2019-12-10 Tokyo Electron Limited Semiconductor manufacturing method and plasma processing apparatus
US10103056B2 (en) * 2017-03-08 2018-10-16 Lam Research Corporation Methods for wet metal seed deposition for bottom up gapfill of features
JP6836959B2 (ja) * 2017-05-16 2021-03-03 東京エレクトロン株式会社 プラズマ処理装置、処理システム、及び、多孔質膜をエッチングする方法
US10157833B1 (en) 2017-05-23 2018-12-18 Globalfoundries Inc. Via and skip via structures
US10777452B2 (en) * 2017-09-14 2020-09-15 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnection structure having top and bottom vias with a barrier layer therebetween and a dielectric spacer at the bottom via
JP6972939B2 (ja) 2017-11-07 2021-11-24 東京エレクトロン株式会社 基板処理装置、半導体装置の製造方法、及び記憶媒体
US20190362965A1 (en) * 2018-05-24 2019-11-28 Applied Materials, Inc. Methods of patterning a wafer substrate
US20190390341A1 (en) 2018-06-26 2019-12-26 Lam Research Corporation Deposition tool and method for depositing metal oxide films on organic materials
US11075113B2 (en) * 2018-06-29 2021-07-27 Taiwan Semiconductor Manufacturing Co., Ltd. Metal capping layer and methods thereof
US10699944B2 (en) * 2018-09-28 2020-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. Surface modification layer for conductive feature formation
US11024535B2 (en) * 2018-10-10 2021-06-01 Tokyo Electron Limited Method for filling recessed features in semiconductor devices with a low-resistivity metal
JP7267015B2 (ja) * 2019-01-09 2023-05-01 東京エレクトロン株式会社 基板処理方法および基板処理装置
US11257694B2 (en) * 2020-02-04 2022-02-22 Nanya Technology Corporation Semiconductor device having hybrid bonding interface, method of manufacturing the semiconductor device, and method of manufacturing semiconductor device assembly
US11837618B1 (en) 2020-08-21 2023-12-05 Samsung Electronics Co., Ltd. Image sensor including a protective layer
KR20220026627A (ko) 2020-08-25 2022-03-07 삼성전자주식회사 반도체 소자 및 그의 제조 방법
US20220122923A1 (en) * 2020-10-16 2022-04-21 Applied Materials, Inc. Methods and materials for enhanced barrier performance and reduced via resistance
CN116941027A (zh) 2021-02-08 2023-10-24 麦克德米德乐思公司 用于扩散阻挡层形成的方法和湿式化学组成

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050020074A1 (en) * 2003-07-25 2005-01-27 Grant Kloster Sealing porous dielectrics with silane coupling reagents
CN1815709A (zh) * 2005-02-01 2006-08-09 台湾积体电路制造股份有限公司 半导体元件及其制造方法
US20110020955A1 (en) * 2007-12-19 2011-01-27 Deyoung James Vapor phase repair and pore sealing of low-k dielectric materials

Family Cites Families (201)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1483144A (en) 1975-04-07 1977-08-17 British Petroleum Co Protective films
JPS618945A (ja) 1984-06-25 1986-01-16 Nec Corp 半導体集積回路装置
US5221556A (en) 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
ATE94782T1 (de) 1987-12-21 1993-10-15 Union Carbide Corp Verwendung von superkritischen fluessigkeiten als verduenner beim aufspruehen von ueberzuegen.
CA2009518C (en) 1990-02-07 2000-10-17 Luc Ouellet Spin-on glass processing technique for the fabrication of semiconductor device
US5225366A (en) 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5932289A (en) 1991-05-28 1999-08-03 Trikon Technologies Limited Method for filling substrate recesses using pressure and heat treatment
US5387546A (en) 1992-06-22 1995-02-07 Canon Sales Co., Inc. Method for manufacturing a semiconductor device
US5874367A (en) 1992-07-04 1999-02-23 Trikon Technologies Limited Method of treating a semi-conductor wafer
KR100238629B1 (ko) 1992-12-17 2000-01-15 히가시 데쓰로 정전척을 가지는 재치대 및 이것을 이용한 플라즈마 처리장치
JP3165938B2 (ja) 1993-06-24 2001-05-14 東京エレクトロン株式会社 ガス処理装置
CA2131668C (en) 1993-12-23 1999-03-02 Carol Galli Isolation structure using liquid phase oxide deposition
US5858880A (en) 1994-05-14 1999-01-12 Trikon Equipment Limited Method of treating a semi-conductor wafer
US5534731A (en) 1994-10-28 1996-07-09 Advanced Micro Devices, Incorporated Layered low dielectric constant technology
JPH08153784A (ja) 1994-11-28 1996-06-11 Nec Corp 半導体装置の製造方法
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5960300A (en) 1994-12-20 1999-09-28 Matsushita Electric Industrial Co., Ltd. Method of manufacturing semiconductor device
JPH08279495A (ja) 1995-02-07 1996-10-22 Seiko Epson Corp プラズマ処理装置及びその方法
US5796074A (en) 1995-11-28 1998-08-18 Applied Materials, Inc. Wafer heater assembly
US5747381A (en) 1996-02-12 1998-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Technique for the removal of residual spin-on-glass (SOG) after full SOG etchback
US6001183A (en) 1996-06-10 1999-12-14 Emcore Corporation Wafer carriers for epitaxial growth processes
KR0183886B1 (ko) 1996-06-17 1999-04-15 김광호 반도체장치의 트렌치 소자분리 방법
US5775808A (en) 1996-06-19 1998-07-07 Applied Materials, Inc. Apparatus for real-time, in situ measurement of temperature and a method of fabricating and using same
US6170428B1 (en) 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US5807785A (en) 1996-08-02 1998-09-15 Applied Materials, Inc. Low dielectric constant silicon dioxide sandwich layer
KR100440233B1 (ko) 1996-08-24 2004-07-15 트리콘 이큅먼츠 리미티드 반도체 기판 처리방법
US5879574A (en) 1996-11-13 1999-03-09 Applied Materials, Inc. Systems and methods for detecting end of chamber clean in a thermal (non-plasma) process
FR2756663B1 (fr) 1996-12-04 1999-02-26 Berenguer Marc Procede de traitement d'un substrat semi-conducteur comprenant une etape de traitement de surface
US5911833A (en) 1997-01-15 1999-06-15 Lam Research Corporation Method of in-situ cleaning of a chuck within a plasma chamber
US6114224A (en) 1997-01-21 2000-09-05 Advanced Micro Devices System and method for using N2 O plasma treatment to eliminate defects at an interface between a stop layer and an integral layered dielectric
US6035101A (en) 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
US6077764A (en) 1997-04-21 2000-06-20 Applied Materials, Inc. Process for depositing high deposition rate halogen-doped silicon oxide layer
US5903428A (en) 1997-09-25 1999-05-11 Applied Materials, Inc. Hybrid Johnsen-Rahbek electrostatic chuck having highly resistive mesas separating the chuck from a wafer supported thereupon and method of fabricating same
US5866945A (en) 1997-10-16 1999-02-02 Advanced Micro Devices Borderless vias with HSQ gap filled patterned metal layers
TW354417B (en) 1997-10-18 1999-03-11 United Microelectronics Corp A method for forming a planarized dielectric layer
US6086679A (en) 1997-10-24 2000-07-11 Quester Technology, Inc. Deposition systems and processes for transport polymerization and chemical vapor deposition
US5970383A (en) 1997-12-17 1999-10-19 Advanced Micro Devices Method of manufacturing a semiconductor device with improved control of deposition layer thickness
GB9801359D0 (en) 1998-01-23 1998-03-18 Poulton Limited Methods and apparatus for treating a substrate
GB9801655D0 (en) 1998-01-28 1998-03-25 Trikon Equip Ltd Method and apparatus for treating a substrate
US6287990B1 (en) 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6413583B1 (en) 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6593247B1 (en) 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6218268B1 (en) 1998-05-05 2001-04-17 Applied Materials, Inc. Two-step borophosphosilicate glass deposition process and related devices and apparatus
JP3530021B2 (ja) 1998-05-25 2004-05-24 株式会社日立製作所 真空処理装置及びその処理台
TW405223B (en) 1998-07-28 2000-09-11 United Microelectronics Corp Method for avoiding the poisoning at the trench of the dual damascene structure and the dielectric hole
US6316052B1 (en) 1998-08-19 2001-11-13 Anelva Corporation Method for the surface treatment of vacuum materials and surface treated vacuum
US6383951B1 (en) 1998-09-03 2002-05-07 Micron Technology, Inc. Low dielectric constant material for integrated circuit fabrication
US6251759B1 (en) 1998-10-03 2001-06-26 Applied Materials, Inc. Method and apparatus for depositing material upon a semiconductor wafer using a transition chamber of a multiple chamber semiconductor wafer processing system
US6245690B1 (en) 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6583638B2 (en) 1999-01-26 2003-06-24 Trio-Tech International Temperature-controlled semiconductor wafer chuck system
JP2002538604A (ja) 1999-02-26 2002-11-12 トリコン ホールディングス リミティド ポリマー層の処理方法
CN1149984C (zh) 1999-06-21 2004-05-19 健一制药株式会社 用于静脉注射的含有普鲁泊福的麻醉组合物
GB2354528B (en) 1999-09-25 2004-03-10 Trikon Holdings Ltd Delivery of liquid precursors to semiconductor processing reactors
US6114259A (en) 1999-07-27 2000-09-05 Lsi Logic Corporation Process for treating exposed surfaces of a low dielectric constant carbon doped silicon oxide dielectric material to protect the material from damage
EP1077274A1 (en) 1999-08-17 2001-02-21 Applied Materials, Inc. Lid cooling mechanism and method for optimized deposition of low-k dielectric using tri methylsilane-ozone based processes
EP1077479A1 (en) 1999-08-17 2001-02-21 Applied Materials, Inc. Post-deposition treatment to enchance properties of Si-O-C low K film
US6300219B1 (en) 1999-08-30 2001-10-09 Micron Technology, Inc. Method of forming trench isolation regions
US6740853B1 (en) 1999-09-29 2004-05-25 Tokyo Electron Limited Multi-zone resistance heater
TW439194B (en) 2000-01-24 2001-06-07 United Microelectronics Corp Manufacturing method of shallow trench isolation region
US6776875B2 (en) 2000-02-28 2004-08-17 Applied Materials Inc. Semiconductor substrate support assembly having lobed o-rings therein
EP1139404A1 (en) 2000-03-31 2001-10-04 Applied Materials, Inc. Low thermal budget solution for PMD application using SACVD layer
US6309933B1 (en) 2000-06-05 2001-10-30 Chartered Semiconductor Manufacturing Ltd. Method of fabricating T-shaped recessed polysilicon gate transistors
KR20010111058A (ko) 2000-06-09 2001-12-15 조셉 제이. 스위니 전체 영역 온도 제어 정전기 척 및 그 제조방법
JP2004505443A (ja) 2000-07-10 2004-02-19 テンプトロニック コーポレイション 交互配置された加熱および冷却要素と交換可能な上面アセンブリと硬膜層表面とをもつ熱プレートを有するウェーハチャック
JP4654544B2 (ja) 2000-07-12 2011-03-23 日産化学工業株式会社 リソグラフィー用ギャップフィル材形成組成物
US6323123B1 (en) 2000-09-06 2001-11-27 United Microelectronics Corp. Low-K dual damascene integration process
US6439244B1 (en) 2000-10-13 2002-08-27 Promos Technologies, Inc. Pedestal design for a sputter clean chamber to improve aluminum gap filling ability
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
US6576564B2 (en) 2000-12-07 2003-06-10 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
KR100354442B1 (ko) 2000-12-11 2002-09-28 삼성전자 주식회사 반도체 장치의 스핀 온 글래스 절연막 형성 방법
US6800173B2 (en) 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
JP4644943B2 (ja) 2001-01-23 2011-03-09 東京エレクトロン株式会社 処理装置
US6858195B2 (en) 2001-02-23 2005-02-22 Lsi Logic Corporation Process for forming a low dielectric constant fluorine and carbon-containing silicon oxide dielectric material
JP5000842B2 (ja) 2001-03-02 2012-08-15 東京エレクトロン株式会社 サセプタの駆動温度制御のための方法並びに装置
US7001778B2 (en) 2001-03-09 2006-02-21 Symetrix Corporation Method of making layered superlattice material with improved microstructure
US6902947B2 (en) 2001-05-07 2005-06-07 Applied Materials, Inc. Integrated method for release and passivation of MEMS structures
US6828162B1 (en) 2001-06-28 2004-12-07 Advanced Micro Devices, Inc. System and method for active control of BPSG deposition
WO2003021642A2 (en) 2001-08-31 2003-03-13 Applied Materials, Inc. Method and apparatus for processing a wafer
US6620745B2 (en) 2001-10-19 2003-09-16 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming a blocking layer
AU2002343029A1 (en) 2001-11-16 2003-06-10 Trikon Holdings Limited Forming low k dielectric layers
US20030121898A1 (en) 2001-11-26 2003-07-03 Tom Kane Heated vacuum support apparatus
AU2003215357A1 (en) 2002-02-22 2003-09-09 Terrasimco Inc. Bladder-based apparatus and method for dispensing coatings
TW538461B (en) 2002-02-26 2003-06-21 Silicon Integrated Sys Corp Device for depositing an insulating layer in a trench
DE10211544C1 (de) 2002-03-15 2003-11-27 Infineon Technologies Ag Verfahren zur Herstellung einer Kondensatorelektrode eines Grabenkondensators aus flüssiger Phase
WO2003083167A1 (en) 2002-03-28 2003-10-09 President And Fellows Of Harvard College Vapor deposition of silicon dioxide nanolaminates
US6743736B2 (en) 2002-04-11 2004-06-01 Micron Technology, Inc. Reactive gaseous deposition precursor feed apparatus
US6908862B2 (en) 2002-05-03 2005-06-21 Applied Materials, Inc. HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features
US7056560B2 (en) 2002-05-08 2006-06-06 Applies Materials Inc. Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD)
US6909300B2 (en) 2002-05-09 2005-06-21 Taiwan Semiconductor Manufacturing Co., Ltd Method for fabricating microelectronic fabrication electrical test apparatus electrical probe tip having pointed tips
US20060014384A1 (en) 2002-06-05 2006-01-19 Jong-Cheol Lee Method of forming a layer and forming a capacitor of a semiconductor device having the same layer
US6812135B2 (en) 2002-10-30 2004-11-02 Taiwan Semiconductor Manufacturing Company, Ltd Adhesion enhancement between CVD dielectric and spin-on low-k silicate films
WO2004061851A2 (en) 2002-12-19 2004-07-22 Matrix Semiconductor, Inc An improved method for making high-density nonvolatile memory
US7205248B2 (en) 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
KR20040074348A (ko) 2003-02-17 2004-08-25 삼성전자주식회사 박막 형성 방법 및 이를 이용한 트렌치 소자 분리막의형성 방법
US7091453B2 (en) 2003-02-27 2006-08-15 Dainippon Screen Mfg. Co., Ltd. Heat treatment apparatus by means of light irradiation
JP2004292636A (ja) 2003-03-27 2004-10-21 Shin Etsu Chem Co Ltd 多孔質膜形成用組成物、多孔質膜の製造方法、多孔質膜、層間絶縁膜、及び半導体装置
TW200505966A (en) 2003-04-02 2005-02-16 Dow Global Technologies Inc Organosilicate resin formulation for use in microelectronic devices
US7238604B2 (en) 2003-04-24 2007-07-03 Intel Corporation Forming thin hard mask over air gap or porous dielectric
US6693050B1 (en) 2003-05-06 2004-02-17 Applied Materials Inc. Gapfill process using a combination of spin-on-glass deposition and chemical vapor deposition techniques
US20040229452A1 (en) 2003-05-15 2004-11-18 Johnston Steven W. Densifying a relatively porous material
US7074727B2 (en) 2003-07-09 2006-07-11 Taiwan Semiconductor Manufacturing Company, Ltd. Process for improving dielectric properties in low-k organosilicate dielectric material
KR100513801B1 (ko) 2003-07-24 2005-09-13 주식회사 하이닉스반도체 갭필을 위한 유동성 절연막을 구비하는 반도체 소자의제조 방법
US7192891B2 (en) 2003-08-01 2007-03-20 Samsung Electronics, Co., Ltd. Method for forming a silicon oxide layer using spin-on glass
US7250370B2 (en) 2003-09-19 2007-07-31 Taiwan Semiconductor Manufacturing Company, Ltd. Two step post-deposition treatment of ILD layer for a lower dielectric constant and improved mechanical properties
KR100673884B1 (ko) 2003-09-22 2007-01-25 주식회사 하이닉스반도체 습식 세정에 의한 어택을 방지할 수 있는 반도체 장치제조 방법
KR100645458B1 (ko) 2003-10-02 2006-11-13 주식회사 하이닉스반도체 습식 세정에 의한 어택을 방지할 수 있는 반도체 장치제조 방법
KR100571658B1 (ko) 2003-11-21 2006-04-17 주식회사 하이닉스반도체 반도체소자 제조 방법
US20050136684A1 (en) 2003-12-23 2005-06-23 Applied Materials, Inc. Gap-fill techniques
US8007591B2 (en) 2004-01-30 2011-08-30 Tokyo Electron Limited Substrate holder having a fluid gap and method of fabricating the substrate holder
US20050191863A1 (en) 2004-02-05 2005-09-01 Olmer Leonard J. Semiconductor device contamination reduction in a fluorinated oxide deposition process
JP2005260040A (ja) 2004-02-12 2005-09-22 Sony Corp ドーピング方法、半導体装置の製造方法および電子応用装置の製造方法
US20050229849A1 (en) 2004-02-13 2005-10-20 Applied Materials, Inc. High productivity plasma processing chamber
JP2005229075A (ja) 2004-02-16 2005-08-25 Tokyo Electron Ltd 積層膜の改質方法及び積層膜
US9257302B1 (en) 2004-03-25 2016-02-09 Novellus Systems, Inc. CVD flowable gap fill
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US7074690B1 (en) 2004-03-25 2006-07-11 Novellus Systems, Inc. Selective gap-fill process
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US7697260B2 (en) 2004-03-31 2010-04-13 Applied Materials, Inc. Detachable electrostatic chuck
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US7504727B2 (en) * 2004-05-14 2009-03-17 International Business Machines Corporation Semiconductor interconnect structure utilizing a porous dielectric material as an etch stop layer between adjacent non-porous dielectric materials
US7033945B2 (en) 2004-06-01 2006-04-25 Applied Materials Gap filling with a composite layer
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
US7153783B2 (en) 2004-07-07 2006-12-26 Honeywell International Inc. Materials with enhanced properties for shallow trench isolation/premetal dielectric applications
KR100546161B1 (ko) 2004-07-13 2006-01-24 주식회사 하이닉스반도체 반도체 소자의 소자 분리막 제조 방법
US7670436B2 (en) 2004-11-03 2010-03-02 Applied Materials, Inc. Support ring assembly
WO2006058034A2 (en) 2004-11-22 2006-06-01 Intermolecular, Inc. Molecular self-assembly in substrate processing
US7271112B1 (en) 2004-12-30 2007-09-18 Novellus Systems, Inc. Methods for forming high density, conformal, silica nanolaminate films via pulsed deposition layer in structures of confined geometry
US7476602B2 (en) * 2005-01-31 2009-01-13 Texas Instruments Incorporated N2 based plasma treatment for enhanced sidewall smoothing and pore sealing porous low-k dielectric films
US7202564B2 (en) 2005-02-16 2007-04-10 International Business Machines Corporation Advanced low dielectric constant organosilicon plasma chemical vapor deposition films
US7211525B1 (en) 2005-03-16 2007-05-01 Novellus Systems, Inc. Hydrogen treatment enhanced gap fill
US8598044B2 (en) 2005-03-25 2013-12-03 Renesas Electronics Corporation Method of fabricating a semiconductor device
US7585704B2 (en) 2005-04-01 2009-09-08 International Business Machines Corporation Method of producing highly strained PECVD silicon nitride thin films at low temperature
US7575633B2 (en) 2005-05-17 2009-08-18 Nordson Corporation Fluid dispenser with positive displacement pump
US8138104B2 (en) 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US8129290B2 (en) 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
US7566655B2 (en) 2005-05-26 2009-07-28 Applied Materials, Inc. Integration process for fabricating stressed transistor structure
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20070054505A1 (en) 2005-09-02 2007-03-08 Antonelli George A PECVD processes for silicon dioxide films
KR100933374B1 (ko) 2006-01-13 2009-12-22 도쿄엘렉트론가부시키가이샤 다공질 막의 성막 방법 및 컴퓨터 판독가능한 기록 매체
JP2007258585A (ja) 2006-03-24 2007-10-04 Tokyo Electron Ltd 基板載置機構および基板処理装置
US8226769B2 (en) 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
US8475625B2 (en) 2006-05-03 2013-07-02 Applied Materials, Inc. Apparatus for etching high aspect ratio features
CN101079391B (zh) 2006-05-26 2012-01-25 中芯国际集成电路制造(上海)有限公司 用于半导体器件的具有高间隙填充能力的方法
WO2007140424A2 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US20070277734A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7790634B2 (en) 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US7948034B2 (en) 2006-06-22 2011-05-24 Suss Microtec Lithography, Gmbh Apparatus and method for semiconductor bonding
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
JP2008028058A (ja) 2006-07-20 2008-02-07 Tokyo Electron Ltd 半導体装置の製造方法、半導体装置の製造装置、半導体装置及び記憶媒体
US7727906B1 (en) 2006-07-26 2010-06-01 Novellus Systems, Inc. H2-based plasma treatment to eliminate within-batch and batch-to-batch etch drift
US20080054466A1 (en) * 2006-08-31 2008-03-06 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing semiconductor device
KR100839529B1 (ko) 2006-09-29 2008-06-19 주식회사 하이닉스반도체 반도체소자의 소자분리막 형성 방법
US7589950B2 (en) 2006-10-13 2009-09-15 Applied Materials, Inc. Detachable electrostatic chuck having sealing assembly
US7888273B1 (en) 2006-11-01 2011-02-15 Novellus Systems, Inc. Density gradient-free gap fill
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US7500397B2 (en) * 2007-02-15 2009-03-10 Air Products And Chemicals, Inc. Activated chemical process for enhancing material properties of dielectric films
US20080274626A1 (en) 2007-05-04 2008-11-06 Frederique Glowacki Method for depositing a high quality silicon dielectric film on a germanium substrate with high quality interface
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
JP4863296B2 (ja) 2007-06-22 2012-01-25 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
KR101284146B1 (ko) 2007-07-19 2013-07-10 삼성전자주식회사 트렌치 소자분리 영역을 갖는 반도체소자 및 그 제조방법
US7745352B2 (en) 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
JP4288309B2 (ja) 2007-09-03 2009-07-01 キヤノンアネルバ株式会社 基板熱処理装置及び基板の熱処理方法
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
JP5014080B2 (ja) 2007-11-19 2012-08-29 コバレントマテリアル株式会社 面状ヒータ
JP2011508436A (ja) 2007-12-21 2011-03-10 アプライド マテリアルズ インコーポレイテッド 基板の温度を制御するための方法及び装置
US8338315B2 (en) 2008-02-26 2012-12-25 Axcelis Technologies, Inc. Processes for curing silicon based low-k dielectric materials
KR100945927B1 (ko) 2008-03-05 2010-03-05 주식회사 하이닉스반도체 반도체 메모리장치의 제조방법
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US20100000684A1 (en) 2008-07-03 2010-01-07 Jong Yong Choi Dry etching apparatus
US7655532B1 (en) 2008-07-25 2010-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. STI film property using SOD post-treatment
US7804130B1 (en) 2008-08-26 2010-09-28 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned V-channel MOSFET
JP5195175B2 (ja) 2008-08-29 2013-05-08 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5172567B2 (ja) 2008-09-25 2013-03-27 株式会社東芝 膜形成用組成物、絶縁膜、半導体装置およびその製造方法
US20100109155A1 (en) 2008-11-05 2010-05-06 Chartered Semiconductor Manufacturing, Ltd. Reliable interconnect integration
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
KR101561862B1 (ko) 2008-12-26 2015-10-21 삼성전자 주식회사 반도체 집적 회로 장치의 제조 방법
JP5225957B2 (ja) 2009-09-17 2013-07-03 東京エレクトロン株式会社 成膜方法および記憶媒体
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
US8329587B2 (en) 2009-10-05 2012-12-11 Applied Materials, Inc. Post-planarization densification
TWI579916B (zh) 2009-12-09 2017-04-21 諾菲勒斯系統公司 整合可流動氧化物及頂蓋氧化物之新穎間隙填充
US7947551B1 (en) 2010-09-28 2011-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a shallow trench isolation structure
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
US8685867B1 (en) 2010-12-09 2014-04-01 Novellus Systems, Inc. Premetal dielectric integration process
US20120149213A1 (en) 2010-12-09 2012-06-14 Lakshminarayana Nittala Bottom up fill in high aspect ratio trenches
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
KR20130131406A (ko) 2010-12-27 2013-12-03 다우 코닝 코포레이션 경화성 실리케이트-실록산 혼합된 매트릭스 멤브레인 조성물
US8664287B2 (en) 2011-05-16 2014-03-04 Eastman Kodah Company Photocuring methods and articles prepared therefrom
US8846536B2 (en) 2012-03-05 2014-09-30 Novellus Systems, Inc. Flowable oxide film with tunable wet etch rate
US8535767B1 (en) 2012-04-18 2013-09-17 Asm Ip Holding B.V. Method for repairing damage of dielectric film by hydrocarbon restoration and hydrocarbon depletion using UV irradiation
TW201403711A (zh) 2012-07-02 2014-01-16 Applied Materials Inc 利用氣相化學暴露之低k介電質損傷修復
US9169556B2 (en) 2012-10-11 2015-10-27 Applied Materials, Inc. Tungsten growth modulation by controlling surface composition
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US20150118863A1 (en) 2013-10-25 2015-04-30 Lam Research Corporation Methods and apparatus for forming flowable dielectric films having low porosity
US9224594B2 (en) 2013-11-18 2015-12-29 Intermolecular, Inc. Surface preparation with remote plasma
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9502255B2 (en) 2014-10-17 2016-11-22 Lam Research Corporation Low-k damage repair and pore sealing agents with photosensitive end groups
US9916977B2 (en) 2015-11-16 2018-03-13 Lam Research Corporation Low k dielectric deposition via UV driven photopolymerization
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050020074A1 (en) * 2003-07-25 2005-01-27 Grant Kloster Sealing porous dielectrics with silane coupling reagents
CN1815709A (zh) * 2005-02-01 2006-08-09 台湾积体电路制造股份有限公司 半导体元件及其制造方法
US20110020955A1 (en) * 2007-12-19 2011-01-27 Deyoung James Vapor phase repair and pore sealing of low-k dielectric materials
CN102089861A (zh) * 2007-12-19 2011-06-08 朗姆研究公司 低k电介质材料的汽相修复和孔密封

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11069535B2 (en) 2015-08-07 2021-07-20 Lam Research Corporation Atomic layer etch of tungsten for enhanced tungsten deposition fill
CN107452671A (zh) * 2016-05-05 2017-12-08 朗姆研究公司 使用电感耦合高密度等离子体进行介电膜的致密化
CN107644835A (zh) * 2016-07-22 2018-01-30 朗姆研究公司 用于增强自底向上特征填充的原子层蚀刻
US11239094B2 (en) 2016-12-19 2022-02-01 Lam Research Corporation Designer atomic layer etching
US11721558B2 (en) 2016-12-19 2023-08-08 Lam Research Corporation Designer atomic layer etching
CN108504996A (zh) * 2017-02-28 2018-09-07 东京毅力科创株式会社 成膜方法和等离子体处理装置
US11699614B2 (en) 2017-02-28 2023-07-11 Tokyo Electron Limited Film deposition method and plasma processing apparatus
US11450513B2 (en) 2018-03-30 2022-09-20 Lam Research Corporation Atomic layer etching and smoothing of refractory metals and other high surface binding energy materials
CN112204715A (zh) * 2018-06-06 2021-01-08 东京毅力科创株式会社 使用原子层沉积法在基片上形成薄膜的方法或装置

Also Published As

Publication number Publication date
KR20160022788A (ko) 2016-03-02
TW201623669A (zh) 2016-07-01
US10049921B2 (en) 2018-08-14
US20160056071A1 (en) 2016-02-25

Similar Documents

Publication Publication Date Title
CN105390437A (zh) 用于选择性超低k孔密封的可流动电介质
KR102572641B1 (ko) 기판 표면들 상에 유동성 유전체 디포지션 처리
US9245739B2 (en) Low-K oxide deposition by hydrolysis and condensation
CN102569165B (zh) 高纵横比沟槽中的颠倒填充
US20150118863A1 (en) Methods and apparatus for forming flowable dielectric films having low porosity
KR102406467B1 (ko) 등급화된 실리콘 카바이드 막 또는 다층 실리콘 카바이드 막의 리모트 플라즈마 기반 증착
US9299559B2 (en) Flowable oxide film with tunable wet etch rate
US8685867B1 (en) Premetal dielectric integration process
CN102652353B (zh) 新颖间隙填充整合
TWI723074B (zh) 紫外光可流動介電質用設備
KR20170066219A (ko) Uv 구동 광중합을 통한 로우 k 유전체 디포지션
KR20120089792A (ko) 고 종횡비 트렌치의 바텀 업 충전
TW202218048A (zh) 減少半導體裝置中的層內電容
KR20160028359A (ko) 가수 분해 및 응결에 의한 저-k 옥사이드 증착
WO2023178273A1 (en) Reducing capacitance in semiconductor devices

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20160309

WD01 Invention patent application deemed withdrawn after publication