TWI389251B - 處理薄膜之方法 - Google Patents

處理薄膜之方法 Download PDF

Info

Publication number
TWI389251B
TWI389251B TW096146616A TW96146616A TWI389251B TW I389251 B TWI389251 B TW I389251B TW 096146616 A TW096146616 A TW 096146616A TW 96146616 A TW96146616 A TW 96146616A TW I389251 B TWI389251 B TW I389251B
Authority
TW
Taiwan
Prior art keywords
precursor
dielectric layer
solid product
forming
reactant
Prior art date
Application number
TW096146616A
Other languages
English (en)
Other versions
TW200843025A (en
Inventor
Nitin K Ingle
Jing Tang
Yi Zheng
Zheng Yuan
Zhenbin Ge
Xinliang Lu
Chien-Teh Kao
Vikash Banthia
Mei Chang
William H Mcclintock
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200843025A publication Critical patent/TW200843025A/zh
Application granted granted Critical
Publication of TWI389251B publication Critical patent/TWI389251B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76232Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials of trenches having a shape other than rectangular or V-shape, e.g. rounded corners, oblique or rounded trench walls
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Element Separation (AREA)
  • Formation Of Insulating Films (AREA)
  • Semiconductor Memories (AREA)

Description

處理薄膜之方法
本發明涉及薄膜處理方法。
自從數十年前引入半導體元件的幾何結構之後,半導體元件的尺寸顯著縮小。現今半導體製造設備一般產生250奈米、180奈米和65奈米特徵尺寸的元件,並且正在研究與實作新的設備以製造具有更小幾何結構的元件。然而,更小的尺寸意味著半導體裝置元件必須更緊密地共同運作,但將增加包括交叉干擾和寄生電容在內等電性干擾的發生機率。
為了降低電性干擾的程度,使用介電絕緣材料來填充位在元件特徵、金屬線與其他特徵之間的間隙、溝槽和其他間隔。間隙的深寬比(aspect ratio)係由間隙的高度或深度對其寬度的比例所定義。這些空間難以使用傳統CVD方法填充。薄膜完全填充此類間隙的能力稱為薄膜的「填隙能例」能力。氧化矽是一種常用來填充位在層間介電質(IMD)、前金屬介電質(PMD)和淺溝渠隔離(STI)應用中之間隙的絕緣膜。此類氧化矽膜常常是指間隙填充膜或間隙填充層。
有些積體電路製造商轉向使用高密度電漿化學氣相沉積系統(HDP-CVD)以沉積氧化矽間隙填充層。HDP-CVD系統形成的電漿密度約為標準電容耦合電漿CVD系統之密 度的兩個等級,或者比標準電容耦合電漿CVD系統的密度要大。HDP-CVD系統的範例包括感應耦合電漿CVD系統和電子迴旋共振(ECR)電漿系統等。HDP-CVD系統的操作壓力範圍通常比低密度電漿系統的操作壓力範圍要低。HDP-CVD系統中採用的低腔室壓力提供具有長平均自由徑(mean free path)和較低角分佈的活性物種。這些因素結合電漿密度可幫助電漿的大部分組成能夠達到緊密間隔間隙的最深部分,提供相較於低密度電漿CVD系統所沉積的膜層而言具有改良間隙填充能力的膜層。
允許利用HDP-CVD技術沉積出具有比其他CVD技術沉積膜層更佳之間隙填充特性的另一個因素是在沉積膜層時,同時發生由電漿高密度所促成的濺射作用。HDP沉積的濺射元素減緩在特定特徵上的沉積作用,諸如凸起表面的角落,從而有助於增加HDP沉積膜的填隙能力。某些HDP-CVD系統引入氬或類似的重惰性氣體以進一步促進濺射效應。這些HDP-CVD系統通常在基材支撐底座內使用一電極,該電極能產生電場以偏壓該電將使其朝向基材前進。可再整個HDP沉積製程中施加電場,以產生濺射作用並且為膜提供更好的間隙填充特性。一種常用於沉積氧化矽膜的HDP-CVD製程使用包括矽烷(SiH4 )、氧分子(O2 )和氬氣(Ar)等製程氣體來形成電漿。
然而,濺射的限制條件是濺射材料的角度再分佈(angular redistribution)。例如,在STI間隙填充過程中,可從溝槽上方濺射出已濺鍍的二氧化矽(SiO2 ),並沉積在 溝槽的側壁上,導致過度累積,而限制了用來實現自底向上填通的開口。如果發生過度的再沉積作用,則溝槽將在填滿底部之前就先閉合開口,而留下掩埋在溝槽內部的孔。
根據示例性實施例,用於形成半導體結構的方法包括:在基材表面上形成多個特徵,其中在兩相鄰特徵之間具有至少一間隔。第一介電層形成於該等特徵上且形成於該至少一間隔內。一部分的第一介電層與得自第一前驅物和第二前驅物的反應物反應,以形成第一固體產物。分解第一固體產物以實質去除該部分的第一介電層。形成第二介電層以實質填充該至少一間隔。
根據示例性實施例,形成多個特徵的步驟包括形成至少多個溝槽、導線、開口和電晶體閘極。
根據示例性實施例,形成該第一介電層的步驟包含形成氧化矽層。
根據示例性實施例,使該部分的第一介電層與一反應物反應的步驟包含:離子化第一前驅物和第二前驅物;以及使已離子化的第一前驅物和已離子化的第二前驅物與該部分的第一介電層反應。
根據示例性實施例,第一前驅物為氨氣(NH3 ),而第二前驅物為三氟化氮(NF3 )。
根據示例性實施例,使該部分之第一介電層與一反應物反應的步驟包含:使該部分的第一介電層與已離子化的 第一前驅物和第二前驅物反應。
根據示例性實施例,第一前驅物為三氟化氮,而第二前驅物為氟化氫(HF)或氨氣。
根據示例性實施例,使該部分的第一介電層與一反應物反應的步驟包含:使該部分之第一介電層與第一前驅物和第二前驅物反應。
根據示例性實施例,第一前驅物為氨氣,而第二前驅物為氟化氫(HF)。
根據示例性實施例,形成第一介電層的步驟包括形成氮化矽層。
根據示例性實施例,使該部分的第一介電層與一反應物反應的步驟包含:離子化第一前驅物和第二前驅物;以及使該部分的氮化矽層與已離子化的第一前驅物和已離子化的第二前驅物反應。
根據示例性實施例,第一前驅物為氫氣,而第二前驅物為三氟化氮。
根據示例性實施例,分解第一固體產物的步驟包含熱處理該第一固體產物以實質昇華該第一固體產物。
根據示例性實施例,所述方法進一步包含在第一介電層下方形成襯墊,其中第一介電層對該襯墊的蝕刻選擇性約為8:1或更高。
根據示例性實施例,所述方法進一步包括:使一部分的襯墊與得自第三前驅物和第四前驅物的反應物反應,以產生第二固體產物;以及分解第二固體產物以去除該部分 的襯墊。
根據示例性實施例,所述方法進一步包含:使另一部分的第一介電層與得自第三前驅物和第四前驅物的反應物反應,以產生第二固體產物;以及分解該第二固體產物以去除該另一部分的第一介電層。
根據示例性實施例,在該等特徵上和該至少一間隔內形成第一介電層的步驟具有約600托(Torr)或更高的製程壓力。
根據示例性實施例,分解第一固體產物係在該去除後之第一介電層的傾斜側壁和底部之間形成約87o 或更小的角度。
根據示例性實施例,一種用於形成半導體結構的方法包括:在基材表面上形成多個溝槽。第一介電層形成於該等溝槽上以及溝槽內。一部分的第一介電層與得自第一前驅物和第二前驅物的反應物反應,以形成第一固體產物。熱處理該第一固體產物以實質昇華該第一固體產物,從而實質去除該部分的第一介電層。形成第二介電層以實質填充該等間隙。
根據示例性實施例,可重複上述方法中的步驟,以執行一個或多個額外的蝕刻和沉積介電層之循環。例如,可令一部分上述方法結尾處所沉積的第二介電層進一步與一反應物進行反應,以形成第二固體產物,並且可在熱處理中昇華該第二固體產物,以去除該部分的第二介電層。第三介電層可形成於殘留(即,未蝕刻掉)的第二介電層上。 可執行額外的蝕刻和介電質沉積循環,直到沉積出實質填滿剩餘空間的最終介電層(例如,介電覆蓋層)為止。
以下揭示用於在基材整個表面上的至少一特徵(例如電晶體閘極)上形成至少一介電層的系統和方法。一部分的介電層會與衍生自第一前驅物和第二前驅物的反應物發生反應以形成固體產物。該固體產物可被分解以實質去除該部分的介電層。然後,在已經過蝕刻的介電層上形成另一層介電層,使得深寬比間隙和/或溝槽可被介電材料實質填滿卻不會留有空隙或縫隙。
示例性製程
第1A-1D圖是示出用於形成示例性淺溝渠隔離結構的示例性製程方法示意圖。第2圖是示出形成示例性淺溝渠隔離結構的示例性流程圖。
參照第1A圖,至少一特徵115形成於基材100的整個表面上。特徵115可例如是電晶體、電晶體閘極、溝槽、開口、間隙、導線或具有約5:1或更大深寬比的其他特徵。在一些實施例中,特徵115可以為溝槽。溝槽115可以形成於基材100上。基材100可例如為矽基材、第III-V族化合物基材、矽/鍺(SiGe)基材、磊晶基材、絕緣層上覆矽(SOI)基材、諸如液晶顯示器(LCD)、電漿顯示器、電致發光(EL)燈顯示器等顯示器基材或發光二極體(LED)基材。在一些實施例中,基材100可以為半導體晶圓,例如200毫 米(mm)、300毫米、400毫米的矽晶圓。
參照第2圖,用於形成淺溝渠隔離結構的示例性製程200可包括步驟210-250。步驟210係在基材100的整個表面上形成多個特徵115。
再次參照第1A圖,至少一襯墊介電層105,諸如氧化物襯墊,可形成於基材100上。在一些實施例中,至少一襯墊介電層110,諸如氮化物襯墊,可形成於氧化物襯墊105上。可以利用諸如化學氣相沉積(CVD)製程、熱製程和/或預期可形成介電質薄膜層的其他製程來形成襯墊介電層105和110。在一些實施例中,可藉著能去除一部分襯墊介電層105、110和基材100的蝕刻製程來形成該些溝槽115。在一些實施例中,襯墊介電層105是氮化物,而襯墊介電層110是氧化物。在一些實施例中,襯墊介電層105和110可由具有所欲蝕刻選擇性的不同介電材料所形成。
參照第1B和2圖,步驟220在溝槽115上形成至少一介電層,例如介電層120。介電層120可將溝槽115的尺寸降低成溝槽115a的尺寸。介電層120可包括氧化矽層、氮化矽層、氮氧化矽層、碳氧化矽層和其他介電層的至少其中一者。在一些實施例中,介電層120可為熱化學氣相沉積氧化物,諸如高深寬比製程(HARP)的氧化物、eHARP氧化物、常壓化學氣相沉積(APCVD)氧化物或高溫未摻雜矽酸鹽玻璃(HTUSG)、高密度電漿(HDP)氧化物、電漿增強化學氣相沉積(PECVD)氧化物、爐沉積氧化物(諸如高溫氧化物、中間溫度氧化物或低溫氧化物)、原子層沉積 (ALD)氧化物及其它氧化物。在一些實施例中,介電層120可例如是PECVD氮化物、爐沉積氮化物、HDP氮化物、熱CVD氮化物、ALD氮化物及其它氮化物。在一些實施例中,介電層120可指高深寬比製程(HARP)襯墊。在一些實施例中,步驟220可能具有約600托或更高的製程壓力。在一些實施例中,介電層120可由諸如矽烷(SiH4 )、二氯矽烷(SiH2 Cl2 )、三氯矽烷(SiHCl3 )和四氯化矽(SiCl4 )的含矽前驅物以及諸如氮氣(N2 )和氨氣(NH3 )的含氮前驅物所形成。在一些實施例中,介電層125可由諸如烷氧基二矽烷(alkoxy disilanes)、烷氧基-烷基二矽烷(alkoxy-alkyl disilanes)、烷氧基-乙醯氧基二矽烷(alkoxy-acetoxy disilanes)和聚矽烷的含矽前驅物以及諸如氮氣和氨氣的含氮前驅物所形成。例如,烷氧基二矽烷可包括Si2 (EtO)6 乙氧基二矽烷、Si2 (MeO)6 甲氧基二矽烷和Si6 (MeO)12 甲氧基環六矽烷,其中Et表示乙基(C2 H6 ),而Me表示甲基(CH3 )。在一些實施例中,烷氧基-烷基二矽烷可包括Si2 (EtO)4 (Me)2 四乙氧基二甲基二矽烷、Si2 (EtO)4 (Et)2 四乙氧基二乙基二矽烷、Si2 (EtO)2 (Me)4 二乙氧基-四甲基二矽烷、Si2 (MeO)4 (Me)2 四甲氧基-二甲基二矽烷和Si4 O2 (Me)8 甲基環六矽氧、Si6 (MeO)6 (Me)b甲氧基甲基環六矽烷、Si4 O2 (H2 )4 氫化環六矽氧(hydrocyclohexylsiloxane)。在一些實施例中,烷氧基-乙醯氧基二矽烷可包括Si2 (AcO)6 乙醯氧基二矽烷、Si2 (Me)4 (AcO)2 四甲基二乙醯氧基二矽烷 和Si2 (Me)2 (AcO)4 二甲基四乙醯氧基二矽烷,其中Ac表示乙醯基。在一些實施例中,聚矽烷可包括環戊矽烷或其他替代物。
在一些實施例中,介電層120可形成於襯墊介電層110上,使得溝槽115a可具有小於溝槽115a的頂間隙小於其中間和/或底部間隙。
參照第1C圖,蝕刻製程130可去除一部分的介電層120,使得蝕刻後的介電層120a可具有沿著溝槽115b形成的錐形側壁(tapered sidewalls)。在一些實施例中,蝕刻製程130可包括在第2圖中示出的步驟230和240。步驟230使一部分介電層120與一反應物反應而形成固體產物。步驟240可分解固體產物以去除介電層120的反應部分,從而產生介電層120a。以下為蝕刻製程130的示例性實施例。
示例性實施例1
在一些實施例中,介電層120可為氧化矽層。第一前驅物可以例如為氫氣(H2 )、氨氣(NH3 )、聯胺(N2 H4 )、叠氮酸(HN3 )、其他含氫前驅物及其各種組合。第二前驅物可例為如三氟化氮(NF3 )、四氟化矽(SiF4 )、四氟甲烷(CF4 )、氟代甲烷(CH3 F)、二氟甲烷(CH2 F2 )、三氟甲烷(CHF3 )、八氟丙烷(C3 F8)、六氟乙烷(C2 F6 )、其他含氟前驅物及其各種組合。在一些實施例中,第一前驅物諸(如氨氣)和第二前驅物(如三氟化氮)可離子化為電漿。在一些實施例中,可在沉積介電層120的腔室內執行離子化製程。在一些實施例中,離子化製程可在外部發生,然後引入沉積介電層120 的腔室中。在一些實施例中,蝕刻製程130在不同於沉積腔室的蝕刻腔室中執行。在一些實施例中,蝕刻製程130可在溝槽115b的傾斜側壁和溝槽115b的底部之間形成約87o 或更小的角度。
在一些實施例中,NH3 可具有約10每分鐘標準立方公分(sccm)至約1000 sccm之間的流速。在一些實施例中,NH3 可具有約100 sccm的流速。NF3 可具有約10 sccm至約1000 sccm之間的流速。在一些實施例中,NF3 可具有約100 sccm的流速。製程溫度可約在0℃至約80℃之間。在一些實施例中,製程溫度可約為30℃。製程壓力可在約1毫托(mTorr)至約1大氣壓(atm)之間。在一些實施例中,製程壓力可為3托(Torr)。電漿功率可介在約10瓦(Watt)至約2000瓦之間。在一些實施例中,電漿功率可為約45瓦。由NH3 和NF3 所形成的電漿的反應可以由以下反應式表示:NH3 (g)+NF3 (g)→NH4 F(s)和/或NH4 Fy .HF(s)
然後,引入反應物NH4 F(s)和/或NH4 Fy .HF(s)以與一部分的氧化矽層反應。反應物NH4 F(s)和/或NH4 Fy .HF(s)可與氧化矽反應以形成固體產物,例如(NF4 )2 SiF6 。在一些實施例中,基材100可置於溫度介於約-100℃至約1000℃之間(例如約-50℃至約200℃)的底座上。在其他實施例中,底座可具有約30℃的溫度。底座的溫度可如期望地增強電漿與氮化矽的反應。氧化矽與反應物NH4 F(s)和/或NH4 Fy .HF(s)的反應可由以下反應式表示: NH4 F(s)和/或NH4 Fy .HF(s)+SiO2 (s)→(NF4 )2 SiF6 (s)+H2 O
再次參照第2圖,步驟240可分解固體產物(NF4 )2 SiF6 。在一些實施例中,步驟240可包括熱處理固體產物以實質昇華固體產物(NF4 )2 SiF6 。在一些實施例中,熱製程是使固體產物(NF4 )2 SiF6 接近噴頭而實施,並且可操作噴頭以提供約-100℃至約1000℃之間(例如約-50℃至約200℃)的製程溫度。在實施例中,製程溫度為約180℃。在其他實施例中,熱製程可利用諸如烤箱、爐子、快速熱退火(RTA)設備或其他熱設備來實施。固體產物(NF4 )2 SiF6 的分解和/或昇華可由以下反應式描述:(NF4 )2 SiF6 (s)→SiF4 (g)+NH3 (g)+HF(g)
用於去除固體產物之方法的其他實施方式可包括利用水溶液(例如純水)沖洗含固體產物的基材,而不是藉由熱處理來昇華固體產物。不使用水溶液或除了使用水溶液以外,還可使用諸如乙醇或乙二醇的極性溶劑來沖洗基材。
示例性實施例2
在一些實施例中,介電層120可為氧化矽層。第一前驅物可例如是氫氣(H2 )、氨氣(NH3 )、聯胺(N2H4 )、叠氮酸(HN3 )、其他含氫前驅物及其各種組合。第二前驅物可例如是氟化氫(HF)、三氟化氮(NF3 )、四氟化矽(SiF4 )、四氟甲烷(CF4 )、氟代甲烷(CH3 F)、二氟甲烷(CH2 F2 )、三氟甲烷(CHF3 )、八氟丙烷(C3 F8 )、六氟乙烷(C2 F6 )、其他含氟前驅物及其各種組合。在一些實施例中,第一前驅物(如氨氣)和第二前驅物(如氟化氫)用於與介電層120反應。在一些 實施例中,NH3 和HF可引入用來沉積介電層120的腔室內。在一些實施例中,NH3 和HF可引入不同於沉積腔室的蝕刻腔室內以與介電層120反應。
在一些實施例中,NH3 可具有約10每分鐘標準立方公分(sccm)至約1000 sccm之間的流速。在一些實施例中,NH3 可具有約100 sccm的流速。HF可具有約10 sccm至約2000 sccm之間的流速。在一些實施例中,HF可具有約200 sccm的流速。製程溫度可介於約0℃至約80℃之間。在一些實施例中,製程溫度可為約30℃。製程壓力可在約1毫托至約1大氣壓之間。在一些實施例中,製程壓力可為3托。NH3 和HF的反應以下列反應式表示:NH3 (g)+HF(g)→NH4 F(s)和/或NH4 Fy .HF(s)
在一些實施方式中,NH3 和HF可引入腔室中用於與部分的氧化矽層反應。NH3 和HF可與氧化矽反應以形成固體產物,例如(NF4 )2 SiF6 (s)。在一些實施例中,基材100可置於溫度介於約-100℃至約1000℃之間(例如約-50℃至約200℃)的底座上。在其他實施例中,底座可具有約30℃的溫度。底座的溫度可期望地增強電漿與氮化矽的反應。氧化矽與電漿的反應可由以下反應式表示:NH4 F(s)和/或NH4 Fy .HF(s)+SiO2 (s)→(NF4 )2 SiF6 (s)+H2 O
再次參照第2圖,步驟240可分解固體產物(NF4 )2 SiF6 。在一些實施例中,步驟240可包括熱處理固體產物以實質昇華固體產物(NF4 )2 SiF6 。在一些實施例中,熱製程係使固體產物(NF4 )2 SiF6 接近噴頭,可操作噴 頭以提供約-100℃至約1000℃之間(例如約-50℃至約200℃)的製程溫度。在實施例中,製程溫度約為180℃。在其他實施例中,可利用例如烤箱、爐子、快速熱退火(RTA)設備或其他熱設備來實施熱製程。固體產物(NF4 )2 SiF6 的分解和/或昇華可描述為以下反應式:(NF4 )2 SiF6 (s)→SiF4 (g)+NH3 (g)+HF(g)
如上所述,若不使用熱處理昇華產物或除了使用熱處理來昇華產物之外,用於去除固體產物之方法的其他實施例可能包括利用水溶液(例如,純水)來沖洗含產物之基材的步驟。不是水溶液沖洗或除了使用水溶液來沖洗之外,還可利用諸如乙醇或乙二醇的極性溶劑來沖洗基材。
示例性實施例3
在一些實施方式中,介電層120可以為氮化矽層。第一前驅物可例如為氫氣、氨氣、聯胺、叠氮酸、其他含氫前驅物及其各種組合。第二前驅物可例如為氟化氫、三氟化氮、四氟化矽、四氟甲烷、氟代甲烷、二氟甲烷、三氟甲烷、八氟丙烷、六氟乙烷、其他含氟前驅物及其各種組合。第一前驅物(如氫氣)和第二前驅物(如三氟化氮)可離子化成電漿。在一些實施例中,離子化製程可在沉積介電層120的腔室內發生。在一些實施例中,離子化製程可在外部發生,然後引入沉積介電層120的腔室中。在一些實施例中,蝕刻製程130可在不同於沉積腔室的蝕刻腔室中執行。
在一些實施例中,NF3 可具有約10每分鐘標準立方公 分(sccm)至約1000 sccm之間的流速。在一些實施例中,NF3 可具有約100 sccm的流速。H2 可具有約10 sccm至約3000 sccm之間的流速。在一些實施例中,H2 可具有約600 sccm的流速。製程溫度可介在約0℃至約80℃之間。在一些實施例中,製程溫度可約為30℃。製程壓力可介於約1毫托至約1大氣壓之間。在一些實施例中,製程壓力可為3托。電漿功率可為約10瓦至約2000瓦之間。在一些實施例中,電漿功率可約為45瓦。
然後,將電漿引入腔室中與氧化矽層反應。遠端產生的電漿與氧化矽反應以形成固體產物,例如(NF4 )2 SiF6 (s)。在一些實施例中,基材100可置於溫度約-100℃至約1000℃之間(例如約-50℃至約200℃)的底座上。在其他實施例中,底座可具有約30℃的溫度。底座的溫度可期望地增強電漿與氮化矽的反應。氮化矽與電漿的反應可表示為以下反應式:NH3 (g)+H2 (g)+Si3 N4 →(NF4 )2 SiF6 (s)
再次參照第2圖,步驟240可分解固體產物(NF4 )2 SiF6 。在一些實施例中,步驟240可包括熱處理固體產物以實質昇華固體產物(NF4 )2 SiF6 。在一些實施例中,熱製程係使固體產物(NF4 )2 SiF6 接近噴頭,可操作噴頭以提供約-100℃至約1000℃之間的製程溫度。在實施例中,製程溫度為大約180℃。在其他實施例中,可使用例如烤箱、爐子、快速熱退火(RTA)設備或其他熱設備來實施熱製程。固體產物(NF4 )2 SiF6 的分解和/或昇華可描述為 以下反應式:(NF4 )2 SiF6 (s)→SiF4 (g)+NH3 (g)+HF(g)
如上所述,如不以熱處理來昇華產物,或除了以熱處理來昇華產物之外,用於去除固體產物之方法的其他實施方式可包括利用水溶液(例如純水)來沖洗含產物的基材不以水溶液或者除了使用水溶液來沖洗基材之外,還可使用諸如乙醇或乙二醇的極性溶劑來沖洗該基材。
再次參照第1C圖,可實質去除介電層120的夾合(pinch-off)輪廓和不良的輪廓。已經過蝕刻的介電層120a可具有期望的輪廓,使得諸如介電層140等後續的介電層(示於第1D圖中)可依期望般地形成於溝槽115b內。
參照第1D和2圖,步驟250可在已蝕刻的介電層120a上形成介電層140。介電層140可例如由氧化物、氮化物、氮氧化物、低-k介電材料、超低-k介電材料、其他介電材料或其各種組合所形成。可利用例如CVD製程、旋塗製程、適於形成介電層的其他方法或上述方法的各種組合來形成介電層140。在一些實施例中,介電層層140可以是熱化學氣相沉積氧化物,諸如高深寬比製程(HARP)氧化物、eHARP氧化物、常壓化學氣相沉積(APCVD)氧化物或高溫未摻雜矽酸鹽玻璃(HTUSG)、高密度電漿(HDP)氧化物、電漿增強化學氣相沉積(PECVD)氧化物、爐(furnace)沉積氧化物(諸如高溫氧化物、中溫度氧化物或低溫氧化物)、原子層沉積(ALD)氧化物和其他氧化物。在一些實施例中,介電層140可例如為PECVD氮化物、爐沉積氮化 物、HDP氮化物、熱CVD氮化物、ALD氮化物和其他氮化物。在一些實施例中,介電層140可以指高深寬比製程(HARP)覆蓋層。由於實質去除掉介電層120的夾合與不良輪廓(顯示於第1B圖),所以介電層140可依期望般地填充在已蝕刻之介電層120a之間的間隙內。
在一些實施例中,執行回蝕製程和/或化學機械平坦化(CMP)製程以實質平坦化介電層140的頂表面。
第3圖是用於形成示例性溝槽隔離結構的示例性製程流程。參照第3圖,步驟310、320、330、340和350分別類似於以上配合第2圖所描述的步驟210、220、230、240和250。
在第3圖中,步驟312可在形成介電層120之前,先形成一襯墊。在一些實施例中,襯墊可包括氧化矽層、氮化矽層、氮氧化矽層、碳氧化矽層和其他介電層的至少其中一者。在一些實施例中,蝕刻製程可具有介電層120對基材約8:1或更高的蝕刻選擇性。
在一些實施例中,襯墊為氧化物,而介電層120為氮化物。對於使用電漿反應的實施例,介電層120對襯墊的蝕刻選擇性可藉由改變製程溫度、電漿功率、NF3 流速、NH3 流速和/或製程壓力而改變。對於不使用電漿反應的實施例,介電層120對襯墊的蝕刻選擇性可以藉著改變製程溫度、NH3 流速、HF流速和/或製程壓力而改變。
在一些實施例中,襯墊為氮化物,而介電層120為氧化物。對於使用電漿反應的實施例,介電層120對襯墊的 蝕刻選擇性可藉著改變製程溫度、電漿功率、NF3 流速、H2 流速和/或製程壓力而改變。
再次參照第3圖,步驟314可使襯墊與得自第三前驅物和第四前驅物的反應物進行反應以形成固體產物。在一些實施例中,步驟314可能類似於以上配合第2圖陳述的步驟230。步驟316可分解該固體產物以去除一部分的襯墊。在一些實施例中,步驟316類似於以上配合第2圖所描述的步驟240。
在一些實施例中,步驟314和316可去除一部分的襯墊,使得已被蝕刻過的襯墊可提供期望的輪廓,以在襯墊輪廓上形成介電層120(顯示於第1A圖)。使用步驟314和316來蝕刻部分的襯墊可依期望地去除介電層120的夾合與不良輪廓。
第4圖是用於形成示例性溝槽隔離結構的示例性製程流程。參照第4圖,步驟410、420、430、440和450分別類似於以上配合第2圖所描述的步驟210、220、230、240和250。
在第4圖中,步驟442可使已經過蝕刻之介電層120a的另一部分與得自第三前驅物和第四前驅物的反應物進行反應以形成固體產物。在一些實施例中,步驟442可類似於以上配合第2圖描述的步驟230。步驟444可分解固體產物以去除該已蝕刻介電層120a的反應部分。在一些實施例中,步驟444類似於以上配合第2圖所描述的步驟240。
在一些實施例中,步驟442和444可去除一部分的已 蝕刻介電層120a,使得已蝕刻介電層120a可提供期望的輪廓,以在其上形成介電層140(在第1D圖中示出)。使用步驟442和444蝕刻一部分的已蝕刻介電層120a,可依期望地去除介電層120的夾合與不良輪廓。在一些實施例中,可重復步驟442和444一次或多次以實現已蝕刻介電層120a的期望輪廓。
如上所述,本發明不限於以上第1A-1D圖和第2-4圖所描述的實施例。可根據以上陳述的示例性實施例來修飾該些可依期望地在半導體基材之間隙或開口內填充介電層的其他方法。例如,第3圖中的步驟312-316可與第4圖中的步驟442-444結合,以依照期望的方式來填充半導體基材之間隙或開口內的介電層。
示例性薄膜沉積系統
可沉積介電層的沉積系統可包括高密度電漿化學氣相沉積(HDP-CVD)系統、電漿增強化學氣相沉積(PECVD)系統、次大氣壓化學氣相沉積(SACVD)系統、熱化學氣相沉積系統以及其他類型的系統等。可實施本發明實施例的CVD系統特定範例包括可從加州聖克拉拉市(Santa Clara)的應用材料公司購得的CENTURA ULTIMATM HDP-CVD腔室/系統以及PRODUCERTM PECVD腔室/系統,例如PRODUCERTM CeleraTM PECVD。
可與本發明示例性方法一起使用的基材處理系統範例可包括在2006年5月30日由Lubomirsky等人提交且題目為「PROCESS CHAMBER FOR DIELECTRIC GAPFILL」的 共同轉讓美國臨時專利申請案60/803499號中示出並描述的系統,在此引入其全部內容作為參考。額外的示例性系統可包括在美國專利6387207和6830624中示出並描述的系統,同樣引入其全部內容作為參考。
現在參照第5A圖,其示出CVD系統10的垂直截面視圖,CVD系統10具有包括腔室壁15a和腔室蓋組件15b的真空或處理腔15。CVD系統10可包含氣體分配歧管11,其用於將製程氣體分配到放置在製程腔室15中心處之加熱底座12上的基材(未示出)。氣體分配歧管11可由導電材料形成,以做為用來形成電容電漿的電極。在處理期間,基材(例如,半導體晶圓)可放置在底座12的平表面或稍微凸起表面12a上。底座12可在下方的裝載/卸載位置(示於第5A圖)和緊鄰歧管11的上方處理位置(第15A圖中虛線14所示)之間受控制地移動。中心板(未示出)可包括用來提供晶圓位置資訊的感測器。
可通過傳統平坦圓形氣體分配板13a的多個孔將沉積氣體和載氣引入腔室15。在一些實施例中,沉積製程氣體可通過入口歧管11,穿過傳統多孔擋板,然後通過氣體分配板13a中的孔而流入腔室。
在到達歧管11之前,可從氣源通過氣體供應管道輸送沉積氣體和載氣至一混合系統中,氣體在該處混合並隨後傳送到歧管11。在一些實施例中,每種製程氣體的供應管道可包括(i)可自動或手動截止製程氣體流入腔室中的數個安全截流閥(未示出),以及(ii)測量通過供應管道之氣流 的質量流量控制器(也未示出)。當在製程中使用有毒氣體時,在傳統配置中,數個安全截流閥設置在每個氣體供應管道上。
在CVD系統10中執行的沉積製程可為熱製程和/或電漿增強製程。在電漿增強製程中,RF功率供應器可在氣體分配板的面板13a和底座12之間施加電功率,以激發製程氣體混合物,從而在面板13a和底座12之間的柱狀區域內形成電漿(該區域在此將指「反應區域」)。電漿的組分會發生反應,而在支撐於底座12上的半導體晶圓表面上沉積期望的薄膜。RF功率供應器可以是一混合頻率RF功率供應器,其通常供應13.56MHz的高RF頻率(RF1)和360KHz的低RF頻率(RF2)的功率,以增強引入真空腔室15中之反應物種的解離。在熱製程中,將不使用RF功率供應44,並且製程氣體混合物發生熱反應,以在支撐於底座12上的半導體晶圓表面上沉積一期望薄膜,其中底座12可電阻式加熱以提供用於反應的熱能。
在電漿增強沉積製程期間,電漿可加熱整個製程腔室10,包括圍繞排氣通道23與截流閥24之腔體15a的室壁。當沒有打開電漿或者在熱沉積製程期間,熱液體在製程腔室15的壁15a中循環流動以保持腔室在升高溫度。圖中未示出其餘腔室壁15a中的通道。用於加熱腔室壁15a的流體可包括典型的流體類型,也就是水系的乙二醇或油系的熱傳流體。該加熱(指藉由「熱交換器」加熱)可降低和/或避免非所欲反應產物的冷凝,並促進消除製程氣體和其他 污染物的揮發性產物,如果這些製程氣體和其他污染物聚集在冷真空通道的壁上並在沒有氣體流動的時期遷移回到處理腔室中的話,將污染製程。
沒有沉積在膜層中的剩餘氣體混合物,包括反應副產物在內,係藉由真空幫浦(未示出)從腔室15中排除。在一些實施例中,氣體可通過圍繞反應區域的環形槽狀孔16排到環形排放氣室17中。可利用腔室圓筒狀側壁15a(包括壁上的上介電襯套19)的頂部和環形腔室蓋20的底部之間的間隙界定出環形槽16和氣室17。可配置成360度的環形對稱以及均勻的槽孔16和氣室17以達成製程氣體在晶圓上方的均勻流動,從而在晶圓上沉積均勻薄膜。
從排放氣室17,氣體可在排放氣室17的橫向延伸部分21的下方流動,經過觀察孔(未示出),穿過向下延伸的氣體通道23,經過真空截流閥24(其主體與下腔室壁15a一體成形),並流入藉由一前級管道(未示出)連接到外部真空幫浦(未示出)的排出口25。
底座12(較佳為鋁、陶瓷或其組合)的晶圓支撐淺盤可使用配置成以平行同心圓方式形成兩個完整迴圈的單環嵌入式加熱器元件進行電阻式加熱。加熱器元件的外部分可鄰近支撐淺盤的圓周環繞設置,同時內部分在具有較小半徑的同心圓路徑上環繞設置。到加熱器元件的佈線可通過底座12的軸桿(stem)。
通常,腔室襯套、氣體入口歧管面板和各種其他反應器硬體中的全部或任一者可由諸如鋁、電鍍鋁或陶瓷所製 成。該CVD設備的一實施例描述於授權給Zhao等人且題目為「CVD Processing Chamber」的共同轉讓美國專利5558717號中,並在此引入其全部內容作為參考。
當機械葉片(未示出)通過腔室10側面中的***/移除開口26來傳送晶圓進出腔室15的主體時,升降機構和馬達32(第5A圖)可提升和/或降低加熱器底座組件12及其晶圓舉升銷12b。馬達32可在處理位置14和較低的晶圓裝載位置之間提升和/或降低底座12。與供應管道、氣體輸送系統、截流閥、RF功率供應器以及腔室與基材加熱系統相連接的馬達、閥或流量控制器可通過控制線路(僅示出部分)而利用系統控制器來加以控制。控制器依靠來自光學感測器的反饋以確定在控制器的控制下,藉由適當馬達所移動的可動機械組件的位置,例如截流閥和基座(susceptor)的位置。
在示例性實施例中,系統控制器可包括硬碟(記憶體)、軟碟和處理器。處理器可包含單板電腦(SBC)、類比和數位輸入/輸出板、介面板和步進馬達控制器板。CVD系統10的各部分可符合定義板、插件盒和連接器尺寸與類型的VME標準(Versa Modular European Standard)。該VME標準可將匯流排結構界定為具有16位元組資料匯流排和24-位元組位址匯流排。
系統控制器可控制CVD機器的活動。該系統控制器執行系統可控制軟體,其為存儲在諸如記憶體等電腦可讀媒體中的電腦程式。在一些實施例中,記憶體可為硬碟或其 他類型的記憶體。電腦程式可包括指示特定製程的時序、氣體混合、腔室壓力、腔室溫度、RF功率級別、基座位置以及其他參數的指令集。存儲在包括例如軟碟或其他另一適當驅動器等其他記憶體裝置上的其他電腦程式也可用於操作控制器。
用於在基材上沉積薄膜的製程或用於清洗腔室15的製程可使用利用控制器執行的電腦程式產品來實施。電腦程式編碼可以任何傳統電腦可讀程式語言寫入,例如68000組合語言、C語言、C++語言、Pascal、Fortran等。適當的程式碼使用傳統的文書編輯器輸入單一檔案或多個檔案中,並存儲或建入電腦可用媒體中,例如電腦的存儲系統。如果所輸入的編碼文本是以高階語言寫成,則編譯編碼,並且所產生的編譯編碼隨後與預先編譯的微軟視窗(Microsoft Windows®)資料庫程式的目標編碼鏈結。為了執行該已鏈結且已編譯的目標編碼,系統使用者調用目標編碼,使電腦系統將編碼載入記憶體中。然後,該CPU讀取並執行該編碼以執行從程式中識別出的任務。
在使用者和控制器34之間的介面可利用CRT監控器50a和光筆50b,如第5B圖所示,其可為包括一個或多個腔室之基材處理系統中的系統監控器和CVD系統10的簡化圖。在一些實施例中,可以使用兩個監控器50a,一個安裝在清洗室壁中以供操作者使用,而另一個安裝在壁後面以供維修技術人員使用。該些監控器50a可同時顯示相同的資訊。在一些實施例中,僅允許設置一支光筆50b。 在光筆50b頂部中的光感測器可偵測CRT顯示器發射出的光。為了選擇特定的顯示視窗或功能,操作者可接觸顯示器螢幕的指定區域並按下筆50b上的按鈕。所接觸的區域可變成高亮度顏色,或者顯示新的功能表或視窗,確定光筆和顯示視窗之間的通信。如不用光筆或除了外光筆50b以外,使用者可使用其他裝置,諸如鍵盤、滑鼠或其他指向或通信裝置與控制器34通信。
第5A圖示出了安裝在包含氣體分配板13a和氣體分配歧管11之製程腔室15的蓋組件15b上的遠端電漿產生器60。安裝適配器64可將遠端電漿產生器60安裝在蓋組件15b上,如第5圖A所示。適配器64可以由金屬製成。適配器64可包括孔95,其耦接到陶瓷絕緣體66。混合裝置70可耦接到氣體分配歧管11的上游側(第5A圖)。混合裝置70可包括混合***物(insert)72,其設置在混合擋板的槽內,用於混合製程氣體。陶瓷絕緣體66可設置在安裝適配器64與混合裝置70之間(第5A圖)。陶瓷絕緣體66可由陶瓷材料,諸如三氧化二鋁(Al2 O3 ,99%純度)、Teflon®等製成。當安裝時,混合裝置70和陶瓷絕緣體66可形成一部分的蓋組件15b。絕緣體66可使金屬適配器64與混合裝置70以及氣體分配歧管11絕緣,從而使蓋元件15b中發生二級電漿的可能性減至最低,其將詳細討論如下。三通閥可直接控制或透過遠端電漿產生器60控制輸送到製程腔室15的製程氣體流量。
遠端電漿產生器60可以是小型的自攜式單元 (self-contained unit),其可如傳統般地安裝在蓋組件15b上,並易於改裝在現有腔室上而不用經歷昂貴且耗時修改。適當的單元為購自美國麻州渥本市(Woburn)的應用科學與技術有限公司的ASTRON®產生器。ASTRON®產生器利用低場環形電漿以解離製程氣體。在一實施例中,電漿解離包括諸如NF3 之含氟氣體的製程氣體以及諸如氬氣的載氣,以產生自由氟,用於清除製程腔室15中的薄膜沉積物。
示例性蝕刻系統
可執行蝕刻製程的蝕刻系統可包括,例如可從加州聖克拉拉市(Santa Clara)的應用材料有限公司購得的SiConiTM 預清洗腔室/系統。
第6圖是示例性蝕刻腔室的示意性截面圖。蝕刻腔室600可包括腔室壁630。蝕刻腔室600可包括電漿分配裝置610,諸如管、導管和/或歧管,用於將製程電漿615分散到位在製程腔室中間之底座620上的基材100。蝕刻腔室600可透過電漿分配裝置610耦接到電漿產生器605。電漿產生器605用以產生電漿615。利用舉升銷640可控制地可在下方位置以及鄰近噴頭650的上位置之間移動基材100。基材100可具有多個溝槽115以及在形成在溝槽上的介電層120(在第1B圖中示出)。
在一些實施例中,電漿分配裝置610可將利用例如以上配合第2-4圖描述之步驟230、330、430、314和442所產生的電漿引入處理腔室600。在一些實施例中,用於 蝕刻電漿615的供應管道可包括(i)可自動或手動截止製程電漿流入腔室中的數個安全截流閥(未示出),以及(ii)測量流經供應管道之電漿615流量的質量流量控制器(也未示出)。
再次參照第6圖,腔室壁630的溫度可實質防止蝕刻劑和/或副產物在壁上冷凝。在一些實施例中,底座620可操作以提供在約-100℃至約1000℃度之間(例如約-50℃至約200℃)的期望溫度,以將蝕刻劑集中在基材100表面上,也就是在基材100上的介電層120上。然後,蝕刻劑可與形成在基材100上的介電層120發生反應,從而產生如第2-4圖所描述的固體產物。在產生副產物之後,舉升銷640可朝向噴頭650提升基材100。噴頭650可操作以提供約-50℃至約1000℃度之間的製程溫度。在一些實施例中,噴頭650可執行如第2-4圖所描述的步驟240、340、440、316和444以分解和/或昇華固體產物,從而去除部分的介電層120和襯墊。
再次參照第6圖,至少一泵送溝道660可配置在蝕刻腔室600內,以去除副產物和/或已分解的氣體。泵送溝道660可耦接到例如幫浦或馬達,得以去除副產物。在一些實施例中,泵送溝道660可具有至少一個孔(未示出),通過該孔可去除該些副產物。
在一些實施例中,RF功率供應器(未示出)可耦接到電漿產生器605以激發包括含氟前驅物和含氫前驅物的製程氣體以形成電漿615。RF功率供應器可操作以提供在約5 瓦至約3000瓦之間的RF功率。RF功率供應器可以約100kHz至約64MHz之間的RF頻率來供應功率。
系統控制器(未示出)可控制蝕刻系統的所有活動。系統控制器執行系統控制軟體,其為存儲在諸如記憶體等電腦可讀媒體中的電腦程式。在一些實施例中,記憶體為硬碟,但是記憶體也可以為其他類型的記憶體。電腦程式包括用來指示特定製程之時序、氣體混合、腔室壓力、腔室溫度和其他參數的指令集。存儲在包括例如軟碟或其他另一適當驅動裝置等其他記憶體件中的其他電腦程式也可用於操作控制器。
用於蝕刻基材上之部分薄膜的製程可使用利用上述控制器執行的電腦程式產品來實施。電腦程式編碼可以任何傳統電腦可讀程式語言寫入,例如68000組合語言、C、C++、Pascal、Fortran等。適當的程式碼使用傳統的文書編輯器寫入單一檔案或多個檔案中,並存儲或建入電腦可用媒體中,諸如電腦的存儲系統。如果所輸入的編碼內容是以高階語言寫成,則編譯該些編碼,並且所產生的編譯碼隨後與預編譯的微軟視窗(Microsoft Windows®)資料庫程式的目標編碼鏈結。為了執行該已鏈結且已編譯的目標編碼系統,使用者調用目標編碼,使電腦系統將該編碼載入記憶體中。然後,該CPU讀取並執行該編碼以執行程式中識別的任務。
藉由以上所述的數個實施例,本領域中具有通常知識人員將意識到在不脫離本發明精神下可做出各種修改、替 代性配置方式及其等效物。另外,為了避免不必要地模糊本發明,沒有描述多個衆所周知的製程和元件。因此,上述內容並不應用於限制本發明範圍。
對於文中所提供的數值範圍,應該理解除非文本明確地指出,否則在該範圍上下限之間的每個中間值,到下限單位的十分之一,也屬本文公開內容。本文包含在所述範圍中的所述數值或中間值與所述範圍中的任何其他所述值或中間值之間的每個較小範圍。這些較小範圍的上下限可獨立地包括於或不包括於範圍中,並且每個包含其各自上下限其中一者、或不含上下限、或包括兩上下限的較小範圍也涵蓋于本發明內,取決於所述範圍中的任何特定排除的限制。在包含上下限值其中一個或兩個的所述範圍,以及不包括上下限值其中任一個或兩個的範圍也涵蓋在本發明中。
如在本文內容以及申請專利範圍中使用的單數形用語「一」和「該」應包括複數之意,除非文本明確指出另外的規定。因而,例如,「一方法」可包括多個該方法,並且「該前驅物」包括指本領域具有通常技術者所公知的一個或多個前驅物及其等效物。
同樣,當在本說明書中以及申請專利範圍中使用「包括」、「包含」、「具有」、「含有」和「含在」等用語意指說明存在有多個所述特徵、組成、元件或步驟,但是它們不排除存在或另一個或多個其他特徵、組成、元件、步驟、動作或基團。
10‧‧‧化學氣相沉積系統
11‧‧‧氣體分配歧管
12‧‧‧底座
12a‧‧‧表面
12b‧‧‧舉升銷
13a‧‧‧氣體分配板
14‧‧‧虛線
15‧‧‧製程腔室
15a‧‧‧腔室壁
15b‧‧‧蓋組件
19‧‧‧介電襯套
21‧‧‧橫向延伸部分
23‧‧‧氣體通道
24‧‧‧截流閥
26‧‧‧開口
32‧‧‧馬達
34‧‧‧控制器
50a‧‧‧監控器
50b‧‧‧光筆
60‧‧‧電漿產生器
64‧‧‧安裝適配器
66‧‧‧陶瓷絕緣體
70‧‧‧混合裝置
72‧‧‧混合***物
95‧‧‧孔
100‧‧‧基材
105‧‧‧襯墊介電層
110‧‧‧襯墊介電層
115、115a、115b‧‧‧溝槽
120、120a‧‧‧介電層
130‧‧‧蝕刻製程
140‧‧‧介電層
200、300、400‧‧‧方法
210、220、230、240、250‧‧‧步驟
310、312、314、316、320、330、340、350‧‧‧步驟
410、420、430、440、442、444、450‧‧‧步驟
600‧‧‧蝕刻腔室
605‧‧‧電漿產生器
615‧‧‧電漿
620‧‧‧底座
630‧‧‧腔室壁
640‧‧‧舉升銷
650‧‧‧噴頭
660‧‧‧泵送溝道
可參照其餘部分的說明書和附圖來進一步理解本發明的本質和優點,並且使用類似的元件符號來代表附圖中的類似元件。在部分實施例中,元件子符號與元件符號有關並使用連字號來表示多個類似元件中的其中一個。當元件符號未標示出說明書中的所出現的子符號時,其代表該類的所有類似元件。
第1A-1D圖是示出用於形成示例性淺溝渠隔離結構之示例性製程方法的示意圖;第2圖是形成示例性淺溝渠隔離結構的示例性流程圖;第3圖是用於形成示例性淺溝渠隔離結構的示例性製程流程圖;第4圖是用於形成示例性淺溝渠隔離結構的示例性製程流程圖;第5A圖示出示例性薄膜沉積系統的垂直截面圖;第5B圖是薄膜沉積系統的示例性系統監視器/控制器元件的簡圖;第6圖是示例性蝕刻系統的示意性截面圖。
200‧‧‧方法
210、220‧‧‧步驟
230、240、250‧‧‧步驟

Claims (25)

  1. 一種用於形成一半導體結構的方法,該方法包含:在一基材的一表面上形成多個特徵,並且在兩鄰近特徵之間具有至少一間隔;在該等特徵上和該至少一間隔內形成一第一介電層,其中該第一介電層在該至少一間隔的開口上方形成一間隙,該間隙比在該至少一間隔深處的一側面間隙狹小;使一部分的該第一介電層與一反應物反應以形成一第一固體產物,並且該反應物得自一第一前驅物和一第二前驅物,其中該固體產物包含來自該反應物與該第一介電層的材料;分解該第一固體產物以實質去除該部分的第一介電層;以及形成一第二介電層以實質填充該至少一間隔。
  2. 如申請專利範圍第1項所述的方法,其中形成多個特徵的步驟包含形成至少多個溝槽、導線、開口和電晶體閘極。
  3. 如申請專利範圍第1項所述的方法,其中形成該第一介電層的步驟包含形成氧化矽層。
  4. 如申請專利範圍第1項所述的方法,其中使該部分之第一介電層與一反應物反應的步驟包含: 離子化該第一前驅物和該第二前驅物;以及使該已離子化的第一前驅物和該已離子化的第二前驅物與該部分的第一介電層反應。
  5. 如申請專利範圍第1項所述的方法,其中該第一前驅物為氨氣(NH3 ),且該第二前驅物為三氟化氮(NF3 )。
  6. 如申請專利範圍第1項所述的方法,其中使該部分之第一介電層與該反應物反應的步驟包含:使該部分之第一介電層與該第一前驅物和該第二前驅物反應。
  7. 如申請專利範圍第1項所述的方法,其中該第一前驅物為氨氣(NH3 ),且該第二前驅物為氟化氫(HF)。
  8. 如申請專利範圍第1項所述的方法,其中形成該第一介電層的步驟包含形成氮化矽層。
  9. 如申請專利範圍第8項所述的方法,其中使該部分之第一介電層與一反應物反應的步驟包含:離子化該第一前驅物和該第二前驅物;以及使該部分的氮化矽層與該已離子化的第一前驅物和該已離子化的第二前驅物反應。
  10. 如申請專利範圍第9項所述的方法,其中該第一前驅物為氫氣(H2 ),以及該第二前驅物為三氟化氮(NF3 )。
  11. 如申請專利範圍第1項所述的方法,其中分解該第一固體產物的步驟包含熱處理該第一固體產物,以實質昇華該第一固體產物。
  12. 如申請專利範圍第1項所述的方法,其中分解該第一固體產物的步驟包含將該產物溶解在一液體溶液中。
  13. 如申請專利範圍第12項所述的方法,其中該液體溶液包含水或一極性溶劑。
  14. 如申請專利範圍第1項所述的方法,更包含在該第一介電層下方形成一襯墊,其中該第一介電層對該襯墊的蝕刻選擇性約為5:1或更高。
  15. 如申請專利範圍第14項所述的方法,更包含:使一部分的該襯墊與得自一第三前驅物和一第四前驅物的一反應物反應,以產生一第二固體產物;以及分解該第二固體產物以去除該部分的襯墊。
  16. 如申請專利範圍第1項所述的方法,更包含:使另一部分的該第一介電層與得自一第三前驅物和一第四前驅物的一反應物反應,以產生一第二固體產物;及分解該第二固體產物以去除該另一部分的第一介電層。
  17. 如申請專利範圍第1項所述的方法,其中在該等特徵上和該至少一間隔內形成該第一介電層的步驟具有約600托(Torr)或更高的製程壓力。
  18. 如申請專利範圍第1項所述的方法,其中分解該第一固體產物的步驟係在該去除後之第一介電層的傾斜側壁和該去除後之第一介電層的一底部之間形成約87°或更小的角度。
  19. 一種在具有一底部和多個側壁的一半導體結構中沉積一介電層材料的方法,該方法包括:在該結構的該底部和該等側壁上形成一第一介電層,其中該第一介電層部分填充該結構,且該第一介電層在該結構的開口上方形成一間隙,該間隙比在該結構深處的一側面間隙狹小;使一部分的該第一介電層與得自一第一前驅物和一第二前驅物的一反應物反應,以形成一第一固體產物,其中 該第一固體產物包含來自該反應物與該第一介電層的材料;熱處理該第一固體產物以實質昇華該第一固體產物,並在該結構中形成一傾斜開口;以及形成一第二介電層以實質填充該傾斜開口。
  20. 如申請專利範圍第19項所述的方法,其中該結構係一間隙,並且在該間隙的底部和其中一側壁之間所形成的一第一角度介於約89°至約91°的範圍內,以及其中位在該傾斜開口的一側壁和底部之間的一第二角度小於87°。
  21. 如申請專利範圍第20項所述的方法,其中使該部分之第一介電層與一反應物反應的步驟包含:由該第一前驅物和該第二前驅物形成一電漿;以及使該電漿與該部分的第一介電層反應。
  22. 如申請專利範圍第21項所述的方法,其中該第一前驅物為氨氣(NH3 ),以及該第二前驅物為三氟化氮(NF3 )或氟化氫(HF)。
  23. 如申請專利範圍第21項所述的方法,其中使該部分之第一介電層與一反應物反應的步驟包含:引導該第一前驅物和該第二前驅物進入一蝕刻腔室 中;以及使該部分的第一介電層與該第一前驅物和該第二前驅物反應。
  24. 如申請專利範圍第19項所述的方法,其中形成該第一介電層的步驟包含形成氮化矽層。
  25. 如申請專利範圍第24項所述的方法,其中該部分之第一介電層與一反應物反應的步驟包括:離子化該第二前驅物;引導該第一前驅物和該已離子化的第二前驅物進入一蝕刻腔室中;以及使該部分的氮化矽層與該第一前驅物和該已離子化的第二前驅物反應。
TW096146616A 2006-12-07 2007-12-06 處理薄膜之方法 TWI389251B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US86906606P 2006-12-07 2006-12-07
US11/947,674 US7939422B2 (en) 2006-12-07 2007-11-29 Methods of thin film process

Publications (2)

Publication Number Publication Date
TW200843025A TW200843025A (en) 2008-11-01
TWI389251B true TWI389251B (zh) 2013-03-11

Family

ID=39668463

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096146616A TWI389251B (zh) 2006-12-07 2007-12-06 處理薄膜之方法

Country Status (5)

Country Link
US (2) US7939422B2 (zh)
JP (1) JP5530062B2 (zh)
KR (1) KR101289021B1 (zh)
CN (2) CN101299417B (zh)
TW (1) TWI389251B (zh)

Families Citing this family (225)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7115516B2 (en) * 2001-10-09 2006-10-03 Applied Materials, Inc. Method of depositing a material layer
US8176101B2 (en) * 2006-02-07 2012-05-08 Google Inc. Collaborative rejection of media for physical establishments
US7939422B2 (en) * 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
US20080142483A1 (en) * 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
JP5691074B2 (ja) * 2008-08-20 2015-04-01 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US7910491B2 (en) * 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US9159808B2 (en) * 2009-01-26 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Selective etch-back process for semiconductor devices
US8404561B2 (en) * 2009-05-18 2013-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating an isolation structure
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8211808B2 (en) * 2009-08-31 2012-07-03 Applied Materials, Inc. Silicon-selective dry etch for carbon-containing films
KR20110024629A (ko) * 2009-09-02 2011-03-09 주식회사 하이닉스반도체 반도체 소자의 소자분리막 제조 방법
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US8501629B2 (en) * 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
SG181670A1 (en) * 2009-12-30 2012-07-30 Applied Materials Inc Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
KR101528832B1 (ko) 2010-01-06 2015-06-15 어플라이드 머티어리얼스, 인코포레이티드 유동성 유전체 층의 형성 방법
US8304351B2 (en) 2010-01-07 2012-11-06 Applied Materials, Inc. In-situ ozone cure for radical-component CVD
US8173516B2 (en) * 2010-02-11 2012-05-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming shallow trench isolation structure
JP2013521650A (ja) 2010-03-05 2013-06-10 アプライド マテリアルズ インコーポレイテッド ラジカル成分cvdによる共形層
US8563095B2 (en) * 2010-03-15 2013-10-22 Applied Materials, Inc. Silicon nitride passivation layer for covering high aspect ratio features
US8435902B2 (en) * 2010-03-17 2013-05-07 Applied Materials, Inc. Invertable pattern loading with dry etch
US9024273B2 (en) * 2010-04-20 2015-05-05 Varian Semiconductor Equipment Associates, Inc. Method to generate molecular ions from ions with a smaller atomic mass
US8475674B2 (en) * 2010-04-30 2013-07-02 Applied Materials, Inc. High-temperature selective dry etch having reduced post-etch solid residue
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
JP5661523B2 (ja) * 2011-03-18 2015-01-28 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5599350B2 (ja) * 2011-03-29 2014-10-01 東京エレクトロン株式会社 成膜装置及び成膜方法
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8329552B1 (en) 2011-07-22 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
CN102427049A (zh) * 2011-07-22 2012-04-25 上海华力微电子有限公司 一种提高浅沟槽隔离制程均匀度的方法
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
TWI492298B (zh) * 2011-08-26 2015-07-11 Applied Materials Inc 雙重圖案化蝕刻製程
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US8461016B2 (en) 2011-10-07 2013-06-11 Micron Technology, Inc. Integrated circuit devices and methods of forming memory array and peripheral circuitry isolation
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
KR101851727B1 (ko) * 2011-12-16 2018-06-12 에스케이하이닉스 주식회사 반도체 소자 및 그 제조 방법
JP5794949B2 (ja) * 2012-05-29 2015-10-14 東京エレクトロン株式会社 シリコン膜の形成方法およびその形成装置
US9279604B2 (en) * 2012-06-05 2016-03-08 Applied Materials, Inc. Compact ampoule thermal management system
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
KR101401455B1 (ko) * 2012-10-17 2014-05-30 피에스케이 주식회사 기판 처리 방법
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
CN103515289A (zh) * 2013-10-18 2014-01-15 上海华力微电子有限公司 一种浅沟槽隔离结构的形成方法
CN103531521A (zh) * 2013-10-18 2014-01-22 上海华力微电子有限公司 浅沟槽隔离结构的形成方法
CN103515291A (zh) * 2013-10-18 2014-01-15 上海华力微电子有限公司 浅沟槽隔离结构的形成方法
US9472416B2 (en) * 2013-10-21 2016-10-18 Applied Materials, Inc. Methods of surface interface engineering
JP6246558B2 (ja) 2013-10-29 2017-12-13 東京エレクトロン株式会社 シリコン酸炭窒化物膜、シリコン酸炭化物膜、シリコン酸窒化物膜の成膜方法および成膜装置
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
CN103545243B (zh) * 2013-11-13 2016-06-29 上海华力微电子有限公司 一种浅沟槽隔离结构的形成方法
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
CN104752310A (zh) * 2013-12-27 2015-07-01 中芯国际集成电路制造(上海)有限公司 半导体器件的制作方法
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US20150206803A1 (en) * 2014-01-19 2015-07-23 United Microelectronics Corp. Method of forming inter-level dielectric layer
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
CN103928387A (zh) * 2014-04-28 2014-07-16 上海集成电路研发中心有限公司 浅沟槽隔离结构的填充方法、半导体器件的制备方法
CN105448801A (zh) * 2014-05-28 2016-03-30 中芯国际集成电路制造(上海)有限公司 一种形成浅沟槽隔离的方法
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
JP6294194B2 (ja) * 2014-09-02 2018-03-14 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
JP6494226B2 (ja) * 2014-09-16 2019-04-03 東京エレクトロン株式会社 エッチング方法
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
JP6250513B2 (ja) * 2014-10-03 2017-12-20 信越化学工業株式会社 塗布型ケイ素含有膜形成用組成物、基板、及びパターン形成方法
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9564341B1 (en) 2015-08-04 2017-02-07 Applied Materials, Inc. Gas-phase silicon oxide selective etch
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10256076B2 (en) 2015-10-22 2019-04-09 Applied Materials, Inc. Substrate processing apparatus and methods
JP6597296B2 (ja) * 2015-12-25 2019-10-30 東京エレクトロン株式会社 基板処理方法
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US20170110336A1 (en) * 2016-12-31 2017-04-20 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges CLuadeq Methods for minimizing sidewall damage during low k etch processes
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
JP6963900B2 (ja) * 2017-03-10 2021-11-10 東京エレクトロン株式会社 成膜方法
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11978657B2 (en) 2017-09-28 2024-05-07 Intel Corporation Filling openings by combining non-flowable and flowable processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US11251047B2 (en) * 2017-11-13 2022-02-15 Applied Materials, Inc. Clog detection in a multi-port fluid delivery system
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
JP7004608B2 (ja) * 2018-05-11 2022-01-21 東京エレクトロン株式会社 半導体膜の形成方法及び成膜装置
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10991805B2 (en) 2018-07-31 2021-04-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US10714347B2 (en) * 2018-10-26 2020-07-14 Taiwan Semiconductor Manufacturing Company, Ltd. Cut metal gate processes
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
EP3667745B1 (en) * 2018-12-10 2023-03-22 IMEC vzw Method for obtaining light emitting diodes reconstituted over a carrier substrate
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN110265402B (zh) * 2019-06-27 2020-09-18 长江存储科技有限责任公司 一种3d nand存储器件及其制造方法
US11164878B2 (en) 2020-01-30 2021-11-02 International Business Machines Corporation Interconnect and memory structures having reduced topography variation formed in the BEOL
CN112366205B (zh) * 2020-11-09 2021-10-22 长江存储科技有限责任公司 一种半导体器件及其制备方法
TW202346626A (zh) * 2022-02-15 2023-12-01 美商蘭姆研究公司 用以改善膜接縫品質及wer的高壓惰性氧化及原位退火製程

Family Cites Families (121)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3205345A1 (de) * 1982-02-15 1983-09-01 Philips Patentverwaltung Gmbh, 2000 Hamburg "verfahren zur herstellung von fluordotierten lichtleitfasern"
US4690746A (en) * 1986-02-24 1987-09-01 Genus, Inc. Interlayer dielectric process
US4960488A (en) * 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US4872947A (en) * 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4892753A (en) * 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
KR910006164B1 (ko) * 1987-03-18 1991-08-16 가부시키가이샤 도시바 박막형성방법과 그 장치
US4851370A (en) * 1987-12-28 1989-07-25 American Telephone And Telegraph Company, At&T Bell Laboratories Fabricating a semiconductor device with low defect density oxide
JPH0258836A (ja) 1988-08-24 1990-02-28 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
US4894352A (en) * 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
EP0376252B1 (en) * 1988-12-27 1997-10-22 Kabushiki Kaisha Toshiba Method of removing an oxide film on a substrate
JP2981243B2 (ja) * 1988-12-27 1999-11-22 株式会社東芝 表面処理方法
US5061838A (en) * 1989-06-23 1991-10-29 Massachusetts Institute Of Technology Toroidal electron cyclotron resonance reactor
US5013691A (en) * 1989-07-31 1991-05-07 At&T Bell Laboratories Anisotropic deposition of silicon dioxide
JP2960466B2 (ja) * 1990-03-19 1999-10-06 株式会社日立製作所 半導体デバイスの配線絶縁膜の形成方法及びその装置
US5089442A (en) * 1990-09-20 1992-02-18 At&T Bell Laboratories Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd
JP2640174B2 (ja) * 1990-10-30 1997-08-13 三菱電機株式会社 半導体装置およびその製造方法
JP3206916B2 (ja) 1990-11-28 2001-09-10 住友電気工業株式会社 欠陥濃度低減方法、紫外線透過用光学ガラスの製造方法及び紫外線透過用光学ガラス
EP0519079B1 (en) * 1991-01-08 1999-03-03 Fujitsu Limited Process for forming silicon oxide film
JP2697315B2 (ja) 1991-01-23 1998-01-14 日本電気株式会社 フッ素含有シリコン酸化膜の形成方法
JPH04341568A (ja) * 1991-05-16 1992-11-27 Toshiba Corp 薄膜形成方法及び薄膜形成装置
WO1992020833A1 (en) 1991-05-17 1992-11-26 Lam Research Corporation A PROCESS FOR DEPOSITING A SIOx FILM HAVING REDUCED INTRINSIC STRESS AND/OR REDUCED HYDROGEN CONTENT
JP2699695B2 (ja) * 1991-06-07 1998-01-19 日本電気株式会社 化学気相成長法
US5279865A (en) * 1991-06-28 1994-01-18 Digital Equipment Corporation High throughput interlevel dielectric gap filling process
JPH05226480A (ja) * 1991-12-04 1993-09-03 Nec Corp 半導体装置の製造方法
US5290382A (en) * 1991-12-13 1994-03-01 Hughes Aircraft Company Methods and apparatus for generating a plasma for "downstream" rapid shaping of surfaces of substrates and films
JP2773530B2 (ja) * 1992-04-15 1998-07-09 日本電気株式会社 半導体装置の製造方法
JP2792335B2 (ja) * 1992-05-27 1998-09-03 日本電気株式会社 半導体装置の製造方法
US5252178A (en) * 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
JP3688726B2 (ja) * 1992-07-17 2005-08-31 株式会社東芝 半導体装置の製造方法
US5271972A (en) 1992-08-17 1993-12-21 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5756402A (en) * 1992-12-28 1998-05-26 Kabushiki Kaisha Toshiba Method of etching silicon nitride film
US5624582A (en) * 1993-01-21 1997-04-29 Vlsi Technology, Inc. Optimization of dry etching through the control of helium backside pressure
US5302233A (en) * 1993-03-19 1994-04-12 Micron Semiconductor, Inc. Method for shaping features of a semiconductor structure using chemical mechanical planarization (CMP)
US5416048A (en) * 1993-04-16 1995-05-16 Micron Semiconductor, Inc. Method to slope conductor profile prior to dielectric deposition to improve dielectric step-coverage
US5505816A (en) 1993-12-16 1996-04-09 International Business Machines Corporation Etching of silicon dioxide selectively to silicon nitride and polysilicon
US5468342A (en) 1994-04-28 1995-11-21 Cypress Semiconductor Corp. Method of etching an oxide layer
US5563105A (en) * 1994-09-30 1996-10-08 International Business Machines Corporation PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element
US5571576A (en) 1995-02-10 1996-11-05 Watkins-Johnson Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition
US6039851A (en) * 1995-03-22 2000-03-21 Micron Technology, Inc. Reactive sputter faceting of silicon dioxide to enhance gap fill of spaces between metal lines
US5571577A (en) * 1995-04-07 1996-11-05 Board Of Trustees Operating Michigan State University Method and apparatus for plasma treatment of a surface
US20010028922A1 (en) * 1995-06-07 2001-10-11 Sandhu Gurtej S. High throughput ILD fill process for high aspect ratio gap fill
US6228751B1 (en) * 1995-09-08 2001-05-08 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
US5719085A (en) * 1995-09-29 1998-02-17 Intel Corporation Shallow trench isolation technique
JPH09106899A (ja) * 1995-10-11 1997-04-22 Anelva Corp プラズマcvd装置及び方法並びにドライエッチング装置及び方法
US5599740A (en) * 1995-11-16 1997-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Deposit-etch-deposit ozone/teos insulator layer method
US5679606A (en) * 1995-12-27 1997-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. method of forming inter-metal-dielectric structure
DE69623651T2 (de) * 1995-12-27 2003-04-24 Lam Res Corp Verfahren zur füllung von gräben auf einer halbleiterscheibe
US6191026B1 (en) * 1996-01-09 2001-02-20 Applied Materials, Inc. Method for submicron gap filling on a semiconductor substrate
US5872052A (en) * 1996-02-12 1999-02-16 Micron Technology, Inc. Planarization using plasma oxidized amorphous silicon
US5648175A (en) * 1996-02-14 1997-07-15 Applied Materials, Inc. Chemical vapor deposition reactor system and integrated circuit
US5858876A (en) * 1996-04-01 1999-01-12 Chartered Semiconductor Manufacturing, Ltd. Simultaneous deposit and etch method for forming a void-free and gap-filling insulator layer upon a patterned substrate layer
US5712185A (en) * 1996-04-23 1998-01-27 United Microelectronics Method for forming shallow trench isolation
US6313035B1 (en) * 1996-05-31 2001-11-06 Micron Technology, Inc. Chemical vapor deposition using organometallic precursors
US6170428B1 (en) * 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US5661093A (en) * 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
US5804259A (en) * 1996-11-07 1998-09-08 Applied Materials, Inc. Method and apparatus for depositing a multilayered low dielectric constant film
US5939831A (en) * 1996-11-13 1999-08-17 Applied Materials, Inc. Methods and apparatus for pre-stabilized plasma generation for microwave clean applications
FR2756663B1 (fr) 1996-12-04 1999-02-26 Berenguer Marc Procede de traitement d'un substrat semi-conducteur comprenant une etape de traitement de surface
US5953635A (en) * 1996-12-19 1999-09-14 Intel Corporation Interlayer dielectric with a composite dielectric stack
US5913140A (en) * 1996-12-23 1999-06-15 Lam Research Corporation Method for reduction of plasma charging damage during chemical vapor deposition
US5800621A (en) * 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
US6013584A (en) * 1997-02-19 2000-01-11 Applied Materials, Inc. Methods and apparatus for forming HDP-CVD PSG film used for advanced pre-metal dielectric layer applications
US6479373B2 (en) 1997-02-20 2002-11-12 Infineon Technologies Ag Method of structuring layers with a polysilicon layer and an overlying metal or metal silicide layer using a three step etching process with fluorine, chlorine, bromine containing gases
US5990000A (en) 1997-02-20 1999-11-23 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US6190233B1 (en) * 1997-02-20 2001-02-20 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US6059643A (en) * 1997-02-21 2000-05-09 Aplex, Inc. Apparatus and method for polishing a flat surface using a belted polishing pad
US5850105A (en) 1997-03-21 1998-12-15 Advanced Micro Devices, Inc. Substantially planar semiconductor topography using dielectrics and chemical mechanical polish
US6030666A (en) * 1997-03-31 2000-02-29 Lam Research Corporation Method for microwave plasma substrate heating
US5968610A (en) * 1997-04-02 1999-10-19 United Microelectronics Corp. Multi-step high density plasma chemical vapor deposition process
US6204200B1 (en) * 1997-05-05 2001-03-20 Texas Instruments Incorporated Process scheme to form controlled airgaps between interconnect lines to reduce capacitance
US6189483B1 (en) * 1997-05-29 2001-02-20 Applied Materials, Inc. Process kit
US5937323A (en) * 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6136685A (en) * 1997-06-03 2000-10-24 Applied Materials, Inc. High deposition rate recipe for low dielectric constant films
US5872058A (en) * 1997-06-17 1999-02-16 Novellus Systems, Inc. High aspect ratio gapfill process by using HDP
US6150628A (en) 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6013191A (en) * 1997-10-27 2000-01-11 Advanced Refractory Technologies, Inc. Method of polishing CVD diamond films by oxygen plasma
US5976327A (en) 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation
US5920792A (en) * 1998-03-19 1999-07-06 Winbond Electronics Corp High density plasma enhanced chemical vapor deposition process in combination with chemical mechanical polishing process for preparation and planarization of intemetal dielectric layers
US6194038B1 (en) * 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
US6602434B1 (en) * 1998-03-27 2003-08-05 Applied Materials, Inc. Process for etching oxide using hexafluorobutadiene or related fluorocarbons and manifesting a wide process window
US6395150B1 (en) * 1998-04-01 2002-05-28 Novellus Systems, Inc. Very high aspect ratio gapfill using HDP
US6030881A (en) * 1998-05-05 2000-02-29 Novellus Systems, Inc. High throughput chemical vapor deposition process capable of filling high aspect ratio structures
EP0959496B1 (en) * 1998-05-22 2006-07-19 Applied Materials, Inc. Methods for forming self-planarized dielectric layer for shallow trench isolation
KR100296137B1 (ko) * 1998-06-16 2001-08-07 박종섭 보호막으로서고밀도플라즈마화학기상증착에의한절연막을갖는반도체소자제조방법
US6037018A (en) * 1998-07-01 2000-03-14 Taiwan Semiconductor Maufacturing Company Shallow trench isolation filled by high density plasma chemical vapor deposition
US6074954A (en) 1998-08-31 2000-06-13 Applied Materials, Inc Process for control of the shape of the etch front in the etching of polysilicon
US6203863B1 (en) * 1998-11-27 2001-03-20 United Microelectronics Corp. Method of gap filling
US6197705B1 (en) * 1999-03-18 2001-03-06 Chartered Semiconductor Manufacturing Ltd. Method of silicon oxide and silicon glass films deposition
US6099697A (en) * 1999-04-13 2000-08-08 Applied Materials, Inc. Method of and apparatus for restoring a support surface in a semiconductor wafer processing system
US6503843B1 (en) * 1999-09-21 2003-01-07 Applied Materials, Inc. Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill
KR100338768B1 (ko) * 1999-10-25 2002-05-30 윤종용 산화막 제거방법 및 산화막 제거를 위한 반도체 제조 장치
KR100360399B1 (ko) * 2000-03-07 2002-11-13 삼성전자 주식회사 반구형입자(hsg)막을 구비한 반도체소자의 제조방법
US6335261B1 (en) * 2000-05-31 2002-01-01 International Business Machines Corporation Directional CVD process with optimized etchback
US6335288B1 (en) * 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
US6372657B1 (en) * 2000-08-31 2002-04-16 Micron Technology, Inc. Method for selective etching of oxides
JP2002222934A (ja) * 2001-01-29 2002-08-09 Nec Corp 半導体装置およびその製造方法
US6740601B2 (en) 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US6846745B1 (en) * 2001-08-03 2005-01-25 Novellus Systems, Inc. High-density plasma process for filling high aspect ratio structures
US6596654B1 (en) * 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
US6794290B1 (en) * 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
US6677247B2 (en) * 2002-01-07 2004-01-13 Applied Materials Inc. Method of increasing the etch selectivity of a contact sidewall to a preclean etchant
US6869880B2 (en) * 2002-01-24 2005-03-22 Applied Materials, Inc. In situ application of etch back for improved deposition into high-aspect-ratio features
US6908862B2 (en) * 2002-05-03 2005-06-21 Applied Materials, Inc. HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features
US6905940B2 (en) * 2002-09-19 2005-06-14 Applied Materials, Inc. Method using TEOS ramp-up during TEOS/ozone CVD for improved gap-fill
US6802944B2 (en) * 2002-10-23 2004-10-12 Applied Materials, Inc. High density plasma CVD process for gapfill into high aspect ratio features
US6858532B2 (en) * 2002-12-10 2005-02-22 International Business Machines Corporation Low defect pre-emitter and pre-base oxide etch for bipolar transistors and related tooling
US6808748B2 (en) * 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US20040211357A1 (en) * 2003-04-24 2004-10-28 Gadgil Pradad N. Method of manufacturing a gap-filled structure of a semiconductor device
US7205240B2 (en) 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
JP4239750B2 (ja) 2003-08-13 2009-03-18 セイコーエプソン株式会社 マイクロレンズ及びマイクロレンズの製造方法、光学装置、光伝送装置、レーザプリンタ用ヘッド、並びにレーザプリンタ
US7078312B1 (en) * 2003-09-02 2006-07-18 Novellus Systems, Inc. Method for controlling etch process repeatability
US7202172B2 (en) * 2003-12-05 2007-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Microelectronic device having disposable spacer
US20070123051A1 (en) * 2004-02-26 2007-05-31 Reza Arghavani Oxide etch with nh4-nf3 chemistry
US20060051966A1 (en) * 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US7390710B2 (en) * 2004-09-02 2008-06-24 Micron Technology, Inc. Protection of tunnel dielectric using epitaxial silicon
EP1831430A2 (en) * 2004-12-21 2007-09-12 Applied Materials, Inc. An in-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
KR100745067B1 (ko) * 2005-05-18 2007-08-01 주식회사 하이닉스반도체 반도체 소자의 트렌치 소자분리막 및 그 형성방법
US7700479B2 (en) * 2006-11-06 2010-04-20 Taiwan Semiconductor Manufacturing Company, Ltd. Cleaning processes in the formation of integrated circuit interconnect structures
US20080142483A1 (en) * 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
US7939422B2 (en) * 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process

Also Published As

Publication number Publication date
US7939422B2 (en) 2011-05-10
CN101299417A (zh) 2008-11-05
KR101289021B1 (ko) 2013-07-23
CN101358336A (zh) 2009-02-04
KR20080052500A (ko) 2008-06-11
CN101299417B (zh) 2011-04-27
TW200843025A (en) 2008-11-01
JP2008235857A (ja) 2008-10-02
US20110151676A1 (en) 2011-06-23
JP5530062B2 (ja) 2014-06-25
US20080182382A1 (en) 2008-07-31

Similar Documents

Publication Publication Date Title
TWI389251B (zh) 處理薄膜之方法
JP5305830B2 (ja) 少なくとも1つの誘電体層を形成するための方法およびシステム
US7582555B1 (en) CVD flowable gap fill
US6867086B1 (en) Multi-step deposition and etch back gap fill process
US7629227B1 (en) CVD flowable gap fill
US7972968B2 (en) High density plasma gapfill deposition-etch-deposition process etchant
US9093390B2 (en) Conformal oxide dry etch
US6559026B1 (en) Trench fill with HDP-CVD process including coupled high power density plasma deposition
KR101548381B1 (ko) 손상 없는 갭 충진을 활성화하는 보호 층
US7482247B1 (en) Conformal nanolaminate dielectric deposition and etch bag gap fill process
US7435684B1 (en) Resolving of fluorine loading effect in the vacuum chamber
TWI395269B (zh) 以harpii 處理固化烷氧矽烷前驅物沉積之二氧化矽薄膜的方法
US8435902B2 (en) Invertable pattern loading with dry etch
CN103210478B (zh) 两阶段的均匀干式蚀刻
US20050032382A1 (en) Staggered in-situ deposition and etching of a dielectric layer for HDP CVD
US20170103914A1 (en) Methods for formation of low-k aluminum-containing etch stop films
US7176039B1 (en) Dynamic modification of gap fill process characteristics
US6436303B1 (en) Film removal employing a remote plasma source
KR20210097044A (ko) 에칭 방법, 기판 처리 장치, 및 기판 처리 시스템
JP2002353215A (ja) Hdpcvd処理によるトレンチ充填